KR20120044367A - 더블 패터닝 방법 및 물질 - Google Patents

더블 패터닝 방법 및 물질 Download PDF

Info

Publication number
KR20120044367A
KR20120044367A KR1020127004657A KR20127004657A KR20120044367A KR 20120044367 A KR20120044367 A KR 20120044367A KR 1020127004657 A KR1020127004657 A KR 1020127004657A KR 20127004657 A KR20127004657 A KR 20127004657A KR 20120044367 A KR20120044367 A KR 20120044367A
Authority
KR
South Korea
Prior art keywords
group
value
coating composition
cured
pattern
Prior art date
Application number
KR1020127004657A
Other languages
English (en)
Other versions
KR101295858B1 (ko
Inventor
펭-페이 푸
에릭 스코트 모이어
제이슨 디. 슈어
Original Assignee
다우 코닝 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다우 코닝 코포레이션 filed Critical 다우 코닝 코포레이션
Publication of KR20120044367A publication Critical patent/KR20120044367A/ko
Application granted granted Critical
Publication of KR101295858B1 publication Critical patent/KR101295858B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Silicon Polymers (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

실세스퀴옥산 수지가 패터닝된 포토레지스트 상에 적용되고, 패턴 표면에서 경화되어 패턴 표면 상에 경화된 실세스퀴옥산 수지를 생성한다. 이후, 경화되지 않은 실세스퀴옥산 수지는 제거되어 패턴 표면 상에 경화된 실세스퀴옥산 수지를 남긴다. 수평면 상의 경화된 실세스퀴옥산 수지는 제거되어 아래에 있는 포토레지스트를 노출시킨다. 이 포토레지스트는 제거되어 경화된 실세스퀴옥산의 패턴을 남긴다. 임의로, 새로운 패턴이 하위층(들)에 전달될 수 있다.

Description

더블 패터닝 방법 및 물질 {METHOD AND MATERIALS FOR DOUBLE PATTERNING}
관련 출원의 상호 참조
없음
마이크로전자 산업에서, 주어진 칩 크기에 대해 증가되는 회로 요건은 공정 속도을 증가시키고, 칩 효율을 개선시키기 위해 보다 작은 하프-피치 노드(half-pitch node)를 이끌었다. 마이크로리소그래피(microlithography) 기술은 구조적 피쳐(structural feature)의 크기를 감소시키는데 중요하다. 초점 심도 및 해상도는 리소그래피 장치의 개구수(numerical aperture) 및 광파장에 의거한다.
더블 패터닝(double patterning)은 피쳐 밀도를 증진시키기 위해 포토리소그래피에 대해 개발된 기술의 한 부류이다. 반도체 산업에 있어서, 더블 패터닝은 현재 입수가능한 193nm 이머젼 리소그래피 툴(immersion lithograph tool)을 사용하여 32nm 및 22nm 하프-피치 노드(half-pitch node)에 대해서만 사용되는 리소그리패 기술일 수 있다. 더블 패터닝에 대해 이용되는 4개의 통상적인 방식이 있다: (1) 이중 노광; (2) 자기-정렬 스페이서 마스크(self-aligned spacer mask); (3) 이종(heterogeneous) 마스크; (4) 즉시 패턴 누적(immediate pattern accumulation). 이들 중 자기-정렬 스페이서 마스크가 가장 유망한 기술인 듯 한데, 이 공정은 매우 복잡하고, 다수의 복잡한 단계를 포함한다. 전형적으로, 스페이서 재료가 먼저 예비-패터닝된 피쳐의 측벽 상에 형성되고, 스핀-온(spin-on) 또는 증착 공정에 의해 적용되고, 이후 에칭에 의해 수평면 상의 모든 막 재료를 제거하여 측벽만 남긴다. 원래의 패터닝된 피쳐를 제거한 후, 스페이서만 남겨진다. 모든 라인에 대해 두 가지 스페이서가 존재하므로, 라인 밀도가 두배가 된다.
실리콘 함유 재료가 더블 패터닝에 유용하도록 하기 위해서는, 몇몇 기준에 부합해야 한다. 먼저, 실리콘 함유 재료가 포토레지스트는 용해되지 않는 유기 알코올 또는 에테르와 같은 용매 중에 존재해야 한다. 추가로, CF4 및 O2와 같은, 상이한 에칭 방법에 의해 에칭될 수 있는 엄선된 조성물을 형성할 수 있게 하는 다수의 경화 방법에 의해 경화될 수 있어야 한다. 특정 실세스퀴옥산 수지가 이러한 기준에 부합하는 것으로 밝혀졌다.
발명의 요약
본 발명은 기판, 일반적으로 전자 소자 상에 패턴을 생성시키는데 사용되는 실세스퀴옥산 수지를 포함하는 코팅 조성물의 용도에 관한 것이다. 이러한 공정은, 위에 패터닝된 포토레지스트 층이 있는 기판으로 개시된다. 코팅 조성물이 패터닝된 포토레지스트 상에 적용되고, 패턴 표면에서 경화되어 패턴 표면 상에 경화된 실세스퀴옥산 수지를 생성한다. 이후, 경화되지 않은 실세스퀴옥산 수지 층은 제거되어 패턴 표면 상에 경화된 실세스퀴옥산 수지를 남긴다. 수평면 상의 경화된 실세스퀴옥산 수지는 제거되어 아래에 있는 포토레지스트를 노출시킨다. 이러한 포토레지스트는 제거되어 경화된 실세스퀴옥산의 패턴을 남긴다. 임의로, 새로운 패턴은 하위층(들)로 전달될 수 있다.
도 1은 더블 패터닝 방법을 사용하는, 실세스퀴옥산 수지를 사용하여 기판 상에 패턴을 형성시키는 공정 단계를 도시한 것이다.
본 발명은 기판 상에 패턴을 형성시키는 방법으로서,
(I) (i) 하기 단위로 구성된 실세스퀴옥산 수지:
(HSiO(3-x)/2(OR')x)m
(RSiO(3-x)/2(OR')x)n
(R1SiO(3-x)/2(OR')x)p
(R2SiO(3-x)/2(OR')x)q
(상기 식에서, R'는 수소 원자 또는 1 내지 4개의 탄소 원자를 지닌 탄화수소 기이고; R은 3-글리시독시프로필 기 또는 2-(3,4-에폭시시클로헥실)-에틸-기와 같은 에폭시 작용기를 함유하는 기, 메타크릴옥시프로필 기, 또는 아크릴옥시프로필 기와 같은 아크릴옥시 작용기를 함유하는 기, 비닐에테르 기로부터 선택된 광경화성(photocurable) 기이고; R1은 폴리에틸렌 글리콜 기, 설포네이트 기, 포스페이트 기로부터 선택되나, 이로 제한되는 것은 아닌 친수성 유기 기이고; R2는 메틸, 페닐 기를 포함하는 임의의 유기 보조 기이고; x는 0, 1 또는 2의 값을 갖고; 수지 중 m은 0.10 내지 0.95의 값을 갖고, n은 0.05 내지 0.7의 값을 갖고; p는 0.05 내지 0.5의 값을 갖고; q는 0 내지 0.5의 값을 갖고, m + n + p + q
Figure pct00001
1이다)를 포함하는 코팅 조성물을, 열산 발생제(thermal acid generator), 광산 발생제(photo acid generator) 또는 아민 가교제로부터 선택된 활성제를 함유하는 제 1 패터닝 재료 상에 적용하고;
(II) 코팅 조성물을 경화 메카니즘에 노출시켜서 제 1 패턴 재료의 표면 상에 경화된 코팅을 생성시키고;
(III) 임의의 경화되지 않은 코팅 조성물을 제거하고;
(IV) 임의의 수평면으로부터 경화된 코팅을 제거하여 제 1 패턴 재료의 측벽 상에 경화된 코팅을 형성시키고;
(V) 제 1 패턴 재료를 제거함으로써 기판 상에 경화된 코팅 조성물을 포함하는 제 2 패턴을 생성시키는 것을 포함하는 방법에 관한 것이다.
실세스퀴옥산 수지는 하기 단위로 구성된다:
(HSiO(3-x)/2(OR')x)m
(RSiO(3-x)/2(OR')x)n
(R1SiO(3-x)/2(OR')x)p
(R2SiO(3-x)/2(OR')x)q
상기 식에서, R'는 수소 원자 또는 1 내지 4개의 탄소 원자를 지닌 탄화수소 기이고; R은 3-글리시독시프로필 기 또는 2-(3,4-에폭시시클로헥실)-에틸-기와 같은 에폭시 작용기를 함유하는 기, 메타크릴옥시프로필 기 또는 아크릴옥시프로필 기와 같은 아크릴옥시 작용기를 함유하는 기, 및 비닐에테르 기로부터 선택된 광경화성 기이고; R1은 폴리에틸렌 글리콜 기, 설포네이트 기, 포스페이트 기로부터 선택되나, 이로 제한되는 것은 아닌 친수성 유기 기이고; R2는 메틸, 페닐 기를 포함하는 임의의 유기 보조 기이고; 수지 중 m은 0.10 내지 0.95의 값을 갖고, n은 0.05 내지 0.7의 값을 갖고; p는 0.05 내지 0.5의 값을 갖고; q는 0 내지 0.5의 값을 갖고, m + n + p + q
Figure pct00002
1이다. 전형적으로, m은 0.2 내지 0.90, 다르게는 0.3 내지 0.85의 값을 갖는다. 전형적으로, n은 0.05 내지 0.50, 다르게는 0.1 내지 0.3의 값을 갖는다. 전형적으로, p는 0.05 내지 0.30, 다르게는 0.1 내지 0.20의 값을 갖는다. 전형적으로, q는 0 내지 0.25, 다르게는 0.01 내지 0.20의 값을 갖는다.
R'는 독립적으로 수소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 탄화수소 기이다. R'는 H, 메틸, 에틸, 프로필, 이소-프로필, n-부틸, 및 3차-부틸로 예시될 수 있다. 전형적으로, R'는 H 또는 메틸이다.
R은 광경화성 유기 기이다. 광경화성 유기 기는 에폭시 작용기를 함유하는 기, 아크릴옥시 작용기를 함유하는 기, 또는 비닐에테르 기로 예시될 수 있으나, 이로 제한되는 것은 아니다. 에폭시 작용기를 함유하는 기는 화학식 -R2OCH2CH(O)CH2(여기서, R2는 1 내지 4개의 탄소 원자를 갖는 탄화수소 기 또는 폴리에테르 기 또는 -CH2CH2-(C6H9(O))이다)로 나타낼 수 있다. 에폭시 작용기를 함유하는 기는 3-글리시독시프로필 기 또는 2-(3,4-에폭시시클로헥실)기로 예시될 수 있다. 아크릴옥시 작용기를 함유하는 기는 화학식 CH2=C(R3)COOR4-(여기서, R3는 수소 원자, 또는 메틸 기이고, R4 는 1 내지 4개의 탄소 원자를 갖는 탄화수소 기 또는 폴리에테르 기이다)로 나타낼 수 있다. 아크릴옥시 작용기를 함유하는 기는 메타크릴옥시프로필 또는 아크릴옥시프로필로 예시될 수 있으나, 이로 제한되는 것은 아니다. 비닐에테르 기는 -O-CH=CH2로 표현될 수 있다.
R1은 친수성 기이다. 친수성 기는 폴리에틸렌 글리콜 기, 설포네이트 기, 포스페이트 기로 예시될 수 있으나, 이로 제한되는 것은 아니다.
본원에 유용한 수지의 예는 하기와 같으나 이로 제한되는 것은 아니다:
(HSiO(3-x)/2(OR')x)0.5-0.9(RSiO(3-x)/2(OR')x)0.1-0.35(R1SiO(3-x)/2(OR')x)0.01-0.2
(여기서, R은 에틸-시클로헥센 옥사이드(CHEp)이고, R1은 폴리에틸렌 옥사이드(PEO)이고, R'는 H 또는 알킬 기이다) 또는
(HSiO(3-x)/2(OR')x)0.5-0.9(RSiO(3-x)/2(OR')x)0.1-0.35(R1SiO(3-x)/2(OR')x)0.01-0.2
(여기서, R은 메타크릴레이트(MA)이고, R1은 PEO이고, R'는 H 또는 알킬 기이다).
실세스퀴옥산 수지를 생성하는 통상적인 방법은 적합한 실란의 가수분해 및/또는 축합을 포함한다. 이러한 방법에 의해, 잔여 -OH 및/또는 -OR'이 불완전 가수분해 또는 축합의 결과로서 실세스퀴옥산 수지에 남아 있을 수 있다. 실세스퀴옥산 중의 -OR' 기를 함유하는 단위의 총량이 70 몰%를 초과하면 겔화 및 수지의 불안정이 나타날 수 있다. 통상적으로, 실세스퀴옥산 수지는 합성 경로에 의거하여 6 내지 60 몰%의 -OR' 기 함유 단위를 함유한다.
실세스퀴옥산 수지를 생성하는 또 다른 방법은 하이드로실세스퀴옥산(HSQ)을 하이드로실릴화 촉매의 존재 하에 C=C 작용기를 함유하는 R기와 반응시키는 것이다.
실세스퀴옥산 수지를 생성하는 또 다른 방법은 (HSiO(3-x)/2(OR')x)m(R2SiO(3-x)/2(OR')x)n(여기서, m은 0.30 내지 1.0의 값을 갖고, R2는 상기 기재된 바와 같다)을 하이드로실릴화 촉매의 존재 하에 C=C 작용기를 함유하는 R 기 및 C=C 작용기를 함유하는 R1 기와 반응시키는 것이다.
실세스퀴옥산 수지는 RI 검출 및 폴리스티렌 표준을 이용하는 겔 투과 크로마토그래피에 의해 측정하는 경우 500 내지 200,000의 범위, 대안적으로 500 내지 100,000의 범위, 다르게는 700 내지 30,000의 범위의 중량 평균 분자량(Mw)을 지닌다.
실세스퀴옥산 수지는 전형적으로 용매의 존재 하에 생성된다. 가수분해 및/또는 축합 반응에 관여할 수 있는 알코올 이외의 작용기를 함유하지 않는 임의의 적합한 유기 또는 실리콘 용매가 실세스퀴옥산 수지를 생성하는데 사용될 수 있다. 용매는 일반적으로 용매 및 실란 반응물의 총 중량을 기준으로 하여 40 내지 98중량%, 다르게는 70 내지 90중량%의 양으로 사용된다. 반응은 이상 또는 단일상 시스템으로서 수행될 수 있다.
유용한 유기 용매는 포화된 지방족, 예를 들어 n-펜탄, 헥산, n-헵탄, 및 이소옥탄; 지환족, 예를 들어 시클로펜탄 및 시클로헥산; 방향족, 예를 들어 벤젠, 톨루엔, 크실렌, 메시틸렌; 에테르, 예를 들어 테트라히드로푸란, 디옥산, 에틸렌 글리콜 디에틸 에테르, 에틸렌 글리콜 디메틸 에테르; 케톤, 예를 들어 메틸이소부틸 케톤 (MIBK) 및 시클로헥사논; 할로겐 치환된 알칸, 예를 들어 트리클로로에탄; 할로겐화된 방향족, 예를 들어 브로모벤젠 및 클로로벤젠; 에스테르, 예를 들어 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA), 이소부틸 이소부티레이트 및 프로필 프로프로네이트; 알코올, 예를 들어, 메탄올, 에탄올 및 이소프로판올로 예시될 수 있으나, 이로 제한되는 것은 아니다. 유용한 실리콘 용매는 시클릭 실록산, 예를 들어 옥타메틸시클로테트라실록산, 및 데카메틸시클로펜타실록산으로 예시될 수 있으나, 이로 제한되는 것은 아니다. 단일 용매를 이용할 수 있거나 용매의 혼합물을 이용할 수 있다.
실세스퀴옥산 수지를 생성하기 위한 반응은 현저한 겔화를 야기하지 않거나 실세스퀴옥산 수지의 경화를 야기하지 않는 한 임의의 온도에서 수행될 수 있다. 전형적으로, 반응은 5℃ 내지 150℃ 범위의 온도에서 수행되며, 15℃ 내지 11O℃가 제안된다.
실세스퀴옥산 수지를 형성하기 위한 시간은 온도, 실란 반응물의 유형과 양, 및 촉매의 양과 같은 수많은 인자에 의존적이다. 전형적으로, 반응 시간은 수 분 내지 수 시간이다. 당업자들은 반응을 완료하는데 필요한 시간을 용이하게 결정할 수 있을 것이다. 반응을 촉진시키는데 사용될 수 있는 산 촉매로는 질산, 황산, 설폰산, 염산, 아세트산 등을 포함하나, 이로 제한되는 것은 아니다. 반응을 촉진시키는데 사용될 수 있는 염기 촉매로는 특히 수산화나트륨, 수산화칼륨, 수산화세슘, 테트라메틸암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드, 트리에틸아민을 포함하나, 이로 제한되는 것은 아니다.
반응의 완료 후, 촉매는 임의로 제거될 수 있다. 촉매를 제거하는 방법은 당 분야에 널리 공지되어 있고 중화, 스트리핑 또는 물 세척 또는 이들의 조합을 포함할 것이다. 다량의 촉매는 특히 용액 상태일 때 실리콘 수지의 보존 수명에 나쁜 영향을 줄 수 있으므로 제거할 것이 제안된다.
실세스퀴옥산 수지를 제조하는 공정에서, 반응이 완료된 후, 감압하에 휘발물질을 실세스퀴옥산 수지 용액으로부터 제거할 수 있다. 그러한 휘발물질로는 알코올 부산물, 과잉의 물, 촉매, 염산(클로로실란 루트) 및 용매가 있다. 휘발물질을 제거하는 방법은 당 분야에 공지되어 있고, 예를 들어 증류를 포함한다.
실세스퀴옥산 수지를 생성하는 반응 이후에, 요망되는 형태 또는 요망되는 농도의 실세스퀴옥산 수지를 수득하기 위해 다수의 임의적 단계가 수행될 수 있다. 예를 들어, 용매를 제거함으로써 고체 형태의 실세스퀴옥산 수지가 농축될 수 있다. 용매 제거 방법은 중요하지 않으며 다수의 방법이 당 분야에 널리 공지되어 있다(예를 들어, 가열 및/또는 진공하에 증류). 실세스퀴옥산 수지의 농도가 특정 농도에 도달되면, 수지는 특정 용도를 위해 동일하거나 또 다른 용매로 희석될 수 있다. 대안적으로, 반응에 사용된 용매 이외의 상이한 용매가 최종 생성물에 요망되는 경우, 예를 들어 이차 용매를 첨가하고 증류를 통해 일차 용매를 제거함에 의해 용매 교환을 수행할 수 있다. 추가로, 용매 중의 수지 농도는 용매의 일부를 제거하거나 추가량의 용매를 첨가함에 의해 조정될 수 있다.
코팅 조성물은 통상적으로 용매를 함유한다. 용매는 패터닝된 코팅을 용해하지 않는 것이어야 한다. 유용한 용매(iii)로는 특히 1-메톡시-2-프로판올, 4-메틸-2-펜탄올, 프로필렌 글리콜 모노메틸 에틸 아세테이트, γ-부티로락톤, 및 시클로헥사논을 포함하나, 이로 제한되지 않는다. 코팅 조성물은 통상적으로 코팅 조성물의 총 중량에 기초하여 10 내지 99.9 wt%, 대안적으로 80 내지 98 wt%의 용매를 포함한다.
코팅 조성물은 위에 패턴을 갖는 기판 상에 적용된다. 전형적으로, 기판은 반도체 부품의 제조시 사용되는 반도체 소자, 예컨대 실리콘 기반 소자 및 비소화갈륨 기반 소자이다. 전형적으로, 소자는 하나 이상의 반도체 층 및 다양한 전도성, 반도체성 또는 절연 물질을 포함하는 다수의 다른 층을 포함한다.
반도체 소자 상의 패턴은 전형적으로 적용되어 패터닝된 포토레지스트 층이다. 전형적으로, 패터닝된 포토레지스트는 도 1에서 도시된 하드마스크(hardmask) 상에 형성되는 반사방지 코팅 상에 형성된다. 포토레지스트, 반사방지 코팅 및 하드마스크 층을 적용하는 방법은 당 분야에 공지되어 있다. 포토레지스트 층에 패턴을 생성시키는 방법 또한 당 분야에 공지되어 있다.
포토레지스트 층은 열산 발생제, 광산 발생제, 또는 아민 가교제로부터 선택되는 활성제를 포함한다.
열산 발생제는 가열되는 경우, 예를 들어, 베이킹 단계 또는 레지스트 플로우(resist flow) 공정 중에 산성 부분을 발생시킬 수 있는 화합물이다. 열산 발생제는 비이온성 열산 발생제, 이온성 열산 발생제 또는 폴리머성 산 발생제일 수 있다. 비이온성 열산 발생제의 예로는 시클로헥실 p-톨루엔설포네이트, 멘틸 p-톨루엔설포네이트 및 시클로헥실 2,4,6-트리이소프로필벤젠 설포네이트, 니트로벤질 에스테르, 벤조인 토실레이트, 2-니트로벤질 토실레이트, 트리스(2,3-디브로모프로필)-l,3,5-트리아진-2,4,6-트리온, 유기 설폰산, 예컨대 p-톨루엔설폰산, 도데실벤젠설폰산, 옥살산, 프탈산, 인산, 캄포르설폰산, 2,4,6-트리메틸벤젠 설폰산, 트리이소프로필나프탈렌 설폰산, 5-니트로-o-톨루엔 설폰산, 5-설포살리실산, 2,5-디메틸벤젠 설폰산, 2-니트로벤젠 설폰산, 3-클로로벤젠 설폰산, 3-브로모벤젠 설폰산, 2-플로로카르필나프탈렌 설폰산, 도데실벤젠 설폰산, 1-나프톨-5-설폰산, 2-메톡시-4-하이드록시-5-벤조일-벤젠 설폰산의 알킬 에스테르, 및 이들의 염, 및 이들의 혼합물을 포함하나, 이로 제한되는 것은 아니다. 이온성 열산 발생제는 도데실벤젠설폰산 트리에틸아민 염, 도데실벤젠디설폰산 트리에틸아민 염, 설포네이트 염, 예컨대 카르보시클릭 아릴(예를 들어, 페닐, 나프틸, 안트라세닐 등), 헤테로아릴 (예를 들어, 티에닐) 또는 지방족 설포네이트 염, 바람직하게는 카르보시클릭 아릴 설포네이트 염, 임의로 치환된 벤젠설포네이트 염 등을 포함하나, 이로 제한되는 것은 아니다. 카르보시클릭 아릴 설포네이트 염은, 예를 들어, 하나 이상의 하이드록시; 치환되거나 비치환된 알킬; 치환되거나 비치환된 알케닐; 치환되거나 비치환된 알콕시; 치환되거나 비치환된 카르보시클릭 아릴, 예컨대 치환되거나 비치환된 페닐, 치환되거나 비치환된 나프틸, 치환되거나 비치환된 안트라센 등; 치환되거나 비치환된 아르알킬, 예컨대 치환되거나 비치환된 벤진과 같은 아르알킬 등; 및 바람직하게는 1 내지 3개의 고리를 갖고, 각 고리에 3 내지 8개의 고리원 및 1 내지 3개의 헤테로원자를 갖는 치환되거나 비치환된 헤테로방향족 또는 헤테로지환족 기, 예컨대, 쿠마리닐, 퀴놀리닐, 피리딜, 피라지닐, 피리미딜, 푸릴, 피롤릴, 티에닐, 티아졸릴, 옥사졸릴, 이미다졸릴, 인돌릴, 벤조푸라닐, 벤조티아졸, 테트라하이드로푸라닐, 테트라하이드로피라닐, 피페리디닐, 모르폴리노, 피롤리디닐 등에 의해 치환되거나 치환되지 않을 수 있다.
광산 발생제는 UV에 노출되는 경우, 예를 들어, 베이킹 단계 또는 레지스트 플로우 공정 중에 산성 부분을 발생시킬 수 있는 화합물이다. 광산 발생제는 설파이드 및 오늄형 화합물을 포함한다. 광산 발생제로는 디페닐 아이오다이드 헥사플루오로포스페이트, 디페닐 아이오다이드 헥사플루오로아르세네이트, 디페닐 아이오다이드 헥사플루오로안티모네이트, 디페닐 p-메톡시페닐 트리플레이트, 디페닐 p-톨루에닐 트리플레이트, 디페닐 p-이소부틸페닐 트리플레이트, 디페닐 p-3차-부틸페닐 트리플레이트, 트리페닐설포늄 헥사플루오로포스페이트, 트리페닐설포늄 헥사플루오로아르세네이트, 트리페닐설포늄 헥사플루오로안티모네이트, 트리페닐설포늄 트리플레이트 및 디부틸나프틸설포늄 트리플레이트를 포함하나, 이로 제한되는 것은 아니다.
아민 가교제는 가열되거나 UV에 노출되는 경우, 예를 들어, 베이킹 단계 또는 레지스트 플로우 공정 중에 아민을 생성할 수 있는 화합물이다. 아민 가교제의 예로는 글리콜루릴-포름알데하이드 수지, 멜라민-포름알데하이드 수지, 벤조구안아민-포름알데하이드 수지, 및우레아-포름알데하이드 수지, N,N,N,N-테트라(알콕시메틸)글리콜루릴, N,N,N,N-테트라(알콕시메틸)글리콜루릴, N,N,N,N-테트라(메톡시메틸)글리콜루릴, N,N,N,N-테트라(에톡시메틸)글리콜루릴, N,N,N,N-테트라(n-프로폭시메틸)글리콜루릴, N,N,N,N-테트라(t-프로폭시메틸)글리콜루릴, N,N,N,N-테트라(n-부톡시메틸)글리콜루릴 및 N,N,N,N-테트라(t-부톡시메틸)글리콜루릴을 포함하나, 이로 제한되는 것은 아니다. N,N,N,N-테트라(메톡시메틸)글리콜루릴은 Cytec Industries로부터 상표명 POWDERLINK(예를 들어, POWDERLINK 1174)로 입수가능하다.
전형적으로, 활성제는 포토레지스트의 총 중량에 기초하여 20,000 ppm 이하, 대안적으로, 10 내지 10,000 ppm의 양으로 포토레지스트에 존재한다.
코팅 조성물을 기판에 적용하는 특정 방법으로는, 스핀-코팅(spin-coating), 딥-코팅(dip-coating), 분무-코팅(spay-coating), 플로우-코팅(flow-coating), 스크린-프린팅(screen-printing) 등을 포함하나, 이로 제한되는 것은 아니다. 전형적인 적용 방법은 스핀 코팅이다. 전형적으로, 코팅은 전자 소자를 1,000 내지 2,000 RPM으로 스피닝하고, 코팅 조성물을 스피닝되는 전자 소자의 표면에 첨가하는 것을 포함한다.
코팅 조성물이 적용되면, 임의의 용매는 제거되고, 코팅 조성물은 경화 메카니즘에 노출되어 리버스 패터닝 코팅 층내 활성제를 활성화시키고, 코팅 조성물의 경화를 야기시킨다. 실세스퀴옥산 수지에 대한 작용기 및 조성물 중 활성제에 의거하여, 경화 메카니즘은 열 또는 방사선에 의한 것일 수 있다.
코팅 조성물을 열적으로 경화시키기 위해, 코팅된 기판은 경화를 유도할 충분한 기간 동안 충분한 온도로 가열된다. 경화는 예를 들어 코팅된 전자 소자를 0.1 내지 60 분 동안 80℃ 내지 250℃로, 다르게는 0.5 내지 5 분 동안 100℃ 내지 150℃로, 다르게는 0.5 내지 2분 동안 100℃ 내지 130℃로 가열함으로써 실시될 수 있다. 임의의 가열 방법이 경화 단계 동안 사용될 수 있다. 예를 들어, 코팅된 전자 소자는 석영관 로(quartz tube furnace), 컨벡션 오븐(convection oven)에 배치되거나, 핫 플레이트 상에서 방치되게 할 수 있다.
코팅 조성물이 방사선 조사원, 예컨대, UV, X-선, e-빔, EUV 등에 노출되는 경우, 방사선 경화가 일어난다. 전형적으로, 193 nm 내지 365 nm의 파장을 갖는 자외선이 사용되고, 다르게는 246 nm 또는 365 nm의 파장을 갖는 자외선이 사용된다. 적합한 방사선 조사원으로는 수은, 수은/제논, 및 제논 램프를 포함한다. 예를 들어, 365nm와 같은 장파 방사선에 있어서는, 방사선의 흡수를 증진시키기 위해 코팅 조성물에 감광제를 첨가하는 것이 제안된다. 코팅 조성물의 완전 노출은 전형적으로 100mJ/cm2 미만의 선량, 다르게는 50 mJ/cm2 미만의 선량으로 달성된다.
경화되는 코팅 조성물의 양은 포토레지스 중 활성제의 양 및 코팅 조성물이 경화 메카니즘에 노출되는 시간에 의거할 것이다. 코팅 조성물이 조사원에 노출되는 경우, 수지의 경화를 촉진시키기 위해 후속 열처리를 수행하는 것이 바람직할 수 있다.
경화가 실시되면, 경화되지 않은 코팅 조성물은 디벨로퍼 용액으로 제거될 수 있다. 적합한 디벨로퍼 용액은 전형적으로 염기 수용액, 전형적으로 금속 이온이 없는 염기 수용액, 및 임의로 유기 용매를 함유한다. 당업자들은 적합한 디벨로퍼 용액을 선택할 수 있을 것이다. 표준 산업 디벨로퍼 용액으로는 유기 알칼리, 예를 들어, 수산화나트륨, 수산화칼륨, 탄산나트륨, 규산나트륨, 소듐 메타실리케이트, 암모니아 수용액, 일차 아민, 예컨대, 에틸아민 및 n-프로필아민, 2차 아민, 예컨대 디에틸아민 및 디-n-부틸아민, 3차 아민, 예컨대, 트리에틸아민 및 메틸디에틸아민, 알코올아민, 예컨대 디메틸에탄올아민 및 트리에탄올아민, 4차 암모늄 염, 예컨대 테트라메틸암모늄 하이드록사이드, 테트라에틸암모늄 하이드록사이드, 및 콜린, 및 시클릭 아민, 예컨대, 피롤 및 피페리딘으로 예시될 수 있으나, 이로 제한되는 것은 아니다. 전형적으로, 테트라메틸암모늄 하이드록사이드(TMAH)와 같은 4차 암모늄 염의 용액이 사용된다.
경화되지 않은 코팅 조성물이 제거된 후, 패터닝된 포토레지스트 상에 경화된 코팅 조성물 층이 남겨진다. 이후, 경화된 코팅 조성물의 수평면은 제거되어 포토레지스트의 측벽 상에 경화된 코팅 조성물을 남긴다. 경화된 코팅 조성물은 CF4와 같은 공지된 반응성 이온 에칭 기술에 의해 제거될 수 있다.
이후, 포토레지스트는 공지된 기술, 예컨대 산소, 플라즈마, 및/또는 산소/이산화황 플라즈마와 같은 반응성 이온으로 에칭함으로써 제거될 수 있다. 적합한 플라즈마 툴로는 전자 사이클로트론 공명(electron cyclotron resonance)(ECR), 헬리콘(helicon), 유도 결합 플라즈마(inductively coupled plasma)(ICP) 및 트랜스미션 결합 플라즈마(transmission-coupled plasma)(TCP) 시스템을 포함하나, 이로 제한되는 것은 아니다. 에칭 기술은 당 분야에 널리 공지되어 있으며, 당업자들은 여러 상업적으로 이용가능한 에칭 장비에 익숙할 것이다.
패턴을 하위층에 전달하는 추가의 단계가 사용되어 요망되는 구조를 갖는 소자를 생산하는데 사용될 수 있다.
실시예
하기 실시예는 본 발명의 구체예를 입증하기 위해 포함된 것이다. 하기 실시예에 개시된 기술들은 본 발명의 실시에 잘 기능하도록 발명자에 의해 발견된 기술들을 나타내는 것임을 당업자는 인지하여야 한다. 그러나, 당업자는 본 명세서의 기재에 비추어 많은 변화가 기재된 특정 구체예에서 수행될 수 있고, 본 발명을 벗어나지 않으면서 여전히 같거나 유사한 결과를 달성할 수 있음을 인지하여야 한다. 모든 백분율은 wt%이다.
실시예 1
T(H)0.65T(CHEp)0.3T(PEO)0.05의 합성
톨루엔 중 하이드로실세스퀴옥산(Mw= 2200, 212 g, 톨루엔 중 12.5% wt), 알릴 모노-메틸 폴리에틸렌 글리콜 (18.75 g), 및 비닐 시클로헥산 옥사이드 (18.63 g)을 함유하는 500mL 플라스크에 백금 촉매를 몇 방울 첨가하였다. 혼합물을 80 ℃에서 2시간 동안 교반하였다. 10중량%로 용매 교환하여 선택된 용매의 용액을 얻었다. 용액을 0.2 마이크론 PTFE 필터를 통해 여과하고, HDPE 병에 저장하였다. GPC (vs. PS): Mw = 4360, PDI = 3.04.
실시예 2
T(H)0.55 (MA)0.30 T(PEO)0.15의 합성
삼목 3L 플라스크에 에틸 아세테이트 (100 g), Me(OCH2CH2)5-9O(CH2)3SiCl3 (28.84 g), 메타크릴옥시프로필트리메톡시실란 (37.25 g), 및 HSiCl3 (37.25 g)을 로딩하였다. 에틸 아세테이트 (300 g) 및 물(27 g)의 용액을 1시간에 걸쳐 플라스크에 첨가하였다. 용액을 1시간 동안 방치하였다. 이후, 수지 용액을 탈이온수로 세척하고, 회전 증발기를 사용하여 이소-부탄올로 용매 교환시켰다. 용액을 스트리핑하고, i-부탄올로 10wt%로 희석하였다. 용액을 0.2 마이크론 PTFE 필터를 통해 여과하고, HDPE 병에 저장하였다. GPC (vs. PS): Mw =9530, PDI = 2.02.
실시예 3
T(H)0.6T(CHEp)0.3T(PEO)0.1의 합성
톨루엔 중 하이드로실세스퀴옥산(424 g, Mw= 2200, 톨루엔 중 12.5% wt), 알릴 모노-메틸 폴리에틸렌 글리콜 (25 g), 및 비닐 시클로헥산 옥사이드 (37.26 g)을 함유하는 500mL 플라스크에 백금 촉매를 몇 방울 첨가하였다. 혼합물을 80 ℃에서 2시간 동안 교반하였다. 10중량%로 용매 교환하여 선택된 용매의 용액을 얻었다. 용액을 0.2 마이크론 PTFE 필터를 통해 여과하고, HDPE 병에 저장하였다. GPC (vs. PS): Mw = 8900, PDI = 4.26.
실시예 4
T(H)0.85T(CHEp)0.15T(PEO)0.05의 합성
톨루엔 중 하이드로실세스퀴옥산(153.2 g, Mw= 2200, 톨루엔 중 12.5%), 알릴 모노-메틸 폴리에틸렌 글리콜 (6.25 g), 및 비닐 시클로헥산 옥사이드 (9.31 g)를 함유하는 500mL 플라스크에 백금 촉매를 몇 방울 첨가하였다. 혼합물을 두 시간 동안 실온에서 교반하였다. 10중량%로 용매 교환하여 선택된 용매의 용액을 얻었다. 용액을 0.2 마이크론 PTFE 필터를 통해 여과하고, HDPE 병에 저장하였다. GPC (vs. PS): Mw = 4360, PDI = 3.04
실시예 5
T(H)0.85T(CHEp)0.15 T(PEO)0.05의 합성
톨루엔 중 하이드로실세스퀴옥산 (306 g, Mw= 2200, 톨루엔 중 12.5% wt), 알릴 모노-메틸 폴리에틸렌 글리콜 (12.5 g), 및 비닐 시클로헥산 옥사이드 (18.63 g)를 함유하는 500mL 플라스크에 백금 촉매를 몇 방울 첨가하였다. 혼합물을 두 시간 동안 실온에서 교반하였다. 10중량%로 용매 교환하여 선택된 용매의 용액을 얻었다. 용액을 0.2 마이크론 PTFE 필터를 통해 여과하고, HDPE 병에 저장하였다. GPC (vs. PS): Mw = 4370, PDI = 2.98
실시예 6
T(H)0.85T(CHEp)0.15T(HOP)0.05의 합성
톨루엔 중 하이드로실세스퀴옥산 (37.6 g, Mw= 2200, 톨루엔 중 23.5% wt), 2-알릴옥시테트라하이드로피란(HOP, 6.62 g), 및 비닐 시클로헥산 옥사이드(4.13 g)를 함유하는 250mL 플라스크에 에테르 용매 중의 백금 촉매를 몇 방울 첨가하였다. 혼합물을 몇 시간 동안 실온에서 교반하였다. 10중량%로 용매 교환하여 선택된 용매의 용액을 얻었다. 용액을 0.2 마이크론 PTFE 필터를 통해 여과하고, HDPE 병에 저장하였다. GPC (vs. PS): Mw = 6730, PDI = 3.21
수지 포뮬레이션, 코팅 및 특징화
수지, 광개시제, 또는 광산 발생제(PAG), (p-이소프로필페닐)(p-메틸페닐)아오도늄 테트라키스(펜타플루오로페닐) 보레이트, 또는 광에 의한 라디칼 발생제(PRG)에 의거하여, 시바 스페셜 케미컬스(Ciba Special Chemicals)로부터의 Irgacure 379를 수지 용액의 10% wt로 용해시켰다(표 1). 웨이퍼 상의 막 코팅을 Karl Suss CT62 스핀 코터(spin coater)로 처리하였다. 수지 용액을 먼저 0.2 마이크론 TEFLON 필터를 통해 여과한 후, 표준 단면 4인치 폴리싱된 저 저항의 웨이퍼 또는 양면 연마된 FTIR 웨이퍼(다르게 명시되지 않는 한, 스핀 속도 = 2000 rpm; 가속도 = 5000, 시간 = 20 초)로 스핀 코팅하였다. 웨이퍼를 120℃에서 60초 동안 예비베이킹한 후, 광대역 UV를 조사하였다(UV 선량은 표 1에서 J/m2로 기재된다). 이후, 웨이퍼를 120℃에서 60초 동안 후-베이킹하였다. 이후, 경화된 웨이퍼를 60초 동안 TMAH 용액(0.24 N)에 침지시켰다. 광-경화 후 TMAH 디벨로퍼에 의한 막 손실율(%)을 J. A. Woollam 엘립소미터(ellipsometer)를 사용하여 1분 동안 TMAH (0.24 N) 중에 경화된 웨이퍼를 침지하기 전 및 후의 막 두께 변화를 측정함으로써 결정하였다. 기록된 두께 값은 9회 측정치의 평균이었다.
표 1. 실세스퀴옥산 재료의 광경화성 특성
Figure pct00003
a용매: HexOH = 1-헥사놀; IPA = 이소프로판올; i-BuOH = 이소부탄올; PGBE = 프로필렌 글리콜 1-모노부틸 에테르; IPE = 디(이소펜틸)에테르;
b광개시제: PAG(광산 발생제) = 용액 중 0.85%wt; PRG(광에 의한 라디칼 발생제) =(용액 중 3% wt);
c경화 조건: 120℃에서 60초 동안 예비베이킹 - 방사선(UV 광대역)- 120℃에서 60초 동안 후베이킹

Claims (18)

  1. 기판 상에 패턴을 형성시키는 방법으로서,
    (I) (i) 하기 단위로 구성된 실세스퀴옥산 수지:
    (HSiO(3-x)/2(OR')x)m
    (RSiO(3-x)/2(OR')x)n
    (R1SiO(3-x)/2(OR')x)p
    (R2SiO(3-x)/2(OR')x)q
    (상기 식에서, R'는 수소 원자 또는 1 내지 4개의 탄소 원자를 지닌 탄화수소 기이고; R은 에폭시 작용기를 함유하는 기, 아크릴옥시 작용기를 함유하는 기 및 비닐에테르 기로부터 선택된 광경화성(photocurable) 기이고; R1은 친수성 유기 기이고; R2는 임의의 유기 보조 기이고; x는 0, 1 또는 2의 값을 갖고; 수지 중 m은 0.10 내지 0.95의 값을 갖고, n은 0.05 내지 0.7의 값을 갖고; p는 0.05 내지 0.5의 값을 갖고; q는 0 내지 0.5의 값을 갖고, m + n + p + q
    Figure pct00004
    1이다)를 포함하는 코팅 조성물을, 열산 발생제(thermal acid generator), 광산 발생제(photo acid generator) 또는 아민 가교제로부터 선택된 활성제를 함유하는 제 1 패턴닝 재료 상에 적용하고;
    (II) 코팅 조성물을 경화 메카니즘에 노출시켜서 제 1 패턴 재료의 표면 상에 경화된 코팅을 생성시키고;
    (III) 임의의 경화되지 않은 코팅 조성물을 제거하고;
    (IV) 임의의 수평면으로부터 경화된 코팅을 제거하여 제 1 패턴 재료의 측벽 상에 경화된 코팅을 형성시키고;
    (V) 제 1 패턴 재료를 제거함으로써 기판 상에 경화된 코팅 조성물을 포함하는 제 2 패턴을 생성시키는 것을 포함하는 방법.
  2. 제 1항에 있어서, m이 0.2 내지 0.90의 값을 갖고, n이 0.05 내지 0.50의 값을 갖고, p가 0.05 내지 0.30의 값을 갖고, q가 0 내지 0.25의 값을 갖는 방법.
  3. 제 1항에 있어서, m이 0.3 내지 0.85의 값을 갖고, n이 0.1 내지 0.3의 값을 갖고, p가 0.1 내지 0.20의 값을 갖고, q가 0.01 내지 0.20의 값을 갖는 방법.
  4. 제 1항 내지 제 3항 중 어느 한 항에 있어서, R이 화학식 -R2OCH2CH(O)CH2(여기서, R2는 1 내지 4개의 탄소 원자를 갖는 탄화수소 기 또는 폴리에테르 기 또는 -CH2CH2-(C6H9(O))이다)인 에폭시 기인 방법.
  5. 제 4항에 있어서, R이 3-글리시독시프로필 기인 방법.
  6. 제 4항에 있어서, R이 2-(3,4-에폭시시클로헥실) 기인 방법.
  7. 제 1항 내지 제 3항 중 어느 한 항에 있어서, R이 화학식 CH2=C(R3)COOR4-(여기서, R3는 수소 원자, 또는 메틸 기이고, R4는 1 내지 4개의 탄소 원자를 갖는 탄화수소 기 또는 폴리에테르 기이다)을 갖는 아크릴옥시 기인 방법.
  8. 제 7항에 있어서, R이 메타크릴옥시프로필 기인 방법.
  9. 제 7항에 있어서, R이 아크릴옥시프로필 기인 방법.
  10. 제 1항 내지 제 3항 중 어느 한 항에 있어서, R이 비닐에테르 기인 방법.
  11. 제 1항에 있어서, 코팅 조성물이 또한 용매를 포함하는 방법.
  12. 제 1항에 있어서, 코팅 조성물을 가열함으로써 코팅 조성물을 열 경화시키는 방법.
  13. 제 1항에 있어서, 코팅 조성물을 방사선에 노출시킴으로써 조성물을 경화시키는 방법.
  14. 제 1항에 있어서, 임의의 경화되지 않은 코팅 조성물을 디벨로퍼(developer) 용액으로 제거하는 방법.
  15. 제 1항에 있어서, 경화된 코팅을 반응성 이온 에칭 기술에 의해 임의의 수평면으로부터 제거하는 방법.
  16. 제 1항에 있어서, 경화된 코팅을 CF4로 에칭함으로써 제거하는 방법.
  17. 제 1항에 있어서, 제 1 패터닝 재료를 에칭에 의해 제거하는 방법.
  18. 제 1항에 있어서, 제 1 패터닝 재료를 O2 플라즈마로 에칭함으로써 제거하는 방법.
KR1020127004657A 2009-07-23 2010-06-22 더블 패터닝 방법 및 물질 KR101295858B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22792509P 2009-07-23 2009-07-23
US61/227,925 2009-07-23
PCT/US2010/039411 WO2011011140A2 (en) 2009-07-23 2010-06-22 Method and materials for double patterning

Publications (2)

Publication Number Publication Date
KR20120044367A true KR20120044367A (ko) 2012-05-07
KR101295858B1 KR101295858B1 (ko) 2013-08-12

Family

ID=43499589

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127004657A KR101295858B1 (ko) 2009-07-23 2010-06-22 더블 패터닝 방법 및 물질

Country Status (8)

Country Link
US (1) US8728335B2 (ko)
EP (1) EP2457126A4 (ko)
JP (2) JP5571788B2 (ko)
KR (1) KR101295858B1 (ko)
CN (1) CN102439523B (ko)
SG (1) SG177241A1 (ko)
TW (1) TWI497216B (ko)
WO (1) WO2011011140A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140043275A (ko) * 2012-10-01 2014-04-09 신에쓰 가가꾸 고교 가부시끼가이샤 패턴 형성 방법
CN108335970A (zh) * 2017-01-19 2018-07-27 三星Sdi株式会社 形成图案的方法、精细图案层以及半导体装置

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2691810A1 (en) * 2011-03-29 2014-02-05 Dow Corning Corporation Photo-patternable and developable silesquioxane resins for use in device fabrication
CN103681234B (zh) * 2012-09-10 2016-03-16 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN103681293B (zh) * 2012-09-10 2016-04-20 中芯国际集成电路制造(上海)有限公司 自对准双重图形化方法
CN103280403B (zh) * 2013-05-14 2015-04-08 上海华力微电子有限公司 双栅氧器件的制造方法
WO2017112653A1 (en) * 2015-12-22 2017-06-29 Carbon, Inc. Dual precursor resin systems for additive manufacturing with dual cure resins
KR102398664B1 (ko) 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
JP2017138514A (ja) * 2016-02-04 2017-08-10 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 表面処理用組成物およびそれを用いたレジストパターンの表面処理方法
CN109071576B (zh) 2016-05-03 2021-12-28 美国陶氏有机硅公司 倍半硅氧烷树脂和氧杂胺组合物
WO2017218286A1 (en) 2016-06-16 2017-12-21 Dow Corning Corporation Silicon-rich silsesquioxane resins
EP3558511A4 (en) 2016-12-22 2020-11-25 Illumina, Inc. ARRAYS WITH A RESIN FILM AND A STRUCTURED POLYMER LAYER
WO2018236358A1 (en) * 2017-06-20 2018-12-27 Intel Corporation DUAL PATTERN FORMATION ALLOWED BY ION IMPLANTATION CHARACTERISTICS DEFINED BY SPRAYING
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
FI128886B (en) * 2019-02-25 2021-02-26 Pibond Oy Functional hydrogen silicon oxane polymers and their uses

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
DE10217151A1 (de) * 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
JP4045430B2 (ja) 2002-12-24 2008-02-13 信越化学工業株式会社 パターン形成方法及びパターン形成材料
KR20070004587A (ko) * 2003-12-23 2007-01-09 게에 바이엘 실리콘스 게엠베하 운트 코. 카게 개질된 표면 특성을 갖는 경화성 실록산 조성물
JP2006117846A (ja) * 2004-10-22 2006-05-11 Hitachi Chem Co Ltd パターン形成用樹脂組成物及びパターン形成方法
DK1959951T3 (da) 2005-12-01 2010-03-01 Hoffmann La Roche Heteroaryl-substituerede piperidinderivater som L-CPT1-inhibitorer
JP4553835B2 (ja) * 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
US7892982B2 (en) * 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US8148043B2 (en) * 2006-06-28 2012-04-03 Dow Corning Corporation Silsesquioxane resin systems with base additives bearing electron-attracting functionalities
KR101216060B1 (ko) * 2006-06-28 2012-12-28 도쿄 오카 고교 가부시키가이샤 전자 유인성 관능 그룹을 갖는 염기 첨가제를 함유한 실세스퀴옥산 수지 시스템
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100910042B1 (ko) 2006-09-20 2009-07-30 삼성전자주식회사 이중 패터닝 기술을 이용한 플래시 메모리 소자 및 그 제조방법
JP5000250B2 (ja) * 2006-09-29 2012-08-15 東京応化工業株式会社 パターン形成方法
US20080199814A1 (en) * 2006-12-06 2008-08-21 Fujifilm Electronic Materials, U.S.A., Inc. Device manufacturing process utilizing a double patterning process
US7901869B2 (en) 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
US7651950B2 (en) * 2007-09-28 2010-01-26 Hynix Semiconductor Inc. Method for forming a pattern of a semiconductor device
US20090142694A1 (en) * 2007-11-30 2009-06-04 Braggone Oy Siloxane polymer compositions and methods of using the same
KR101573954B1 (ko) * 2007-12-21 2015-12-02 램 리써치 코포레이션 포토레지스트 더블 패터닝
JP5035151B2 (ja) 2008-07-10 2012-09-26 Jsr株式会社 パターン反転用樹脂組成物及び反転パターン形成方法
WO2010032796A1 (ja) * 2008-09-19 2010-03-25 日産化学工業株式会社 サイドウォール形成用組成物
JP5438959B2 (ja) * 2008-12-24 2014-03-12 東京応化工業株式会社 パターン形成方法
JP5663140B2 (ja) * 2009-01-22 2015-02-04 東京応化工業株式会社 被覆パターン形成方法、レジスト被覆膜形成用材料、パターン形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140043275A (ko) * 2012-10-01 2014-04-09 신에쓰 가가꾸 고교 가부시끼가이샤 패턴 형성 방법
CN108335970A (zh) * 2017-01-19 2018-07-27 三星Sdi株式会社 形成图案的方法、精细图案层以及半导体装置
KR20180085575A (ko) * 2017-01-19 2018-07-27 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자

Also Published As

Publication number Publication date
JP2012533907A (ja) 2012-12-27
KR101295858B1 (ko) 2013-08-12
TW201111918A (en) 2011-04-01
TWI497216B (zh) 2015-08-21
JP5571788B2 (ja) 2014-08-13
EP2457126A2 (en) 2012-05-30
SG177241A1 (en) 2012-02-28
EP2457126A4 (en) 2016-05-11
US20120118856A1 (en) 2012-05-17
JP2014209241A (ja) 2014-11-06
WO2011011140A3 (en) 2011-03-31
US8728335B2 (en) 2014-05-20
WO2011011140A2 (en) 2011-01-27
CN102439523A (zh) 2012-05-02
CN102439523B (zh) 2015-01-07

Similar Documents

Publication Publication Date Title
KR101295858B1 (ko) 더블 패터닝 방법 및 물질
KR101286631B1 (ko) 리버스 패터닝 방법 및 재료
KR101296889B1 (ko) 리버스 패터닝 방법 및 재료
KR101690159B1 (ko) 변환가능한 반사방지 코팅
KR20080081167A (ko) 광가교 경화의 레지스트 하층막을 형성하기 위한 규소 함유레지스트 하층막 형성 조성물
WO2010087233A1 (ja) シリコン含有膜、樹脂組成物およびパターン形成方法
JP4946787B2 (ja) レジスト下層膜用組成物及びその製造方法
JPWO2019124514A1 (ja) 光硬化性シリコン含有被覆膜形成組成物
WO2013106298A1 (en) Di-t-butoxydiacetoxysilane-based silsesquioxane resins as hard-mask antireflective coating material and method of making
CN111607089B (zh) 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170719

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180718

Year of fee payment: 6