KR20120001773A - Plasma etching method - Google Patents

Plasma etching method Download PDF

Info

Publication number
KR20120001773A
KR20120001773A KR1020117024946A KR20117024946A KR20120001773A KR 20120001773 A KR20120001773 A KR 20120001773A KR 1020117024946 A KR1020117024946 A KR 1020117024946A KR 20117024946 A KR20117024946 A KR 20117024946A KR 20120001773 A KR20120001773 A KR 20120001773A
Authority
KR
South Korea
Prior art keywords
etching
pattern
substrate
layer
width
Prior art date
Application number
KR1020117024946A
Other languages
Korean (ko)
Inventor
히로키 긴타카
도시히사 오즈
마사히코 다카하시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120001773A publication Critical patent/KR20120001773A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

기판 위에 마스크 패턴을 형성한 후에 에칭 처리에 의해 원하는 패턴을 형성하도록 기판을 처리는 방법은, 기판 위에 2개의 층을 형성하는 단계; 그 2개의 층 중 하나의 층의 마스크 패턴 또는 에칭된 패턴의 폭을 측정하는 단계; 및 측정된 폭에 기초하여, 에칭 처리에 사용되는 HBr 가스 및 다른 가스 중 어느 하나의 유량을 조정하는 단계를 포함한다. 이 2개의 층은 실리콘 질화물층 및 유기 유전체층을 포함할 수도 있다.After forming the mask pattern on the substrate, the method of processing the substrate to form a desired pattern by etching treatment comprises: forming two layers on the substrate; Measuring the width of the mask pattern or etched pattern of one of the two layers; And adjusting the flow rate of any one of the HBr gas and the other gas used in the etching process, based on the measured width. These two layers may comprise a silicon nitride layer and an organic dielectric layer.

Description

플라즈마 에칭 방법{PLASMA ETCHING METHOD}Plasma Etching Method {PLASMA ETCHING METHOD}

이 출원은 모두“플라즈마 에칭 방법”으로 명칭이 부여된 2009년 3월 24일자로 출원된 미국 가출원 제61/210,990호, 2009년 3월 31일자로 출원된 미국 가출원 제61/211,573호 및 2009년 3월 31일자로 출원된 미국 가출원 제61/211,614호의 우선권을 주장하며, 이들의 내용은 그 전체가 참조로서 여기에 포함된다.All of these applications are US Provisional Application No. 61 / 210,990 filed March 24, 2009, entitled “Plasma Etching Method”, US Provisional Application No. 61 / 211,573, filed March 31, 2009 and 2009 Claims priority of US provisional application 61 / 211,614, filed March 31, the contents of which are hereby incorporated by reference in their entirety.

본 발명은 반도체 장치 및 그 제조 방법에 관한 것이다. 보다 상세하게는, 본 발명은 원하는 임계 치수(CD)값을 가지는 고해상도의 패턴을 제공하는 플라즈마 에칭 방법에 관한 것이다.The present invention relates to a semiconductor device and a method of manufacturing the same. More particularly, the present invention relates to a plasma etching method that provides a high resolution pattern having a desired critical dimension (CD) value.

반도체 제조 공정에서, 포토리소그래피 기술은 레지스트 패턴을 형성하는데 이용된다. 포토리소그래피 기술에서는, 먼저 반도체 또는 LCD(liquid crystal display) 기판에 레지스트 용액을 코팅한다. 포토 마스크를 이용하여, 레지스트 막을 강렬한 광의 패턴에 의해 노광시킨 후에 현상한다. 그 결과, 반도체 또는 LCD 기판에 원하는 레지스트 패턴을 형성한다. 원하는 레지스트 패턴을 형성한 이후에, 반도체 또는 LDC 기판을 에칭하기 위하여 에칭 처리를 행한다.In semiconductor manufacturing processes, photolithography techniques are used to form resist patterns. In photolithography technology, a resist solution is first coated on a semiconductor or liquid crystal display (LCD) substrate. Using a photo mask, the resist film is developed after exposure with an intense light pattern. As a result, a desired resist pattern is formed on the semiconductor or LCD substrate. After forming the desired resist pattern, an etching process is performed to etch the semiconductor or LDC substrate.

전술한 처리 단계들의 각각에서의 처리 결과는, 그 단계들이 일정한 처리 조건 하에서 수행되더라도, 예를 들어 기판 표면, 대기 압력 및 온도와 상대 습도에서의 변동의 조건과 같은 원하지 않는 요인들의 존재로 인하여, 타겟 값을 충족시키지 못할 수도 있음이 공지되어 있다.The result of the treatment in each of the aforementioned processing steps is that even if the steps are performed under constant processing conditions, due to the presence of unwanted factors such as, for example, the substrate surface, atmospheric pressure and conditions of variation in temperature and relative humidity, It is known that it may not meet the target value.

종래에는, 고정된 개수의 기판을 처리한 이후에, 검사를 위하여 기판을 꺼낸다. 그 검사 동안에, 여러 가지 파라미터들이 측정되고, 검사 결과에 기초하여 처리 조건이 적절한지 여부에 대한 결정을 행한다. 이러한 파라미터들의 일례는, 코팅 처리 이후의 레지스트막의 두께, 현상 처리 이후의 레지스트 패턴의 라인폭 또는 임계 치수(CD), 베이스 패턴과 레지스트 패턴과의 정합 정밀도, 현상된 표면의 불균일, 현상에서의 결함, 에칭된 기판의 라인폭 또는 임계 치수(CD) 및 에칭 처리 이후의 표면 상의 결함을 포함할 수 있다.Conventionally, after processing a fixed number of substrates, the substrates are taken out for inspection. During the inspection, various parameters are measured and a determination is made as to whether the processing conditions are appropriate based on the inspection results. Examples of such parameters include the thickness of the resist film after the coating treatment, the line width or critical dimension (CD) of the resist pattern after the developing treatment, the matching accuracy of the base pattern and the resist pattern, the unevenness of the developed surface, and the defects in development. And the line width or critical dimension (CD) of the etched substrate and defects on the surface after the etching process.

그 후, 각 처리 단계들에 대한 처리 조건은 검사 결과에 기초하여 행해진 결정에 따라서 수정될 수 있다. 매우 성가신 이러한 작업 수정은 경험있는 조작자에 의해 수행될 수 있다. 수정 작업을 용이하게 하기 위하여, 레지스트 패턴 형성 처리는 일본 공개 특허 제2002-190446호 공보에 제안되어 있다. 이 처리에서, 각각의 특정한 측정 파라미터와 관련된 미리 정해진 세트의 수정 파라미터를 먼저 결정한다. 그 후, 이 미리 결정된 세트의 수정 파라미터를 자동화된 검사 결과에 따라서 수정한다.Then, the processing conditions for each processing step can be modified according to the decision made based on the inspection result. This task modification, which is very cumbersome, can be performed by an experienced operator. In order to facilitate the correction operation, a resist pattern forming process is proposed in Japanese Laid-Open Patent Publication No. 2002-190446. In this process, a predetermined set of correction parameters associated with each particular measurement parameter is first determined. Thereafter, this predetermined set of correction parameters is modified according to the automated inspection results.

예를 들어, 에칭된 기판의 라인폭 또는 임계 치수(CD)를 특정한 측정 파라미터로서 간주하는 경우에, 이하의 수정 파라미터들은 타겟 값을 달성하기 위하여 수정될 수 있는데, 그 파라미터들은, 1) 노광 세기; 2) 가열 시간; 3) 현상 시간; 4) 에칭 시간; 및 5) 에칭 가스 조성비이다. 그러나, 전술한 공보는, 가스 조성비가 임계 치수(CD)의 원하는 타겟 값을 달성하기 위하여 에칭 처리에 어떻게 영향을 미치는지 구체적으로 설명하고 있지 않다.For example, in the case of considering the line width or critical dimension (CD) of an etched substrate as a particular measurement parameter, the following correction parameters can be modified to achieve a target value, which parameters are: 1) exposure intensity ; 2) heating time; 3) developing time; 4) etching time; And 5) etching gas composition ratio. However, the above publication does not specifically describe how the gas composition ratio affects the etching process in order to achieve the desired target value of the critical dimension CD.

또한, 일본 공개 특허 제2003-209093호에서는, 기판 처리 공정에 대해서 레지스트 패턴의 임계 치수(CD)가 에칭 단계 이후에 원하는 회로 패턴을 형성하기 위하여 정밀하게 측정된다는 점이 개시되어 있다. 이 공정에서는, 레지스트 패턴의 임계 치수(CD)를 먼저 측정한다. 그 후, 처리 조건을 조정하기 위하여 측정된 결과를 에칭 처리 유닛으로 피드 포워딩한다. 최적의 처리 조건을 설정하기 위하여, 에칭 처리 이후에 원하는 정밀한 회로 패턴을 획득할 수 있다. 이 기술은 측정된 레지스트막 임계 치수(CD)에 기초하여 원하는 패턴을 에칭하는 피드 포워드 방법을 제공한다. 그러나, 이전의 공보와 유사하게도, 이 기술은, 원하는 임계 치수(CD)를 달성하기 위한 에칭 가스 타입 및 그 조성비에 대한 특정한 조건을 교시하고 있지 않다. Further, Japanese Laid-Open Patent Publication No. 2003-209093 discloses that for a substrate processing process, the critical dimension (CD) of the resist pattern is precisely measured to form a desired circuit pattern after the etching step. In this step, the critical dimension (CD) of the resist pattern is first measured. Thereafter, the measured results are feed forwarded to the etching processing unit in order to adjust the processing conditions. In order to set the optimum processing conditions, the desired precise circuit pattern can be obtained after the etching process. This technique provides a feed forward method of etching a desired pattern based on the measured resist film critical dimension (CD). However, similar to the previous publication, this technique does not teach specific conditions for the type of etching gas and its composition ratio to achieve the desired critical dimension (CD).

본 발명은 전술한 문제점을 고려하여 제안되었다. 본 발명은 특정 타입의 에칭 가스 및 그 조성비를 이용하여 원하는 임계 치수(CD)를 가진 고해상도의 패턴을 형성하는 처리를 제공한다.The present invention has been proposed in view of the above problems. The present invention provides a process for forming a high resolution pattern having a desired critical dimension (CD) using a particular type of etching gas and its composition ratio.

본 발명의 제1 양태에 따르면, 기판 위에 마스크 패턴을 형성한 이후에 에칭 처리에 의해 원하는 패턴을 형성하도록 기판을 처리는 방법이 제공된다. 이 방법은 상기 기판 위에, 실리콘 질화물층 및 유기 유전체층을 포함하는 2개의 층을 형성하는 단계; 상기 2개의 층 중 하나의 층의 마스크 패턴 또는 에칭된 패턴의 폭을 측정하는 단계; 및 그 측정된 폭에 기초하여 HBr 가스 및 다른 가스 중 어느 하나의 유량을 조정하는 단계를 포함한다. HBr 가스 및 다른 가스는 에칭 처리에 이용된다.According to a first aspect of the present invention, there is provided a method of processing a substrate to form a desired pattern by etching after forming a mask pattern on the substrate. The method comprises the steps of forming on the substrate two layers comprising a silicon nitride layer and an organic dielectric layer; Measuring a width of a mask pattern or an etched pattern of one of the two layers; And adjusting the flow rate of any one of the HBr gas and the other gas based on the measured width. HBr gas and other gases are used for the etching process.

본 발명의 제2 양태에 따르면, 기판 위에 마스크 패턴을 형성한 이후에 에칭 처리에 의해 원하는 패턴을 형성하도록 상기 기판을 처리하는 방법이 제공되며, 이 방법은 기판 위에, 실리콘 질화물층, 유기 유전체층 및 실리콘 함유 반사방지 코팅층을 포함하는 3개의 층을 형성하는 단계; 상기 3개의 층 중 하나의 층의 마스크 패턴 또는 에칭된 패턴의 폭을 측정하는 단계; 및 그 측정된 폭에 기초하여 CF4 및 CHF3 중 어느 하나의 유량을 조정하는 단계를 포함한다. CF4 및 CHF3는 에칭 처리에 이용된다.According to a second aspect of the present invention, there is provided a method of treating a substrate to form a desired pattern by etching after forming a mask pattern on the substrate, the method comprising: a silicon nitride layer, an organic dielectric layer and a substrate; Forming three layers comprising a silicon containing antireflective coating layer; Measuring a width of a mask pattern or an etched pattern of one of the three layers; And adjusting the flow rate of any one of CF 4 and CHF 3 based on the measured width. CF 4 and CHF 3 are used for the etching treatment.

도 1은 플라즈마 에칭 처리의 수행 전후의 타겟 구조의 실시형태를 개략적으로 나타낸다.
도 2는 실리콘 질화물(SiN)층을 패턴화한 이후의 실험 샘플의 횡단면도 및 타겟 구조의 다른 실시형태를 개략적으로 나타낸다.
도 3은 플라즈마 처리 장치의 실시형태의 개략도를 나타내다.
도 4는 코터 현상기에 통합된 라인폭 측정 장치의 실시형태의 개략도를 나타낸다.
도 5는 에칭 장치에 통합된 라인폭 측정 장치의 다른 실시형태의 개략도를 나타낸다.
도 6은 다층이 에칭되는 패턴의 라인폭을 조정하기 위한 처리의 실시형태를 나타낸다.
도 7은 독립적인 라인폭 측정 장치의 다른 실시형태의 개략도를 나타낸다.
도 8은 각 특정층에서 플라즈마 에칭 처리를 수행한 이후의 밀집된 패턴 및 성긴 패턴을 나타내는 실험 샘플의 횡단면도를 나타낸다.
도 9는 오버(over) 에칭(OE) 시간 처리의 함수로서 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다.
도 10은 HBr 유량의 함수로서 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다.
도 11은 여러 가지 에칭 가스 타입에 대하여 밀집된 패턴 및 성긴 패턴을 나타내는 실험 샘플의 횡단면도를 나타낸다.
도 12는 Ar/HBr/O2 시리즈 유량의 함수로서 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다.
도 13은 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다.
도 14는 시간의 함수로서 각 마스크층의 마이크로파 전력, RF 전력 및 RF 전압을 나타낸다.
도 15는 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다.
도 16은 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다.
1 schematically shows an embodiment of a target structure before and after performing a plasma etching process.
2 schematically illustrates a cross-sectional view of an experimental sample and another embodiment of the target structure after patterning a silicon nitride (SiN) layer.
3 shows a schematic view of an embodiment of a plasma processing apparatus.
4 shows a schematic diagram of an embodiment of a linewidth measurement apparatus integrated into a coater developer.
5 shows a schematic diagram of another embodiment of a linewidth measurement apparatus integrated into an etching apparatus.
6 shows an embodiment of a process for adjusting the line width of a pattern in which the multilayer is etched.
7 shows a schematic diagram of another embodiment of an independent line width measuring apparatus.
8 shows a cross sectional view of an experimental sample showing a dense pattern and a coarse pattern after performing a plasma etching process on each specific layer.
9 shows a cross sectional view of a test sample and its critical dimension (CD) as a function of over etch (OE) time treatment.
10 shows the cross sectional view of a test sample and its critical dimension (CD) as a function of HBr flow rate.
FIG. 11 shows a cross-sectional view of experimental samples showing dense and coarse patterns for various etching gas types.
12 shows the cross sectional view of a test sample and its critical dimension (CD) as a function of Ar / HBr / O 2 series flow rate.
13 shows a cross sectional view of an experimental sample and its critical dimension (CD).
14 shows the microwave power, RF power and RF voltage of each mask layer as a function of time.
15 shows a cross sectional view of an experimental sample and its critical dimension (CD).
16 shows a cross sectional view of an experimental sample and its critical dimension (CD).

이하 첨부 도면을 참조하여 본 발명의 실시형태를 설명하며, 여기서 본 발명의 바람직한 예시적인 실시형태를 나타낸다. 이어지는 설명은 명세서의 범위, 적용성 또는 구성을 제한하도록 의도되지 않는다. 오히려, 바람직한 예시적인 실시형태에 이어지는 설명은, 명세서의 바람직한 예시적인 실시형태를 실행하기 위한 설명을 가능하게 한 상태로 당업자에게 제공된다. 본 발명은, 첨부된 청구 범위에서 설명되는 바와 같이 본 발명의 사상 및 범위를 벗어나지 않고 다른 형태들로 구현될 수도 있음을 주목해야 한다.DESCRIPTION OF THE EMBODIMENTS Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings, in which preferred exemplary embodiments of the present invention are shown. The description that follows is not intended to limit the scope, applicability, or configuration of the specification. Rather, the description following the preferred exemplary embodiment is provided to those skilled in the art in a way that enables a description for carrying out the preferred exemplary embodiment of the specification. It should be noted that the invention may be embodied in other forms without departing from the spirit and scope of the invention as described in the appended claims.

이 명세서는 일반적으로 반도체 장치 및 그 제조 방법에 관한 것이다. 보다 상세하게는, 이는 고해상도 패턴에 원하는 임계 치수(CD) 값을 제공하기 위한 플라즈마 에칭 방법에 관한 것이다.This specification generally relates to a semiconductor device and a method of manufacturing the same. More particularly, this relates to a plasma etching method for providing a desired critical dimension (CD) value for a high resolution pattern.

본 발명의 실시형태는 실리콘(Si) 패턴의 라인폭 또는 임계 치수(CD)를 제어하는 에칭 처리에 관한 것이다. 실리콘(Si) 패턴은 실리콘 질화물(SiN) 하드 마스크 패턴을 이용하여 형성된다. 실리콘 질화물(SiN) 하드 마스크 패턴은, 차례로 3층 마스크 패턴을 이용하여 형성된다. 3층 마스크 패턴은 유기 유전체(ODL)층을 포함한다. 미리 정해진 임계 치수(CD) 값을 가진 원하는 실리콘(Si) 패턴을 획득하기 위하여, 실리콘(Si) 기판 상에 형성되는 실리콘 질화물(SiN) 하드 마스크 패턴의 라인폭 또는 임계 치수(CD)는 정밀하게 제어되어야 한다. 이는 유기 유전체(ODL)층을 패턴화하는 동안에 질소와 산소의 혼합 분위기(N2/O2)에 브롬화 수소(HBr)를 첨가함으로써 달성된다.Embodiments of the present invention relate to an etching process for controlling the line width or critical dimension (CD) of a silicon (Si) pattern. The silicon (Si) pattern is formed using a silicon nitride (SiN) hard mask pattern. The silicon nitride (SiN) hard mask pattern is formed in turn using a three-layer mask pattern. The three layer mask pattern includes an organic dielectric (ODL) layer. In order to obtain a desired silicon (Si) pattern with a predetermined critical dimension (CD) value, the line width or critical dimension (CD) of the silicon nitride (SiN) hard mask pattern formed on the silicon (Si) substrate is precisely determined. It must be controlled. This is accomplished by adding hydrogen bromide (HBr) to the mixed atmosphere (N 2 / O 2 ) of nitrogen and oxygen while patterning the organic dielectric (ODL) layer.

브롬화 수소(HBr)를 첨가하고 그 유량을 증가시킴으로써, 수소(H) 농도는 산소(O)의 추출로 인해 ODL층의 표면에서 감소된다. 그 결과, 탄소 성분이 높은 유기 유전체(ODL)층을 생성한다. ODL층의 높은 탄소 성분은 유기 유전체(ODL)층을 더욱 단단하게 만드는 탄소-탄소 결합을 생성한다. ODL층의 단단한 특성은, CD값이 타겟 값보다 더 작은 경우에 수평 에칭 레이트를 특별히 감소시킴으로써, 임계 치수(CD)의 미리 정해진 값을 획득하기 위하여 보다 바람직한 제어성을 제공한다.By adding hydrogen bromide (HBr) and increasing its flow rate, the hydrogen (H) concentration is reduced at the surface of the ODL layer due to the extraction of oxygen (O). As a result, an organic dielectric (ODL) layer having a high carbon content is produced. The high carbon component of the ODL layer creates a carbon-carbon bond that makes the organic dielectric (ODL) layer harder. The rigid nature of the ODL layer provides more desirable controllability to obtain a predetermined value of the critical dimension (CD) by specifically reducing the horizontal etch rate when the CD value is smaller than the target value.

또한, ODL층의 높은 탄소 성분은 ODL 패턴의 표면에 복수의 브롬화 탄소 결합을 생성한다. 그 결과, 브로화 탄소(CBrx)의 얇은 층은 측벽 보호층으로서 ODL 패턴 위에 증착된다. 이는 ODL의 임계 치수(CD)를 커지게 한다.In addition, the high carbon component of the ODL layer produces a plurality of brominated carbon bonds on the surface of the ODL pattern. As a result, a thin layer of carbon bromide (CBr x ) is deposited over the ODL pattern as sidewall protection layer. This makes the critical dimension (CD) of the ODL large.

본 발명의 일 실시형태에 따르면, 원하는 임계 치수(CD) 값은, 유기 유전체(ODL)층 위에 메인 에칭(ME) 단계를 수행하는 동안에 브롬화 수소(HBr)의 유량을 조정함으로써 수행된다. 브롬화 수소(HBr)의 유량을 증가시킴으로써, ODL 패턴의 임계 치수(CD)는 값이 증가하게 된다.According to one embodiment of the invention, the desired critical dimension (CD) value is performed by adjusting the flow rate of hydrogen bromide (HBr) during the main etching (ME) step on the organic dielectric (ODL) layer. By increasing the flow rate of hydrogen bromide (HBr), the critical dimension (CD) of the ODL pattern is increased in value.

또 다른 실시형태에 따르면, ODL 패턴의 임계 치수(CD)는, 메인 에칭(ME) 단계를 완료한 이후에 오버 에칭(OE) 단계를 수행함으로써 조정될 수도 있다. 오버 에칭(OE) 단계에서, 원하는 임계 치수(CD) 값은, 산소에 대한 질소의 비(N2/O2)를 조정하고, 적절한 양의 브롬화 수소(HBr)를 첨가함으로써 수행될 수 있다. 따라서, 실제 CD와 타겟 값 사이의 차가 비교적 더 큰 경우, 메인 에칭(ME) 처리에 대하여 조정을 행할 수 있고, 그 차가 비교적 더 작은 경우, 오버 에칭(OE) 처리에 대하여 조정을 행할 수 있다.According to another embodiment, the critical dimension (CD) of the ODL pattern may be adjusted by performing an over etch (OE) step after completing the main etch (ME) step. In the over etching (OE) step, the desired critical dimension (CD) value can be performed by adjusting the ratio of nitrogen to oxygen (N 2 / O 2 ) and adding an appropriate amount of hydrogen bromide (HBr). Therefore, when the difference between the actual CD and the target value is relatively larger, adjustment can be made to the main etching (ME) process, and when the difference is relatively smaller, adjustment can be made to the over etching (OE) process.

일 실시형태에서, 임계 치수(CD) 값은 오버 에칭 기간을 연장하는 동안에 브롬화 수소(HBr)의 유량을 일정한 값으로 설정함으로써 증가할 수도 있다. 다른 실시형태에서는, 임계 치수(CD) 값을 브롬화 수소(HBr)의 유량을 증가시킴으로써 증대시킬 수도 있다. 이는 전체 분위기에서 다른 가스에 대한 브롬화 수소(HBr) 가스의 조성비를 더 높게 한다.In one embodiment, the critical dimension (CD) value may be increased by setting the flow rate of hydrogen bromide (HBr) to a constant value while extending the over etching period. In another embodiment, the critical dimension CD value may be increased by increasing the flow rate of hydrogen bromide (HBr). This makes the composition ratio of hydrogen bromide (HBr) gas to other gases higher in the overall atmosphere.

또 다른 실시형태에 따르면, 원하는 임계 치수(CD) 값은 산소에 대한 질소의 비(N2/O2)를 조정하고 염소(Cl2) 가스를 첨가함으로써 ODL 오버 에칭(OE) 단계에서 달성될 수도 있다.According to another embodiment, the desired critical dimension (CD) value is achieved in the ODL over etching (OE) step by adjusting the ratio of nitrogen to oxygen (N 2 / O 2 ) and adding chlorine (Cl 2 ) gas. It may be.

또 다른 실시형태에 따르면, 원하는 임계 치수(CD) 값은 유기 유전체(ODL)층을 패턴화하는 동안에, 질소 및 산소(N2/O2) 대신에, 아르곤과 산소의 혼합 분위기(Ar/O2)에 브롬화 수소(HBr)을 첨가함으로써 달성될 수 있다. 이 실시형태에서, ODL 층의 임계 치수(CD)는, 산소(O2)의 유량을 감소시킴으로써 증가될 수 있다.According to another embodiment, the desired critical dimension (CD) value is a mixed atmosphere (Ar / O) of argon and oxygen, instead of nitrogen and oxygen (N 2 / O 2 ), while patterning the organic dielectric (ODL) layer. 2 ) by adding hydrogen bromide (HBr). In this embodiment, the critical dimension (CD) of the ODL layer can be increased by reducing the flow rate of oxygen (O 2 ).

본 발명의 다른 실시형태에 따르면, 미리 정해진 임계 치수(CD) 값을 가진 원하는 패턴은, 실리콘 함유 반사방지 코팅(Si-ARC)층을 패턴화하는 동안에 달성될 수 있다. 이 실시형태에서, Si-ARC층의 라인폭 또는 임계 치수는, 트리플루오로메탄 가스에 대한 테트라플루오로메탄 가스의 비(CF4/CHF3)를 조정함으로써 증가하거나 감소할 수 있다.According to another embodiment of the present invention, a desired pattern with a predetermined critical dimension (CD) value can be achieved during patterning of the silicon-containing antireflective coating (Si-ARC) layer. In this embodiment, the line width or critical dimension of the Si-ARC layer can be increased or decreased by adjusting the ratio of tetrafluoromethane gas (CF 4 / CHF 3 ) to trifluoromethane gas.

또 다른 실시형태에 따르면, 미리 정해진 임계 치수(CD) 값을 가진 원하는 패턴은, RF 바이어스 전원의 레벨을 조정함으로써 달성될 수 있다. 이 실시형태에서, 임계 치수(CD) 값은 인가된 RF 바이어스 레벨(전력)에 비례한다. 이는 RF 바이어스 레벨이 높으면 높을 수록, 임계 치수(CD) 값이 더 커지는 것을 의미한다. 이와 반대로, RF 바이어스 레벨이 낮으면 낮을 수록, 임계 치수(CD) 값이 더 작아진다.According to another embodiment, the desired pattern with a predetermined threshold dimension (CD) value can be achieved by adjusting the level of the RF bias power supply. In this embodiment, the critical dimension (CD) value is proportional to the applied RF bias level (power). This means that the higher the RF bias level, the larger the critical dimension (CD) value. Conversely, the lower the RF bias level, the smaller the critical dimension (CD) value.

예를 들어, ODL 패턴화 단계에서의 브롬화 수소(HBr)의 유량, Si-ARC 패터닝 단계에서의 (CF4/CHF3)비, 및 RF 바이어스 레벨과 같은, 전술한 실시형태에서 조정될 파라미터는, 레지스트 패턴 또는 어떤 마스크 패턴의 임계 치수(CD)를 측정하는 것에 기초하여 결정된다.For example, the parameters to be adjusted in the above embodiments, such as the flow rate of hydrogen bromide (HBr) in the ODL patterning step, the (CF 4 / CHF 3 ) ratio in the Si-ARC patterning step, and the RF bias level, The determination is made based on measuring the critical dimension (CD) of the resist pattern or any mask pattern.

일 실시형태에 따르면, 현상 처리 이후에 반도체 기판 내의 레지스트 패턴의 측정값은, 동일한 반도체 기판에서 유기 유전체(ODL)층 또는 실리콘 함유 반사방지 코팅(Si-ARC)층과 같은 층들의 후속 에칭 단계를 수행하기 위한 적절한 설정 조건을 결정하는데 이용된다.According to one embodiment, the measurement of the resist pattern in the semiconductor substrate after the development treatment is performed by subsequent etching of layers such as an organic dielectric (ODL) layer or a silicon-containing antireflective coating (Si-ARC) layer on the same semiconductor substrate. It is used to determine appropriate setting conditions for performing.

또 다른 실시형태에 따르면, 하나의 반도체 기판에서의 유기 유전체(ODL)층 또는 실리콘 함유 반사방지 코팅(Si-ARC)층의 레지스트 패턴 또는 에칭된 패턴의 측정값은, 또 다른 반도체 기판에서 에칭 단계를 수행하기 위한 적절한 설정 조건을 결정하는데 이용된다.According to another embodiment, a measurement of a resist pattern or etched pattern of an organic dielectric (ODL) layer or a silicon-containing antireflective coating (Si-ARC) layer in one semiconductor substrate is performed in an etching step in another semiconductor substrate. It is used to determine appropriate setting conditions for performing the operation.

또 다른 실시형태에 따르면, 반도체 기판에서의 유기 유전체(ODL)층 또는 실리콘 함유 반사방지 코팅(Si-ARC)층의 에칭된 패턴의 측정값은, 동일한 반도체 기판에서 에칭 단계를 수행하는 동안에 적절한 설정 조건을 결정하는데 이용된다.According to another embodiment, the measurement of the etched pattern of the organic dielectric (ODL) layer or silicon-containing antireflective coating (Si-ARC) layer in the semiconductor substrate is appropriately set during the etching step on the same semiconductor substrate. It is used to determine the condition.

먼저 도 1을 참조하여, 플라즈마 에칭 처리의 수행 전후의 타겟 구조(10)의 실시형태를 도시한다. 이 도면에 도시된 바와 같이, 타겟 구조(10)는 실리콘(Si) 기판(12), 하드 마스크 실리콘 질화물(SiN)층(14) 및 3층 구조(16)을 포함할 수 있다. 이 3층 구조(16)는 유기 유전체(ODL)층(16a), 실리콘 함유 반사방지 코팅(Si-ARC)층(16b) 및 레지스트 패턴(16c)을 포함한다. 최종 실리콘(Si) 패턴을 정밀하게 제어하기 위하여, SiN층(14)의 하드 마스크 패턴은 Si 기판(12)에 정확하게 형성되어야 한다. SiN 층(14)의 하드 마스크 패턴의 원하는 형상(CD 값 또는 라인폭 포함됨)을 실현하기 위하여, SiN층(14)의 하드 마스크 패턴은 3층 구조(16)(16a, 16b, 16c)를 이용하여 에칭될 수 있다. 보다 상세하게는, 원하는 레지스트 패턴(16c)을 형성한 이후에, 후속하는 에칭 처리를 Si-ARC층(16b), ODL층(16a) 및 하드 마스크 실리콘 질화물(SiN)층(14)에 대하여 각각 수행하여, 하드 마스크로서의 SiN층(14)의 패턴을 통하여 Si 기판(12)을 에칭함으로써 전체 패턴을 실리콘(Si) 기판(12)에 최종적으로 전사한다. 또한, 일부 남아있는 잔류 SiN 패턴(14)을 가진 최종 실리콘(Si) 기판(12)의 패턴을 도 1에 나타낸다.First, with reference to FIG. 1, an embodiment of the target structure 10 before and after performing the plasma etching process is shown. As shown in this figure, the target structure 10 may include a silicon (Si) substrate 12, a hard mask silicon nitride (SiN) layer 14, and a three-layer structure 16. This three-layer structure 16 includes an organic dielectric (ODL) layer 16a, a silicon-containing antireflective coating (Si-ARC) layer 16b, and a resist pattern 16c. In order to precisely control the final silicon (Si) pattern, the hard mask pattern of the SiN layer 14 must be accurately formed on the Si substrate 12. In order to realize the desired shape (including CD value or line width) of the hard mask pattern of the SiN layer 14, the hard mask pattern of the SiN layer 14 uses three-layer structures 16 (16a, 16b, 16c). Can be etched. More specifically, after the desired resist pattern 16c is formed, subsequent etching treatment is performed on the Si-ARC layer 16b, the ODL layer 16a, and the hard mask silicon nitride (SiN) layer 14, respectively. The entire pattern is finally transferred to the silicon (Si) substrate 12 by etching the Si substrate 12 through the pattern of the SiN layer 14 as a hard mask. Further, the pattern of the final silicon (Si) substrate 12 with some remaining residual SiN pattern 14 is shown in FIG. 1.

전술한 바와 같이, 레지스트 패턴(16c)의 라인폭 또는 임계 치수(CD)는, 예를 들어 기판 표면, 대기 압력, 온도 및 상태 습도에서의 변동의 조건과 같은 원하지 않는 요인들의 존재로 인해, 원하는 타겟 값을 충족시키지 못할 수도 있다. 따라서, 후속하는 에칭 처리는 Si-ARC, ODL, SiN 및 실리콘(Si) 기판(12)의 원하는 타겟 패턴을 제공하지 못할 수도 있다. 전술한 점을 평가하기 위하여, 실험 샘플을 다른 타겟 구조에 기초하여 먼저 제조한다. 그 후, 실험 샘플은 종래의 플라즈마 에칭 처리를 받는다. 다음으로, 플라즈마 에칭 처리를 수행한 이후의, 원하는 타겟 패턴을 가진 다른 타겟 구조를 상세히 설명한다.As mentioned above, the line width or critical dimension (CD) of the resist pattern 16c may be desired due to the presence of unwanted factors such as, for example, conditions of variation in substrate surface, atmospheric pressure, temperature and state humidity. It may not meet the target value. Thus, subsequent etching treatments may not provide the desired target pattern of Si-ARC, ODL, SiN and silicon (Si) substrates 12. In order to evaluate the foregoing, experimental samples are first prepared based on other target structures. The experimental sample is then subjected to a conventional plasma etch process. Next, another target structure having a desired target pattern after performing the plasma etching process will be described in detail.

다음으로 도 2를 참조하여, 플라즈마 에칭 처리를 수행하는데 이용되는 타겟 구조(20)의 다른 실시형태를 나타낸다. 타겟 구조(20)는 부가적인 이산화실리콘(SiO2)층(22)이 실리콘 기판층(12)과 하드 마스크 실리콘 질화물(SiN)층(14) 사이에 개재되어 있다는 점에서 타겟 구조(10)와 다르다. 타겟 구조(10)와 유사하게, 3층 구조(16)는 하드 마스크 실리콘 질화물(SiN)층(14) 위에 형성된다. 이 실시형태에서, 레지스트 패턴(16c)에 대한 원하는 임계 치수는 약 40 내지 45 ㎚로 설정된다. 이러한 특정 일례는 예시적인 목적을 위해 도시되며 제한적인 것으로 의도되지 않음을 이해해야 한다. 또한, 플라즈마 에칭 처리를 수행한 이후의, 원하는 타겟 패턴을 도 2에 개략적으로 나타낸다.Next, referring to FIG. 2, another embodiment of a target structure 20 used to perform a plasma etching process is shown. The target structure 20 is characterized in that an additional silicon dioxide (SiO 2 ) layer 22 is interposed between the silicon substrate layer 12 and the hard mask silicon nitride (SiN) layer 14. different. Similar to the target structure 10, a three layer structure 16 is formed over the hard mask silicon nitride (SiN) layer 14. In this embodiment, the desired critical dimension for resist pattern 16c is set to about 40-45 nm. It is to be understood that this particular example is shown for illustrative purposes and is not intended to be limiting. In addition, the desired target pattern after performing the plasma etching process is schematically shown in FIG.

실리콘 질화물(SiN)층(14)을 패턴화한 이후의 실험 샘플의 횡단면도를 도 2에 나타낸다. 이 도면에 도시된 바와 같이, 실리콘 질화물 패턴의 임계 치수(CD)는 약 33.4 ㎚이고, 이는 원하는 임계 치수(40 내지 45 ㎚)와 비교하여 약 7 ㎚ 더 작다. 패턴들 사이에서의 측정 거리는 약 65.7 ㎚인 반면에 측정된 패턴 높이는 약 49.9 ㎚이다.A cross-sectional view of the experimental sample after patterning the silicon nitride (SiN) layer 14 is shown in FIG. 2. As shown in this figure, the critical dimension (CD) of the silicon nitride pattern is about 33.4 nm, which is about 7 nm smaller compared to the desired critical dimension (40 to 45 nm). The measured distance between the patterns is about 65.7 nm while the measured pattern height is about 49.9 nm.

종래의 플라즈마 에칭 처리에 있어서, 대부분의 마스크 재료는 다소 등방적으로 에칭된다. 이는 에칭이 다소 수평적으로도 진행되는 것을 의미한다. 따라서, 플라즈마 에칭 처리가 예를 들어 유기 유전체(ODL)층(16a)과 같은 층에 실시되는 경우, 측면 에칭이 ODL층(16a)의 수직 에칭과 동시에 발생한다. 그 결과, ODL층(16a)의 마스크 패턴의 횡단면 형상은, 원하는 직사각형 형상과는 멀어지게 되며, 그 대신에 예를 들어 테이퍼화된 스커트 형상이 된다. 그 후, ODL 마스크를 통하여 에칭된 SiN 층(14)은 설계되는 바와 같이 타겟 형상이 되지 않는다. 이상적으로, 수평 방향으로의 에칭이 없는 방향성 에칭이 바람직하다. 그러나, 실제로, 수평 방향의 에칭 레이트가 작은 이방성 에칭이 바람직하다.In conventional plasma etching processes, most mask materials are etched somewhat isotropically. This means that the etching proceeds somewhat horizontally. Thus, when the plasma etching process is performed on a layer such as, for example, the organic dielectric (ODL) layer 16a, side etching occurs simultaneously with the vertical etching of the ODL layer 16a. As a result, the cross-sectional shape of the mask pattern of the ODL layer 16a is far from the desired rectangular shape, and instead becomes a tapered skirt shape, for example. Thereafter, the SiN layer 14 etched through the ODL mask does not become a target shape as designed. Ideally, directional etching without etching in the horizontal direction is preferred. However, in practice, anisotropic etching with a small etching rate in the horizontal direction is preferable.

SiN 층(14)의 패턴의 임계 치수(CD)를 제어하고, 또한 ODL층(16a)의 측면 에칭에 대한 대책으로서, 본 발명은, 유기 유전체(ODL)층(16a)을 패터닝하는 동안에 질소와 산소가 혼합된 분위기(N2/O2)에 어떤 양의 브롬화 수소(HBr)를 첨가하는 플라즈마 오버 에칭(OE) 처리를 제공한다. 브롬화 수소(HBr)의 첨가에 관한 여러 가지 처리 조건을 조사한다. 이러한 조사는, ODL 측벽 보호 기구를 확인하고 또한 에칭 동안에 임계 치수(CD)를 제어하는 처리를 확립하기 위하여 주로 수행된다. 이러한 처리 조건의 일례는 HBr 유량, 에칭 시간, 에칭 가스 타입, 기판에 인가된 바이어스 전력 및 이들의 조성비를 포함할 수 있다.As a countermeasure for controlling the critical dimension (CD) of the pattern of the SiN layer 14 and also for lateral etching of the ODL layer 16a, the present invention provides a method for controlling the organic dielectric (ODL) layer 16a with nitrogen during patterning. A plasma over etching (OE) process is provided that adds an amount of hydrogen bromide (HBr) to an oxygen mixed atmosphere (N 2 / O 2 ). Various treatment conditions regarding the addition of hydrogen bromide (HBr) are investigated. This investigation is mainly performed to confirm the ODL sidewall protection mechanism and to establish a process for controlling the critical dimension (CD) during etching. Examples of such processing conditions may include HBr flow rate, etching time, etching gas type, bias power applied to the substrate, and their composition ratios.

한편, 몇몇 제어 방법은, 본 발명의 플라즈마 에칭 처리 동안에, 실리콘(Si) 기판(12)에, 미리 정해진 임계 치수(CD)를 가진 고해상도(정밀한) 패턴을 제공하는데 이용될 수도 있다. 이러한 제어 방법의 일례는, 피드-포워드 제어 처리, 피드-백 제어 처리 및 동적(인시츄) 제어 처리를 포함할 수 있다. 다음으로, 전술한 제어 처리의 각각을 개별적으로 상세하게 설명한다.On the other hand, some control methods may be used to provide a high resolution (precise) pattern with a predetermined critical dimension (CD) to the silicon (Si) substrate 12 during the plasma etching process of the present invention. One example of such a control method may include a feed-forward control process, a feed-back control process, and a dynamic (in-situ) control process. Next, each of the above-described control processes will be described individually in detail.

일 실시형태에서, 피드-포워드 제어 처리는 미리 정해진 임계 치수(CD)를 가진 패턴을 획득하는데 이용된다. 이 실시형태에서, 레지스트 패턴(16c)의 라인폭 또는 임계 치수(CD)가 먼저 어떤 상품화된 장치를 이용하여 측정된다. 광학적 측정 기능을 가진 IM(integrated metrology) 장치, 예를 들어 산란계(scatterometry)를 채용할 수 있다. 이하에 추가로 설명하는 바와 같이, 일부 실시형태에서, 라인폭(CD) 측정 장치는 코터 현상기에 통합되며, 여기서 노광 이후의 포토 레지스트의 잠재적인 또는 현상된 CD 값은, 기판이 후속 에칭 처리를 위한 에칭 장치로 전달되기 전에 측정된다. 다른 실시형태들에서, CD 측정은 에칭 장치와 결합된 IM 장치에서 수행될 수 있으며, 여기서 CD 측정은 실제 에칭 처리의 개시 직전에 수행된다. 다른 실시형태들에서, CD 측정은 IM 툴 대신에 독립적인 측정 시스템에 의해 수행될 수 있다. 라인폭 또는 CD 측정 장치에 대한 상세한 설명을 이하에서 추가로 기술한다. 레지스트 패턴(16c)의 라인폭 또는 임계 치수(CD)를 측정한 이후에, 레지스트 패턴(16c)의 임계 치수(CD)가 원하는 타겟 값을 충족하는지 여부에 대하여 결정을 행한다. 레지스트 패턴(16c)의 임계 치수(CD)가 원하는 타겟 값을 충족시키지 못하는 경우에, 플라즈마 에칭 가스의 유량 및 타입에 대한 적절한 설정 조건을 먼저 결정한다. 그 후, 설정 조건을, 동일한 반도체 기판에서, Si-ARC 층(16b) 또는 ODL 층(16a)의 후속 에칭 처리에 대하여 조정한다. In one embodiment, the feed-forward control process is used to obtain a pattern with a predetermined threshold dimension (CD). In this embodiment, the line width or critical dimension (CD) of resist pattern 16c is first measured using any commercialized device. Integrated metrology (IM) devices with optical measurements, for example scatterometry, can be employed. As described further below, in some embodiments, the line width (CD) measuring device is integrated into the coater developer, where the potential or developed CD value of the photoresist after exposure is determined by the substrate undergoing subsequent etching treatment. It is measured before delivery to the etching apparatus. In other embodiments, the CD measurement can be performed in an IM device combined with an etching device, where the CD measurement is performed just before the start of the actual etching process. In other embodiments, the CD measurement can be performed by an independent measurement system instead of the IM tool. A detailed description of the line width or CD measuring device is further described below. After measuring the line width or the critical dimension CD of the resist pattern 16c, a determination is made as to whether or not the critical dimension CD of the resist pattern 16c satisfies a desired target value. If the critical dimension CD of the resist pattern 16c does not meet the desired target value, an appropriate setting condition for the flow rate and type of the plasma etching gas is first determined. The setting conditions are then adjusted for subsequent etching treatment of the Si-ARC layer 16b or the ODL layer 16a on the same semiconductor substrate.

다른 실시형태에서, 피드-백 제어 처리는 미리 정해진 임계 치수(CD)를 가진 패턴을 획득하는데 이용된다. 이 다른 실시형태에서, Si-ARC 패턴(16b) 또는 ODL 층(16a)의 라인폭 또는 임계 치수(CD)를 먼저 조사한다. ODL 패턴(16a)(Si-ARC 패턴(16b))의 임계 치수(CD)가 원하는 타겟 값을 충족하는지 여부에 대한 결정을 행한다. ODL 패턴(16a)(Si-ARC 패턴(16b))의 임계 치수(CD)가 원하는 타겟 값을 총족하지 못하는 경우에, 플라즈마 에칭 가스의 유량 및 타입에 대한 적절한 설정 조건이 결정된다. 그 설정 조건은 에칭 장치로 전달 된 후, 또 다른 반도체 기판에 대하여, 실리콘(Si) 기판(12)에, SiN 하드 마스크 패턴(14), ODL 패턴(16a), Si-ARC 패턴(16b) 및 레지스트 패턴(16c)과 같은 마스크 패턴의 미리 정해진 임계 치수(CD)를 제공하기 위하여 조정된다.In another embodiment, the feed-back control process is used to obtain a pattern having a predetermined threshold dimension (CD). In this other embodiment, the line width or critical dimension (CD) of the Si-ARC pattern 16b or the ODL layer 16a is first examined. A determination is made as to whether or not the critical dimension CD of the ODL pattern 16a (Si-ARC pattern 16b) satisfies the desired target value. When the critical dimension CD of the ODL pattern 16a (Si-ARC pattern 16b) does not meet the desired target value, an appropriate setting condition for the flow rate and type of the plasma etching gas is determined. After the setting conditions are transferred to the etching apparatus, the SiN hard mask pattern 14, the ODL pattern 16a, the Si-ARC pattern 16b, and the other silicon substrate 12 are transferred to the silicon (Si) substrate 12. Adjusted to provide a predetermined critical dimension CD of the mask pattern, such as resist pattern 16c.

또 다른 실시형태에서, 동적 제어 처리(인시츄)는 미리 정해진 임계 치수(CD)를 가진 패턴을 획득하는데 이용될 수 있다. 이 실시형태에서, ODL 패턴(16a) 또는 SiN 하드 마스크 패턴(14)의 라인폭 또는 임계 치수(CD)는, 먼저 에칭 처리 동안에 측정되고, 플라즈마 처리 가스의 유량 및 타입에 대한 적절한 설정 조건은 ODL 층(16a) 또는 SiN 층(14)의 플라즈마 에칭 처리 동안에 동적으로 조정된다. 다음으로, 에칭 장치 및 라인폭 또는 CD 측정 장치를 개별적으로 상세히 설명한다.In another embodiment, dynamic control processing (in situ) can be used to obtain a pattern with a predetermined critical dimension (CD). In this embodiment, the line width or critical dimension (CD) of the ODL pattern 16a or SiN hard mask pattern 14 is first measured during the etching process, and appropriate setting conditions for the flow rate and type of the plasma processing gas are ODL. It is dynamically adjusted during the plasma etching process of layer 16a or SiN layer 14. Next, the etching apparatus and the line width or CD measuring apparatus will be described in detail individually.

에칭 장치 :Etching Device:

도 3은 플라즈마 처리 장치(30)의 실시형태의 개략도를 나타낸다. 이 도면에 도시된 바와 같이, 플라즈마 처리 장치(30)는, 처리 용기(120), 방사형 라인 슬롯 플레이트(300), 기판 홀더(140) 및 유전체 윈도우(160)를 포함한다. 처리 용기(120)는 기판 홀더(140) 아래에 위치되는 바닥부(17) 및 상기 바닥부(17)의 주변으로부터 위쪽으로 연장되는 원기둥형 측벽(18)을 포함할 수 있다. 처리 용기(120)의 상부측은 제한이 없다. 유전체 윈도우(160)는 기판 홀더(140)와 대향하여 배치되며, O 링(20)을 통하여 처리 용기(120)의 상부측에서 밀봉된다. 플라즈마 처리 장치(30)는, 이 장치(30)의 처리 조건 및 전체 동작을 제어하기 위하여 이 도면에 도시되지 않은 제어기를 더 포함한다.3 shows a schematic diagram of an embodiment of a plasma processing apparatus 30. As shown in this figure, the plasma processing apparatus 30 includes a processing vessel 120, a radial line slot plate 300, a substrate holder 140, and a dielectric window 160. The processing vessel 120 may include a bottom portion 17 positioned below the substrate holder 140 and a cylindrical sidewall 18 extending upwardly from the periphery of the bottom portion 17. The upper side of the processing vessel 120 is not limited. The dielectric window 160 is disposed opposite the substrate holder 140 and sealed at the upper side of the processing vessel 120 through the O-ring 20. The plasma processing apparatus 30 further includes a controller, not shown in this figure, for controlling the processing conditions and the overall operation of the apparatus 30.

외부 마이크로파 발생기(15)는, 미리 정해진 주파수 예를 들어, 2.45 ㎓의 마이크로파 전력을 동축 도파관(24) 및 서파(solw-wave) 플레이트(28)를 통하여 방사형 라인 슬롯 플레이트(300)에 제공한다. 동축 도파관(24)은 중앙 컨덕터(25) 및 주변 컨덕터(26)를 포함할 수 있다. 그 후, 마이크로파 전력은, 방사형 라인 슬롯 플레이트(300)에 제공되는 복수의 슬롯(29)을 통하여 유전체 윈도우(160)에 송신된다. 마이크로파 발생기(15)로부터의 마이크로파는, 유전체 윈도우(160) 바로 아래에 전기장을 생성하고, 여기서 차례로 처리 용기(120) 내에 예를 들어 질소(N2) 가스 또는 아르곤(Ar) 가스와 같은 플라즈마 가스를 여기시킨다. 유전체 윈도우(160)의 내측에 제공되는 오목부(27)는 처리 용기(120) 내부에서 플라즈마를 효과적으로 생성한다.The external microwave generator 15 provides microwave power of a predetermined frequency, for example, 2.45 kHz, to the radial line slot plate 300 through coaxial waveguide 24 and sow-wave plate 28. Coaxial waveguide 24 may include a central conductor 25 and a peripheral conductor 26. The microwave power is then transmitted to the dielectric window 160 via a plurality of slots 29 provided in the radial line slot plate 300. The microwaves from the microwave generator 15 generate an electric field directly under the dielectric window 160, where in turn a plasma gas, such as, for example, nitrogen (N 2 ) gas or argon (Ar) gas, is placed in the processing vessel 120. Here. A recess 27 provided inside the dielectric window 160 effectively creates a plasma inside the processing vessel 120.

외부 고주파수 전원 소스(37)는 정합 유닛(38) 및 전력 공급 막대(39)를 통하여 기판 홀더(140)에 전기적으로 접속된다. 고주파수 전원 소스(37)는, 기판에 전달된 이온 에너지를 제어하기 위하여, 미리 정해진 주파수 예를 들어, 13.56 ㎒의 RF 바이어스 전력을 생성한다. 정합 유닛(38)은 RF 전원 소스(37)의 임피던스를 부하 예를 들어, 처리 용기(120)의 임피던스와 정합시킨다. 정전 척(41)은, DC 전원 소스(46)를 통하여 정전 흡수 전력에 의해 기판을 유지하는 기판 홀더(140)의 상면에 제공된다.The external high frequency power source 37 is electrically connected to the substrate holder 140 via the matching unit 38 and the power supply rod 39. The high frequency power source 37 generates RF bias power at a predetermined frequency, for example 13.56 MHz, to control the ion energy delivered to the substrate. The matching unit 38 matches the impedance of the RF power source 37 with the load, for example the impedance of the processing vessel 120. The electrostatic chuck 41 is provided on the upper surface of the substrate holder 140 holding the substrate by the electrostatic absorption power through the DC power source 46.

플라즈마 처리 장치(30)는 반응 가스 공급부(13)를 더 포함한다. 또한, 반응 가스 공급부(13)의 확대도를 도 3에 나타낸다. 이 도면에 도시된 바와 같이, 반응 가스 공급부(13)는, 유전체 윈도우(160)의 하부 표면(63)과 비교하여, 유전체 윈도우(160) 내부에서, 뒤쪽 위치에 위치되는 베이스 인젝터(61)를 포함할 수 있다. 반응 가스 공급부(13)는 인젝터 베이스(61)를 유지하기 위하여 두께 방향으로 유전체 윈도우(160)를 관통하는 베이스 홀더(64)를 더 포함한다. 또한, 인젝터 베이스(61)의 평면도를 도 3에 나타낸다. 이 도면에 도시된 바와 같이, 복수의 공급 구멍(66)이 기판 홀더(140)에 대향하여 위치되는 평평한 벽 표면(67)에 제공된다. 복수의 공급 구멍(66)은 평평한 벽 표면(67)의 중앙에 방사형으로 위치된다.The plasma processing apparatus 30 further includes a reactive gas supply unit 13. 3 is an enlarged view of the reaction gas supply unit 13. As shown in this figure, the reactive gas supply 13 is configured to move the base injector 61 located at a rear position inside the dielectric window 160 as compared to the lower surface 63 of the dielectric window 160. It may include. The reactive gas supply part 13 further includes a base holder 64 penetrating the dielectric window 160 in the thickness direction to hold the injector base 61. In addition, the top view of the injector base 61 is shown in FIG. As shown in this figure, a plurality of supply holes 66 are provided in the flat wall surface 67 located opposite the substrate holder 140. The plurality of feed holes 66 are radially located in the center of the flat wall surface 67.

반응 가스 공급부(13)는 가스 덕트(68)를 더 포함한다. 도 3에 도시된 바와 같이, 가스 덕트(68)는 복수의 공급 구멍(66)에 도달하기 위하여, 동축 도파관(24), 방사형 라인 슬롯 플레이트(300) 및 유전체 윈도우(160)로부터 각각 중앙 컨덕터(25)를 관통한다. 가스 공급 시스템(72)은 중앙 컨덕터(25)의 상단에 형성된 가스 도입 구멍(69)에 연결된다. 가스 공급 시스템(72)은 온-오프 밸브(70)와 예를 들어 매스 플로우 제어기와 같은 유량 제어기(71)를 포함할 수 있다.The reactive gas supply unit 13 further includes a gas duct 68. As shown in FIG. 3, the gas duct 68 is configured from the coaxial waveguide 24, the radial line slot plate 300, and the dielectric window 160 to reach the plurality of supply holes 66, respectively. Penetrates 25). The gas supply system 72 is connected to the gas introduction hole 69 formed at the top of the central conductor 25. The gas supply system 72 may include an on-off valve 70 and a flow rate controller 71 such as, for example, a mass flow controller.

또한, 반응 가스는, 원기둥형 측벽(18)에 제공된 2개 이상의 가스 덕트(89)에 의해 처리 용기(120)에 공급될 수 있다. 반응 가스는, 플라즈마 여기 가스와 재료 가스 중 어느 하나 이상임에 주목해야 한다. 가스 덕트(68 및 89)로부터 공급되는 반응 가스의 유량을 조정함으로써, 재료 가스의 최적화된 분리가 처리 용기(120) 내에서 달성될 수 있다.In addition, the reactant gas may be supplied to the processing vessel 120 by two or more gas ducts 89 provided on the cylindrical sidewall 18. It should be noted that the reaction gas is at least one of a plasma excitation gas and a material gas. By adjusting the flow rate of the reactant gas supplied from the gas ducts 68 and 89, optimized separation of the material gas can be achieved in the processing vessel 120.

라인폭 또는 CD 측정 장치 :Line width or CD measuring device:

레지스트 패턴(16c), 실리콘 함유 반사방지 코팅(Si-ARC)층(16b), 유기 유전체(ODL)층(16a) 또는 실리콘 질화물(SiN)층(14)의 라인 폭은, 라인 폭 측정 장치를 이용하여 측정되어 산출된다. 이 장치는 독립형 타입 중 어느 하나 일 수 있으며, 하나의 장치는 IM(integrated metrology)으로 지칭되는 코터 현상기에 통합되거나 또는 나머지 장치는 에칭 장치에 통합된다. 라인폭 측정 장치가 코터 현상기에 형성되는 경우, 현상 이후의 레지스트의 잠상 또는 레지스트의 라인폭을 처리 이후에 즉시 측정할 수 있다. 라인폭 측정 장치가 에칭 장치에 형성되는 경우, 라인폭을 에칭 전 및 에칭 후에도 측정할 수 있다. 한편, 독립적인 측정 시스템을 이용하여 라인폭 또는 CD 측정을 수행할 수 있다. 다음으로, 전술한 실시형태들 각각을 개별적으로 상세히 설명한다.The line width of the resist pattern 16c, the silicon-containing anti-reflective coating (Si-ARC) layer 16b, the organic dielectric (ODL) layer 16a, or the silicon nitride (SiN) layer 14 is determined by the line width measuring device. It is measured and calculated using. This device may be of any standalone type, with one device integrated into the coater developer, referred to as integrated metrology (IM), or the other device integrated into the etching device. When the line width measuring apparatus is formed in the coater developing device, the latent image of the resist after development or the line width of the resist can be measured immediately after the treatment. When the line width measuring apparatus is formed in the etching apparatus, the line width can be measured before and after etching. On the other hand, line width or CD measurements can be performed using an independent measurement system. Next, each of the above-described embodiments will be described individually in detail.

1) 코터 현상기에 통합되는 라인폭 측정 장치 :1) Line width measuring device integrated into the coater developer:

도 4는 포트레지스트 형성 장치(40-A)의 전체 구조에 통합된 라인폭 측정 장치(402-A)의 실시형태의 개략도를 나타낸다. 편의를 위하여, 포트레지스트 형성 장치(40-A)의 전체 구조를 간략화한다. 도 4에 도시된 바와 같이, 포트레지스트 형성 장치(40-A)의 전체 구조는 코터 현상기(400-A) 및 노광 장치(420)를 포함할 수 있다. 코터 현상기(400-A)는, 차례로 에칭 장치(440)에 연결될 수 있는 노광 장치(420)에 부착된다.4 shows a schematic diagram of an embodiment of a line width measuring apparatus 402 -A integrated into the overall structure of the port resist forming apparatus 40 -A. For convenience, the overall structure of the pot resist forming apparatus 40-A is simplified. As shown in FIG. 4, the overall structure of the photoresist forming apparatus 40 -A may include a coater developer 400 -A and an exposure apparatus 420. The coater developer 400 -A is attached to an exposure apparatus 420, which in turn can be connected to the etching apparatus 440.

포토 레지스트 형성 장치(40-A)는 라인폭 측정 장치(402-A), 복수의 처리 유닛(코팅 유닛 또는 현상 유닛)(404-A) 및 2개의 기판 전달 유닛(406-A)을 포함할 수 있다. 복수의 처리 유닛(404-A)은 코팅 유닛 및/또는 현상 유닛을 더 포함할 수 있다. 기판 전달 유닛(406-A)은 포트레지스트 형성 장치(40-A)의 전체 구조의 다른 인접 부분들 사이에 기판을 운반하는 기능을 가진다. 또한, 기판 전달 유닛(406-A)은 상/하 및 앞/뒤로 이동할 수 있도록 구성되며, 수직축을 중심으로 회전될 수 있다.The photoresist forming apparatus 40 -A may include a line width measuring apparatus 402 -A, a plurality of processing units (coating unit or developing unit) 404 -A, and two substrate transfer units 406 -A. Can be. The plurality of processing units 404 -A may further include a coating unit and / or a developing unit. The substrate transfer unit 406 -A has a function of transporting a substrate between other adjacent portions of the overall structure of the photoresist forming apparatus 40 -A. In addition, the substrate transfer unit 406 -A is configured to be able to move up / down and forward / backward, and may be rotated about a vertical axis.

레지스트 패턴의 라인폭 또는 임계 치수(CD)는, 현상 처리를 수행한 이후에 측정된다. 다음 단계에서, 예를 들어 에칭 가스의 유량과 같은 적절한 설정 조건을 측정된 라인폭에 기초하여 산출한다. 그 후, 적절한 설정 조건은 코터 현상기(400-A)로부터 에칭 장치(440)에 피드-포워딩된다. 일부 실시형태에서, 측정된 원시(raw) 데이터는, 코터 현상기(400-A)로부터 에칭 장치(440)로 송신되고, 적절한 에칭 조건을 획득하기 위하여 처리된다. 이 실시형태에서, 적절한 설정 조건은, 이 도면에는 도시되지 않은 처리 조건 데이터베이스를 이용하여 상기 측정된 원시 데이터에 의해 산출된다. 처리 조건 데이터베이스는 컴퓨터(442)의 메모리에 여러 가지 처리 조건을 저장한다.The line width or critical dimension (CD) of the resist pattern is measured after performing the developing process. In the next step, suitable setting conditions, for example the flow rate of the etching gas, are calculated based on the measured line width. Appropriate setting conditions are then feed-forwarded from the coater developer 400 -A to the etching apparatus 440. In some embodiments, the measured raw data is sent from the coater developer 400 -A to the etching apparatus 440 and processed to obtain appropriate etching conditions. In this embodiment, suitable setting conditions are calculated by the measured raw data using a processing condition database not shown in this figure. The processing condition database stores various processing conditions in the memory of the computer 442.

2) 에칭 장치에 통합된 라인폭 측정 장치 :2) Line width measuring device integrated in etching equipment:

도 5를 참조하여, 포토레지스트 형성 장치(40-B)의 전체 구조의 실시형태의 개략도를 나타낸다. 이 도면에 도시된 바와 같이, 포토레지스트 형성 장치(40-B)의 전체 구조는, 라인폭 측정 장치(402-B)가 코터 현상기(400)에 통합되는 대신에, 라인폭 측정 장치(402-B)가 에칭 장치(440-B)에 통합되는 점에서 상기 포토레지스트 형성 장치(40-A)의 구조와는 다르다. 다른 구성요소들은 기본적으로 상기 포토레지스트 형성 장치(40-A)의 구조와 동일하다. 이 실시형태에서, 전체 3개의 제어 방법은, 1) 피드-포워드 제어 처리, 2) 피드-백 제어 처리 및 3) 동적(인시츄) 제어 처리를 포함하며, 이들은 기판 패턴을 제어하는데 이용될 수 있다.5, the schematic diagram of embodiment of the whole structure of the photoresist forming apparatus 40-B is shown. As shown in this figure, the overall structure of the photoresist forming apparatus 40-B is a line width measuring apparatus 402-B, instead of the line width measuring apparatus 402-B being incorporated into the coater developing unit 400. The structure of the photoresist forming apparatus 40-A is different in that B) is integrated into the etching apparatus 440-B. The other components are basically the same as the structure of the photoresist forming apparatus 40-A. In this embodiment, all three control methods include 1) feed-forward control processing, 2) feed-back control processing, and 3) dynamic (in situ) control processing, which can be used to control the substrate pattern. have.

피드-포워드 제어 처리에서, 현상된 기판을 에칭 장치(440-B)에 전달한 이후에, 레지스트 패턴의 라인폭은 에칭 장치(440-B)내의 라인폭 측정 장치(402-B)에 의해 측정되며, 예를 들어 에칭 가스의 유량과 같은 적절한 설정 조건은, 그 측정된 라인폭에 기초하여 산출된다. 그 후, 적절한 설정 조건은 에칭 처리를 위한 에칭 장치(440-B)에서 조정된다.In the feed-forward control process, after transferring the developed substrate to the etching apparatus 440-B, the line width of the resist pattern is measured by the line width measuring apparatus 402-B in the etching apparatus 440-B. For example, suitable setting conditions, such as the flow volume of an etching gas, are calculated based on the measured line width. Thereafter, appropriate setting conditions are adjusted in the etching apparatus 440-B for the etching process.

피드-백 제어 처리에서, 에칭된 패턴의 라인폭은 라인폭 측정 장치(402-B)에 의해 측정되며, 예를 들어 에칭 가스의 유량과 같은 적절한 설정 조건은 그 측정된 라인폭에 기초하여 산출된다. 따라서, 또 다른 기판에 대한 에칭 처리는 적절한 설정 조건 하에서 에칭 처리를 수행함으로써 최적화될 수 있다. In the feed-back control process, the line width of the etched pattern is measured by the line width measuring apparatus 402-B, and appropriate setting conditions such as, for example, the flow rate of the etching gas are calculated based on the measured line width. do. Thus, the etching treatment for another substrate can be optimized by performing the etching treatment under appropriate setting conditions.

동적(인시츄) 제어 처리에서, 에칭된 패턴의 라인폭은 라인폭 측정 장치(402-B)에 의해 측정되며, 예를 들어 에칭 가스의 유량과 같은 적절한 설정 조건은 에칭 처리 동안에 동적으로 조정된다. 모든 전술한 제어 처리에서, 적절한 설정 조건은, 도 5에 도시되지 않고 컴퓨터(442-B)의 메모리에 여러 가지 처리 조건을 저장하는 처리 조건 데이터베이스를 이용하여, 상기 측정된 원시 데이터에 의해 산출된다.In the dynamic (in situ) control process, the line width of the etched pattern is measured by the line width measuring apparatus 402 -B, and appropriate setting conditions such as, for example, the flow rate of the etching gas are dynamically adjusted during the etching process. . In all the above-described control processes, appropriate setting conditions are calculated by the measured raw data using a processing condition database which stores various processing conditions in the memory of the computer 442-B and is not shown in FIG. .

본 발명의 일 양태에 따르면, 다층 구조는 연속적으로 에칭되어야 한다. 이 실시형태에서, 패턴화된 제1 층의 라인폭을 먼저 측정한다. 그 후, 제1 층 아래에 형성된 제2 층에 대하여 적절한 에칭 조건이 설정된다. 다음 단계에서, 제2 층은 최적화된 에칭 조건을 이용하여 에칭된다. 그 후, 에칭된 제2 층의 라인폭을 측정한 후, 제3 층에 대하여 적절한 에칭 조건을 설정한다. 이 처리는 다층 구조에서의 층의 개수에 대하여 연속적일 수 있다. 이런 식으로, 최종적으로 에칭된 패턴의 라인폭(CD 값)은 원하는 타겟 값에 가까워 진다. 라인폭(CD 값)의 측정은, 챔버 외부에 마련된 IM 모듈 또는 챔버에 마련된 라인폭 측정 장치 중 어느 하나에 의해 수행될 수 있다. 에칭 챔버가 마련된 라인폭 측정 장치에 있어서, CD는 메인 에칭(ME) 처리 이후에 측정될 수 있고, 오버 에칭(OE) 처리에 대한 바람직한 에칭 조건은 CD를 정밀하게 제어하도록 조정될 수 있다.According to one aspect of the present invention, the multilayer structure must be etched continuously. In this embodiment, the line width of the patterned first layer is first measured. Thereafter, appropriate etching conditions are set for the second layer formed under the first layer. In the next step, the second layer is etched using the optimized etching conditions. After that, the line width of the etched second layer is measured, and then appropriate etching conditions are set for the third layer. This treatment can be continuous with respect to the number of layers in the multilayer structure. In this way, the line width (CD value) of the finally etched pattern approaches the desired target value. The measurement of the line width (CD value) may be performed by either an IM module provided outside the chamber or a line width measuring device provided in the chamber. In the line width measuring apparatus provided with the etching chamber, the CD can be measured after the main etching (ME) process, and the preferred etching conditions for the over etching (OE) process can be adjusted to precisely control the CD.

일례로서, 도 1에 도시된 바와 같은 타겟 구조(10)는 다층 구조로서 간주될 수 있다. 앞 단락에서 설명된 처리에 따라서 각 층의 에칭 처리를 수행하기 위하여, 이하의 처리는 도 6의 구조를 참조하여 이해될 수 있으며, 먼저, 단위 시간당 CD 편차값(ΔCD)은 복수의 HBr/O2 비(조건)(도 12에 도시됨)에 대하여 획득되고, 테이블로서 저장된다. 둘째로, Si-ARC 층(16b)의 라인폭(CDs)을 측정한다. 세 번째 단계에서, Si-ARC 라인폭(CDs)과 라인폭 타겟 값(CDt) 사이의 차(CDt-CDs)를 산출한다. 마지막으로, HBr/O2 비의 최적화된 유량은 그 차(CDt-CDs) 및 ODL층의 오버 에칭(OE)에 대한 기간(T)에 기초하여 획득되며, 이는 미리 ODL 에칭 처리에 대하여 획득된다. 그 후, 최적화된 HBr/O2 유량 하에서 에칭을 수행한다. 이런 식으로, 최종 ODL 패턴(16a)을 타겟 라인폭(CDt)에 가까운 형상으로 획득한다. Si-ARC 층(16b)을 포토레지스트 마스크(16c)를 이용하여 에칭하는 경우에, CF4/CHF3의 유량은 전술한 에칭 처리에 따라서 최적화되며, 에칭은 그 최적화된 CF4/CHF3의 유량 하에서 수행된다. 또한, CD 값은 어떤 에칭 조건(예를 들어, 에칭 가스 유량)에 대한 에칭 시간에 의해 조정될 수 있다. 또한, CD 값은 에칭 가스의 흐름 비율(유량)과 에칭 시간 양쪽을 조정함으로써 변경될 수 있다.As an example, the target structure 10 as shown in FIG. 1 may be considered as a multilayer structure. In order to perform the etching treatment of each layer according to the processing described in the preceding paragraph, the following processing can be understood with reference to the structure of FIG. 2 is obtained for the ratio (condition) (shown in FIG. 12) and stored as a table. Second, the line widths CDs of the Si-ARC layer 16b are measured. In a third step, the difference (CDt-CDs) between the Si-ARC linewidth (CDs) and the linewidth target value (CDt) is calculated. Finally, the optimized flow rate of the HBr / O 2 ratio is obtained based on the difference (CDt-CDs) and the period T for over etching (OE) of the ODL layer, which is obtained for the ODL etching process in advance. . Thereafter, etching is performed under an optimized HBr / O 2 flow rate. In this way, the final ODL pattern 16a is obtained in a shape close to the target line width CDt. In the case where the Si-ARC layer 16b is etched using the photoresist mask 16c, the flow rate of CF 4 / CHF 3 is optimized in accordance with the etching process described above, and the etching is performed by the optimized CF 4 / CHF 3 . It is carried out under the flow rate. In addition, the CD value can be adjusted by the etching time for any etching condition (eg, etching gas flow rate). In addition, the CD value can be changed by adjusting both the flow rate (flow rate) of the etching gas and the etching time.

Si-ARC 층(16b) 상의 레지스트 패턴의 타겟 CDt 값 및 측정된 라인폭 사이의 차가 미리 정해진 임계량(즉, 트림(trim) 능력)을 초과하는 경우, 유량 비율(이 특정 일례에서의 HBr/O2 및 CF4/CHF3 비율)은, Si-ARC층과 ODL층 양쪽을 완료하는 경우에 타겟 CDt 값을 획득하기 위하여 최적화될 수 있다. 미리 정해진 임계량은, 에칭을 개시하기 전에 측정된 레지스트 CD 값과 타겟 CD 값을 비교하여 타겟값이 Si-ARC 에칭 처리의 종료에 의해 도달될 수 없다고 당업자가 추정하는 경우에 획득될 수 있다. 이런 식으로, 라인폭의 타겟값은 Si-ARC 및 ODL의 2개의 연속되는 층의 에칭 처리가 종료되는 경우에 도달될 수 있다. 이 실시형태에서, HBr/O2의 유량 및 CF4/CHF3 비는 에칭 시간 및 각각의 층의 에칭 형상과 같은 여러 가지 파라미터를 고려하여 결정된다. 이 실시형태에서는, Si-ARC 라인폭의 타겟 값과 ODL 라인 폭의 타겟 값이 미리 제공된다.If the difference between the target CDt value of the resist pattern on the Si-ARC layer 16b and the measured line width exceeds a predetermined threshold amount (i.e., trim ability), the flow rate ratio (HBr / O in this particular example) 2 and CF 4 / CHF 3 ratio) can be optimized to obtain the target CDt value when completing both the Si-ARC layer and the ODL layer. The predetermined threshold amount can be obtained when a person skilled in the art estimates that the target value cannot be reached by the end of the Si-ARC etching process by comparing the target CD value with the resist CD value measured before starting the etching. In this way, the target value of the line width can be reached when the etching process of two successive layers of Si-ARC and ODL is finished. In this embodiment, the flow rate of HBr / O 2 and the CF 4 / CHF 3 ratio are determined in consideration of various parameters such as the etching time and the etching shape of each layer. In this embodiment, the target value of the Si-ARC line width and the target value of the ODL line width are provided in advance.

3) 독립적인 라인폭 측정 장치 3) Independent line width measuring device

도 7은 포토레지스트 형성 장치(40-C)의 전체 구조의 독립적인 라인폭 측정 장치(402-C)의 실시형태의 개략도를 나타낸다. 이 도면에 도시된 바와 같이, 포토레지스트 형성 장치(40-C)의 전체 구조는, 라인폭 측정 장치(402-C)가 어떤 장치에 통합되지 않고 독립적인 측정 장치로서 기능한다는 점에서 포토레지스트 형성 장치(40-A 및 40-B)와는 다르다. 다른 구성요소들은 기본적으로 포토레지스트 형성 장치(40-A)의 구조와 동일하다. 이 실시형태에서는, 도 7에 도시되지 않은 기판 컨테이너(일반적으로 FOUP로 지칭됨)를 이용한다. 각 기판은 현상 처리 이후에 또는 에칭 처리 이후에 상기 컨테이너에 운반될 수 있고, 예를 들어 AGV(automated guided vehicle)를 이용하여 라인폭 측정 장치(402-C)에 전달될 수 있다. 각 기판에서, 각 기판의 라인폭을 먼저 측정한 후, 적절한 설정 조건을 산출한다. 측정된 CD 값 및 적절한 설정 조건을 에칭 장치(440)로 송신한다.7 shows a schematic diagram of an embodiment of an independent line width measuring apparatus 402 -C of the overall structure of the photoresist forming apparatus 40 -C. As shown in this figure, the overall structure of the photoresist forming apparatus 40-C is photoresist forming in that the line width measuring apparatus 402-C functions as an independent measuring apparatus without being integrated into any apparatus. Different from devices 40-A and 40-B. The other components are basically the same as the structure of the photoresist forming apparatus 40-A. In this embodiment, a substrate container (generally referred to as FOUP) that is not shown in FIG. 7 is used. Each substrate may be carried in the container after the development process or after the etching process, and may be transferred to the line width measurement apparatus 402 -C, for example using an automated guided vehicle (AGV). In each substrate, the line width of each substrate is measured first, and then appropriate setting conditions are calculated. The measured CD value and appropriate setting conditions are sent to the etching apparatus 440.

실험 샘플 :Experimental sample:

임계 치수(CD)를 제어하기 위한 처리를 확립하고, 또한 측벽 보호 기구에 대한 브롬화 수소(HBr)의 효과를 평가하기 위하여, 몇몇 실험 샘플을 도 1 또는 도 2에 설명되는 것과 동일한 타겟 구조로 제조한다. 그 후, 실험 샘플은 본 발명에 따른 플라즈마 에칭 처리를 받으며, 여기서 적절한 양의 브롬화 수소(HBr)가, 유기 유전체(ODL)층의 오버 에칭(OE) 단계 동안에 질소와 산소의 혼합 분위기(N2/O2)에 첨가된다. 다음으로, 이 평가의 결과를 상세히 설명한다.In order to establish a process for controlling the critical dimension (CD) and also to evaluate the effect of hydrogen bromide (HBr) on the sidewall protection mechanism, several experimental samples were prepared with the same target structure as described in FIG. 1 or 2. do. The experimental sample is then subjected to a plasma etching process according to the present invention, wherein an appropriate amount of hydrogen bromide (HBr) is mixed with nitrogen and oxygen (N 2 ) during the over etching (OE) step of the organic dielectric (ODL) layer. / O 2 ). Next, the result of this evaluation is demonstrated in detail.

도 8을 참조하여, 각 특정 층의 타겟 구조에서 플라즈마 에칭 처리를 수행한 이후에 2 개의 실험 샘플들의 횡단면도를 나타낸다. 제1 실험 샘플은 밀집되거나 내포된(nested) 어레이 패턴을 나타내는 반면에 제2 실험 샘플은 성긴(isolated) 패턴을 나타낸다. 양쪽 패턴의 횡단면도를 도 8의 상부측 및 하부측에 각각 나타낸다. 이 도면에 도시된 바와 같이, 각 마스크층에 대한 에칭 단계를 수행한 이후에 횡단면도가 작성된다. 양쪽 실험 샘플에 대하여, 이러한 횡단면도의 열 1-5는, 레지스트 패턴, Si-ARC 패턴, ODL 메인 에칭(ME) 패턴, ODL 오버 에칭(OE) 패턴 및 하드 마스크 SiN 패턴에 각각 대응한다. 표 Ⅰ은 각 마스크층에 적용되는 에칭 조건을 요약한다.Referring to FIG. 8, cross-sectional views of two experimental samples are shown after performing a plasma etch treatment on the target structure of each particular layer. The first experimental sample exhibits a dense or nested array pattern while the second experimental sample exhibits an isolated pattern. Cross-sectional views of both patterns are shown on the upper and lower sides of FIG. 8, respectively. As shown in this figure, a cross sectional view is made after performing an etching step for each mask layer. For both experimental samples, columns 1-5 of this cross-sectional view correspond to resist patterns, Si-ARC patterns, ODL main etching (ME) patterns, ODL over etching (OE) patterns and hard mask SiN patterns, respectively. Table I summarizes the etching conditions applied to each mask layer.

Figure pct00001
Figure pct00001

도 8에 도시된 바와 같이, Si-ARC 및 ODL 메인 에칭(ME) 단계에서 임계 치수(CD)가 감소한다. ODL층의 오버 에칭(OE) 단계 동안에 질소와 산소의 혼합 분위기(N2/O2)에 브롬화 수소(HBr)을 첨가함으로써, 밀집된 패턴 및 성긴 패턴의 임계 치수가 증가할 수도 있다. 도 8에 도시된 바와 같이, ODL 오버 에칭(OE)층의 밀집된 어레이 패턴의 임계 치수(CD)는 약 46 ㎚인 반면에 성긴 패턴의 임계 치수(CD)는 동일한 층에서 약 115 ㎚이다. 임계 치수(CD)의 이러한 증가는, 에칭에 대한 측벽 보호층으로서 기능하는 얇은 브롬화 탄소(CBrx)층의 증착에 기인한다고 생각된다.As shown in FIG. 8, the critical dimension (CD) is reduced in the Si-ARC and ODL main etch (ME) steps. By adding hydrogen bromide (HBr) to the mixed atmosphere (N 2 / O 2 ) of nitrogen and oxygen during the over etching (OE) step of the ODL layer, the critical dimensions of the dense and coarse patterns may be increased. As shown in FIG. 8, the critical dimension (CD) of the dense array pattern of the ODL over etch (OE) layer is about 46 nm while the critical dimension (CD) of the sparse pattern is about 115 nm in the same layer. This increase in critical dimension (CD) is believed to be due to the deposition of a thin layer of carbon bromide (CBr x ) that functions as a sidewall protective layer for etching.

하드 마스크 SiN 에칭 단계를 수행한 이후의, 최종 임계 치수(CD)는, 밀집된 패턴에 대해서 40 ㎚이고, 성긴 패턴에 대하여 119 nm 이다. 트림 능력은, 가스 흐름 조건(가스 비율, 총유량 등)을 조정함으로써 에칭 단계를 수행한 이후에 마스크층의 임계 치수(CD)가 커지거나 또는 작아지는 범위이다. 도 8은 CD 값이 밀집된(내포된) 패턴 및 성긴 패턴 양쪽에서 변경됨을 나타낸다.After performing the hard mask SiN etching step, the final critical dimension (CD) is 40 nm for dense patterns and 119 nm for coarse patterns. The trim capability is a range in which the critical dimension CD of the mask layer becomes larger or smaller after the etching step is performed by adjusting the gas flow conditions (gas ratio, total flow rate, etc.). 8 shows that CD values change in both the dense (embedded) pattern and the coarse pattern.

다음으로, 예를 들어 ODL층의 임계 치수(CD)에 대한, HBr 유량, 오버 에칭(OE) 단계의 시간 의존도, 에칭 가스 타입 및 조성비와 같은 각 파라미터의 효과를 조사한다. 이러한 목적을 위하여, 다른 에칭 조건 하에서 밀집된(내포된) 패턴과 성긴 패턴을 가진 여러 가지 실험 샘플들을 형성한다. 이하에서 달리 설명되지 않는 한, 이하의 에칭 조건은 각 실험 샘플의 ODL층을 패턴화하는데 이용되며, 그 조건은, 1) 메인 에칭(ME) 조건: 10mTorr의 압력, 400sccm/20sccm의 N2/O2 유량, 3 kW의 마이크로파 전력, 200W의 RF 전력 및 40초의 메인 에칭(ME) 기간 그리고 2) 오버 에칭(OE) 조건: 10mTorr의 압력, 400sccm/4sccm의 N2/O2 유량, 3 kW의 마이크로파 전력 및 200W의 RF 전력이 된다.Next, the effects of each parameter such as HBr flow rate, time dependence of the over etch (OE) step, etching gas type and composition ratio on the critical dimension (CD) of the ODL layer are investigated, for example. For this purpose, various experimental samples with dense (embedded) and coarse patterns are formed under different etching conditions. Unless stated otherwise below, the following etching conditions are used to pattern the ODL layer of each experimental sample, which conditions are: 1) Main etching (ME) condition: 10 mTorr pressure, 400 sccm / 20 sccm N 2 / O 2 flow rate, 3 kW microwave power, 200 W RF power and 40 sec main etch (ME) period and 2) Over etch conditions: pressure of 10 mTorr, N 2 / O 2 flow rate of 400 sccm / 4 sccm, 3 kW Microwave power and RF power of 200W.

오버 에칭 기간에 대한 임계 치수(CD)의 의존도를 평가하기 위하여, 2세트의 실험 샘플을 제조한다. 각 세트에서, 동일한 마스크 패턴을 가지는 실험 샘플들을 형성한다. 이전의 경우와 유사하게, 실험 샘플의 제1 세트는 밀집된 어레이 패턴을 나타내며, 실험 샘플의 제2 세트는 성긴 패턴을 나타낸다. 플라즈마 처리 장치(30)에서 ODL층의 메인 에칭(ME) 및 오버 에칭(OE)을 수행한다. ODL층을 패턴화하는데 이용되는 메인 에칭(ME) 조건 및 오버 에칭(OE) 조건은 앞 단락에서 설명된 조건과 동일하다. 이러한 평가에 대해서, HBr 유량은 60 sccm으로 설정된다. 또한, 각 세트에서, 3개의 실험 샘플은, 이하의 오버 에칭(OE) 시간 처리(0, 20 및 40초) 하에서 패턴화된다.In order to evaluate the dependence of the critical dimension (CD) on the over etch period, two sets of experimental samples are prepared. In each set, experimental samples having the same mask pattern are formed. Similar to the previous case, the first set of experimental samples exhibits a dense array pattern and the second set of experimental samples exhibits a sparse pattern. In the plasma processing apparatus 30, main etching (ME) and over etching (OE) of the ODL layer are performed. The main etch (ME) and over etch (OE) conditions used to pattern the ODL layer are the same as those described in the previous paragraph. For this evaluation, the HBr flow rate is set to 60 sccm. In addition, in each set, three experimental samples are patterned under the following over etching (OE) time treatment (0, 20 and 40 seconds).

도 9는 오버 에칭(OE) 시간 처리의 함수로서 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다. 이 도면에 도시된 바와 같이, 임계 치수(CD)는 오버 에칭(OE) 시간 처리를 연장시킴으로써 커질 수 있다. 이는 오버 에칭(OE) 시간 처리의 연장이 ODL 패턴 위에 예를 들어 브롬화 탄소(CBrx)와 같은 반응성 부산물의 증착을 증가시킨다는 점에 주로 기인한다고 생각된다.9 shows a cross sectional view of a test sample and its critical dimension (CD) as a function of over etch (OE) time treatment. As shown in this figure, the critical dimension (CD) can be increased by extending the over etch (OE) time treatment. This is thought to be mainly due to the fact that the extension of the over etch (OE) time treatment increases the deposition of reactive byproducts such as, for example, carbon bromide (CBr x ) over the ODL pattern.

다음으로 도 10을 참조하여, HBr 유량의 함수로서 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다. 이전의 실시형태와 유사하게, 각각이 유사한 패턴을 가진 3개의 샘플을 가지는 2 세트의 실험 샘플을 형성한다. 제1 세트의 실험 샘플은 밀집된(내포된) 어레이 패턴을 나타내는 반면에 제2 세트의 실험 샘플은 성긴 패턴을 나타낸다. ODL층의 메인 에칭(ME) 및 오버 에칭(OE)은 플라즈마 처리 장치(30)에서 수행된다. ODL층을 패턴화하는데 이용되는 메인 에칭(ME) 조건 및 오버 에칭(OE) 조건은 2단락 이전의 단락에 설명된 조건과 동일하다. 이러한 평가에 대하여, 오버 에칭(OE) 시간 처리 조건은 모두 20초로 설정된다. 또한, 각 세트의 3개의 실험 샘플의 각각은, 각각 이하의 HBr 유량 조건 : 0 sccm, 60 sccm 및 120 sccm 하에서 패턴화된다.Referring next to FIG. 10, the cross sectional view of the experimental sample and its critical dimension (CD) as a function of HBr flow rate. Similar to the previous embodiment, two sets of experimental samples are formed, each with three samples with similar patterns. The first set of experimental samples exhibits a dense (embedded) array pattern while the second set of experimental samples exhibits a sparse pattern. Main etching (ME) and over etching (OE) of the ODL layer are performed in the plasma processing apparatus 30. The main etch (ME) conditions and over etch (OE) conditions used to pattern the ODL layer are the same as the conditions described in the paragraphs preceding two paragraphs. For this evaluation, all of the over etching (OE) time processing conditions are set to 20 seconds. In addition, each of the three experimental samples in each set is patterned under the following HBr flow rate conditions: 0 sccm, 60 sccm and 120 sccm, respectively.

도 10에 도시된 바와 같이, 임계 치수(CD)는 HBr 유량이 증가함에 따라서 증가한다. ODL층의 임계 치수(CD)를 제어하는데 이용되는 메카니즘은, 질소와 산소의 혼합 가스(N2/O2)에 브롬화 수소(HBr)를 첨가함으로써, 수소(H)가 ODL층의 표면에서 산소(O)를 감소시키는 것으로 생각된다. 즉, 산소(O) 원자가 ODL로부터 추출된다. 그 결과, 표면에서의 탄소 성분이 높은 유기 유전체(ODL)층이 생성된다. 이와 같이 탄소-탄소 결합이 증가하면, 이는 유기 유전체(ODL)층을 더욱 단단하게 만든다. 단단한 ODL층은 측벽 보호층으로서 기능하며, 이에 의해 에칭을 방지한다.As shown in FIG. 10, the critical dimension CD increases with increasing HBr flow rate. The mechanism used to control the critical dimension (CD) of the ODL layer is that hydrogen bromide (HBr) is added to the mixed gas (N 2 / O 2 ) of nitrogen and oxygen, whereby hydrogen (H) is oxygen on the surface of the ODL layer. It is thought to reduce (O). That is, oxygen (O) atoms are extracted from the ODL. As a result, an organic dielectric (ODL) layer having a high carbon content on the surface is produced. This increase in carbon-carbon bonds makes the organic dielectric (ODL) layer harder. The rigid ODL layer functions as a sidewall protection layer, thereby preventing etching.

한편, ODL층의 탄소 성분이 높으면, ODL 패턴의 표면 부근의 브롬화물-탄소 결합을 증가시킨다고 생각된다. 또한, ODL 패턴 위에 증착되는 브롬화 탄소(CBrx)의 얇은 층은 측벽 보호층으로서 기능하며, 이는 에칭을 방지한다고 말할 수 있다. 브롬화 수소(HBr)의 유량을 증가시킴으로써, 브롬화 탄소(CBrx)의 증착은 Br 종의 증가로 인해 증가하며, 이는 차례로 ODL의 임계 치수(CD)를 증가시킨다. 한편, HBr 유량을 증가시킴으로써, CD 증가가 더 작아지게 된다. 이런 식으로, 임계 치수(CD)의 미리 정해진 값을 획득하기 위한 더 바람직한 제어성을 달성할 수 있다.On the other hand, if the carbon component of the ODL layer is high, it is considered that the bromide-carbon bond near the surface of the ODL pattern is increased. In addition, a thin layer of carbon bromide (CBr x ) deposited over the ODL pattern functions as a sidewall protective layer, which can be said to prevent etching. By increasing the flow rate of hydrogen bromide (HBr), the deposition of carbon bromide (CBr x ) increases due to the increase in Br species, which in turn increases the critical dimension (CD) of the ODL. On the other hand, by increasing the HBr flow rate, the CD increase becomes smaller. In this way, more desirable controllability for achieving a predetermined value of the critical dimension CD can be achieved.

ODL층의 임계 치수(CD)는 염소(Cl2) 가스와 같은 다른 타입의 에칭 가스를 이용하여 제어될 수 있다. 또 다른 타입의 에칭 가스가 임계 치수(CD)의 제어성에 어떻게 영향을 미치는지 평가하기 위하여, 2세트의 실험 샘플을 제조한다. 각 세트에서, 동일한 마스크 패턴을 가진 2개의 실험 샘플을 형성한다. 이전의 실시형태들과 유사하게, 제1 세트의 실험 샘플은 밀집된 어레이 패턴을 나타내는 반면에 제2 세트의 실험 샘플은 성긴 패턴을 나타낸다. 각 세트에서, 제1 및 제2 실험 샘플들은 먼저 5단락 이전의 단락에서 설명된 조건과 동일한 에칭 조건 하에서 메인 에칭(ME) 단계의 처리를 받는다. 그 후, 각 세트의 실험 샘플은, 질소와 산소의 혼합 가스(N2/O2)에 브롬화 수소(HBr) 가스를 첨가함으로써 오버 에칭(OE) 단계의 처리를 받는다. 그러나, 각 세트의 제2 실험 샘플은 질소와 산소의 혼합 가스(N2/O2)에 염소(Cl2)를 첨가함으로써 오버 에칭 단계의 처리를 받는다. 이 평가를 위하여, Hbr 유량 및 Cl2 유량 양쪽은 60 sccm으로 설정된다. 또한, 오버 에칭(OE) 시간 처리 조건은 각 실험 세트에서 20초로 설정된다.The critical dimension (CD) of the ODL layer can be controlled using other types of etching gas, such as chlorine (Cl 2 ) gas. In order to evaluate how another type of etching gas affects the controllability of the critical dimension (CD), two sets of experimental samples are prepared. In each set, two experimental samples with the same mask pattern are formed. Similar to the previous embodiments, the first set of experimental samples exhibits a dense array pattern while the second set of experimental samples exhibits a sparse pattern. In each set, the first and second experimental samples are first subjected to a main etch (ME) step under the same etching conditions as those described in the paragraph before 5 paragraphs. Thereafter, each set of test samples is subjected to an over etching (OE) step by adding hydrogen bromide (HBr) gas to a mixed gas (N 2 / O 2 ) of nitrogen and oxygen. However, each set of second experimental samples is subjected to an over etching step by adding chlorine (Cl 2 ) to a mixed gas (N 2 / O 2 ) of nitrogen and oxygen. For this evaluation, both the Hbr flow rate and Cl 2 flow rate are set to 60 sccm. In addition, the over etching (OE) time treatment condition is set to 20 seconds in each experiment set.

도 11은 여러 가지 에칭 가스 타입에 대한 실험 샘플의 횡단면도를 나타낸다. 이 도면에 도시된 바와 같이, 오버 에칭(OE) 단계에서의 ODL층의 임계 치수(CD)는, 양쪽 에칭 가스 타입(HBr 및 Cl2)에 대하여, 메인 에칭(ME) 단계와 비교하여 증가된다. 염소(Cl2) 가스의 경우에 ODL층의 임계 치수를 제어하기 위한 정확한 메카니즘은 알려져 있지 않지만, 임계 치수(CD)의 증가에 대하여 유사한 결과가 획득된다. 그러나, 이 실시형태에서, 일부 다른 악영향이 관찰된다. 예를 들어, 하부 하드 마스크 실리콘 질화물(SiN)층은, 그 마스크의 높이가 감소되도록(테이터화된 형상이 되도록) 깍여 진다.11 shows a cross sectional view of an experimental sample for various etching gas types. As shown in this figure, the critical dimension (CD) of the ODL layer in the over etching (OE) step is increased compared to the main etching (ME) step for both etching gas types HBr and Cl 2 . . The exact mechanism for controlling the critical dimension of the ODL layer in the case of chlorine (Cl 2 ) gas is unknown, but similar results are obtained for the increase in the critical dimension (CD). However, in this embodiment some other adverse effects are observed. For example, the lower hard mask silicon nitride (SiN) layer is shaved such that the height of the mask is reduced (to be a tapered shape).

다른 실시형태에서, 원하는 임계 치수(CD)는 아르곤과 산소의 혼합 분위기(Ar/O2)에 브롬화 수소(HBr)를 첨가함으로써 달성된다. 이러한 다른 실시형태에서, 아르곤 산소 (Ar/HBr/O2) 시리즈는 ODL 메인 에칭(ME) 단계를 수행하는데 이용된다. 이전의 실시형태와 유사하게, 각각이 유사한 패턴을 가진 3개의 샘플을 가지는 2 세트의 실험 샘플을 형성한다. 제1 세트의 실험 샘플은 밀집된 어레이 패턴을 나타내는 반면에 제2 세트의 실험 샘플은 성긴 패턴을 나타낸다. 보다 상세하게, 도 1에 도시된 구조를 가지는 기판의 작은 단편(벽개된 기판, 또는 쿠폰(coupon)으로 지칭됨)이 이 실험에 이용된다. Si-ARC 및 ODL 메인 에칭(ME)을 수행하는 경우, 포토 레지스트가 전체적으로 코팅된 기판에 쿠폰이 부착된다. ODL 오버 에칭(OE)을 수행하는 경우, 실리콘 질화물(SiN)이 전체적으로 증착되는 또 다른 기판에 쿠폰이 부착된다. ODL 오버 에칭(OE)은 15초 동안에 수행된다. 표 Ⅱ는 Si-ARC 및 ODL 층에서의 에칭 조건을 요약한다.In another embodiment, the desired critical dimension (CD) is achieved by adding hydrogen bromide (HBr) to the mixed atmosphere of argon and oxygen (Ar / O 2 ). In this other embodiment, the argon oxygen (Ar / HBr / O 2 ) series is used to perform the ODL main etch (ME) step. Similar to the previous embodiment, two sets of experimental samples are formed, each with three samples with similar patterns. The first set of experimental samples exhibits a dense array pattern while the second set of experimental samples exhibits a sparse pattern. More specifically, a small piece of substrate (called cleaved substrate, or coupon) having the structure shown in FIG. 1 is used for this experiment. When performing Si-ARC and ODL main etching (ME), a coupon is attached to the substrate which is coated with the photoresist as a whole. When performing an ODL over etch (OE), a coupon is attached to another substrate on which silicon nitride (SiN) is deposited as a whole. ODL over etch (OE) is performed for 15 seconds. Table II summarizes the etching conditions in the Si-ARC and ODL layers.

Figure pct00002
Figure pct00002

Si-ARC 및 ODL 메인 에칭(ME) 단계를 수행한 이후에, 플라즈마 처리 장치(30)를 이용하여 오버 에칭(OE) 단계를 수행한다. 각 세트의 제1, 제2, 및 제3 실험 샘플의 오버 에칭(OE) 단계는, 다음의 Ar/HBr/O2 유량 : 100/50/20, 100/150/10 및 100/150/5 sccm 하에서 각각 수행된다.After performing the Si-ARC and ODL main etching (ME) steps, the over etching (OE) step is performed using the plasma processing apparatus 30. The over etch (OE) steps of each set of first, second, and third experimental samples include the following Ar / HBr / O 2 flow rates: 100/50/20, 100/150/10 and 100/150/5 each under sccm.

다음으로 도 12를 참조하여, HBr/O2 비율의 함수로서의 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다. 이 도면에 도시된 바와 같이, ODL층의 임계 치수(CD)는 HBr/O2 비가 증가함에 따라 증가한다. 즉, ODL 층의 임계 치수(CD)는, 산소(O2) 유량이 감소되는 경우에 증가한다.Referring next to FIG. 12, the cross-sectional view of the experimental sample as a function of the HBr / O 2 ratio and its critical dimension (CD) is shown. As shown in this figure, the critical dimension (CD) of the ODL layer increases as the HBr / O 2 ratio increases. In other words, the critical dimension (CD) of the ODL layer increases when the oxygen (O 2 ) flow rate is reduced.

종래의 플라즈마 에칭 처리에서는, 에칭 단계를 수행한 이후에, 패턴 형상에서 일부 편차가 존재하는 문제가 있었다. 패턴 형상에서의 이러한 편차를 피하기 위하여, 포토리소그래피 목적을 위한 마스크를 완성된 에칭 패턴의 치수 변화를 고려하여 설계한다. 그러나, 이러한 해결책에 의해서도 전술한 문제점을 완전하게 피할 수는 없다.In the conventional plasma etching process, after performing the etching step, there was a problem that some deviation in the pattern shape exists. In order to avoid such deviations in the pattern shape, a mask for photolithographic purposes is designed in consideration of the dimensional change of the finished etching pattern. However, even with this solution, the above-mentioned problems cannot be completely avoided.

본 발명의 플라즈마 에칭 처리는 전술한 문제점에 대한 해결책을 제공한다. N2/O2 또는 Ar/O2의 혼합 가스에 브롬화 수소(HBr)를 첨가함으로써, 수소(H)가 ODL층의 표면에서 산소(O)를 감소시킨다고 생각된다. 즉, 산소(O) 원자는 ODL층으로부터 추출된다. 그 결과, 표면에서의 탄소 성분이 높은 유기 유전체(ODL)층을 생성한다. 이와 같이 탄소-탄소 결합이 증가하므로, 이는 유기 유전체(ODL)층을 더욱 단단하게 만든다. 단단한 ODL층은 측벽 보호층으로서 기능하며, 이에 의해 에칭을 방지할 수 있다.The plasma etching process of the present invention provides a solution to the above problems. By adding hydrogen bromide (HBr) to a mixed gas of N 2 / O 2 or Ar / O 2 , it is thought that hydrogen (H) reduces oxygen (O) at the surface of the ODL layer. That is, oxygen (O) atoms are extracted from the ODL layer. As a result, an organic dielectric (ODL) layer having a high carbon content on the surface is produced. As such carbon-carbon bonds increase, this makes the organic dielectric (ODL) layer harder. The rigid ODL layer functions as a sidewall protection layer, thereby preventing etching.

또한, 탄소 성분이 높은 ODL층은 ODL 패턴의 표면 부근에 복수의 브롬화물-탄소 결합을 증가시킨다고 생각된다. 그 결과, 브롬화 탄소(CBrx)의 얇은 층이 ODL 패턴 위에 증착되며, 이는 측벽 보호층으로서 기능한다. 따라서, ODL층의 측방향 에칭을 억제할 수 있다. 또한, 브롬화 수소(HBr)의 유량을 증가시킴으로써, 브롬화 탄소(CBrx)의 증착은 Br 종의 증가로 인해 증가하며, 이는 ODL의 임계 치수(CD)를 증가시킨다. 한편, HBr 유량을 감소시킴으로써, CD 증가율은 더 작아지게 된다. 이런 식으로, 미리 정해진 값의 임계 치수(CD)를 획득하기 위한 더 바람직한 제어성은 적절한 HBr 유량을 선택함으로써 달성될 수 있다.In addition, it is thought that the ODL layer having a high carbon component increases a plurality of bromide-carbon bonds near the surface of the ODL pattern. As a result, a thin layer of carbon bromide (CBr x ) is deposited over the ODL pattern, which functions as a sidewall protective layer. Therefore, the lateral etching of the ODL layer can be suppressed. In addition, by increasing the flow rate of hydrogen bromide (HBr), the deposition of carbon bromide (CBr x ) increases due to the increase in Br species, which increases the critical dimension (CD) of the ODL. On the other hand, by decreasing the HBr flow rate, the CD growth rate becomes smaller. In this way, more desirable controllability to obtain a predetermined value of critical dimension (CD) can be achieved by selecting an appropriate HBr flow rate.

패턴 형상 및 이들의 임계 치수 균일성의 편차를 평가하기 위하여, 각각이 다른 패턴(밀집된(또는“내포된”으로 지칭된) 패턴 및 성긴 패턴)을 가지는 2 세트의 실험 샘플을 제조한다. 각 세트에서, 유사한 패턴을 가진 2개의 실험 샘플을 형성한다. 표 Ⅲ은 실험 샘플들의 각 마스크 층에 이용되는 에칭 조건을 요약한다.In order to evaluate the deviation of the pattern shape and their critical dimensional uniformity, two sets of experimental samples are prepared, each having a different pattern (dense (or referred to as “nested”) pattern and sparse pattern). In each set, two experimental samples with similar patterns are formed. Table III summarizes the etching conditions used for each mask layer of experimental samples.

Figure pct00003
Figure pct00003

이 실험에 있어서, Si-ARC 및 ODL 메인 에칭(ME) 단계에서의 에칭 시간은 각각 16 및 40.8초로 설정된다. 오버 에칭(OE) 단계에서, 하나의 실험 샘플에 대한 에칭 시간은 20초로 설정되는 반면에 다른 실험 샘플에 대한 에칭 시간은 40초로 설정된다.In this experiment, the etching times in the Si-ARC and ODL main etch (ME) steps are set to 16 and 40.8 seconds, respectively. In the over etching (OE) step, the etching time for one experimental sample is set to 20 seconds while the etching time for another experimental sample is set to 40 seconds.

도 13은 실험 샘플의 횡단면도 및 그 임계 치수(CD)를 나타낸다. 각 실험 샘플에서, 도 13에 “중앙” 및 “가장자리”로서 규정되는 기판의 중앙 및 가장자리에 따라서, 횡단면도가 각각 취해진다. 이 도면에 도시된 바와 같이, 임계 치수(CD)는 전체 실험 샘플에 대한 오버 에칭(OE) 시간 처리에 의존하지 않는다. 또한, 패턴 형상의 편차가 모든 샘플에 걸쳐서 관찰되지 않는다.13 shows a cross sectional view of an experimental sample and its critical dimension (CD). In each experimental sample, cross-sectional views are taken, respectively, along the center and the edge of the substrate defined as “center” and “edge” in FIG. 13. As shown in this figure, the critical dimension (CD) does not depend on the over etch (OE) time treatment for the entire experimental sample. In addition, no variation in pattern shape is observed over all samples.

도 14는 각 마스크층의 마이크로파 전력, RF 전력 및 RF 전압을 시간의 함수로서 나타낸다. 수평축은 처리 시간을 나타내고, 왼쪽 수직축은 마이크로파 전력 및 RF 바이어스 전력을 나타내는 반면에 오른쪽 수직축은 RF 바이어스 전압을 나타낸다. 도 14에 도시된, 이 실험에 대한 데이터는, 다층 구조에 대한 에칭 단계가 플라즈마 처리 장치(30)의 동일한 처리 용기(120)에서 연속적으로 수행되는 일례를 나타낸다. 각 처리 단계의 시작에서 상부 마이크파 전력은 델타(δ)함수로서 인가되어 플라즈마 생성 처리를 점화시킨다는 점에 주목한다.14 shows the microwave power, RF power, and RF voltage of each mask layer as a function of time. The horizontal axis represents processing time and the left vertical axis represents microwave power and RF bias power, while the right vertical axis represents RF bias voltage. The data for this experiment, shown in FIG. 14, shows an example where the etching step for the multilayer structure is performed continuously in the same processing vessel 120 of the plasma processing apparatus 30. Note that at the beginning of each processing step, the top microwave power is applied as a delta (δ) function to ignite the plasma generation process.

RF 바이어스 전압(하부 Vpp)은, 플라즈마 처리 장치(30)로부터 기판 홀더(140)에 도입된 이온 에너지를 제어한다. 도 14에 도시된 바와 같이, RF 바이어스 전압은, 에칭 처리가 다음의 마스크층으로 진행될 때 저하된다. 이에 의해, 기판에 접촉하는 이온의 에너지는, 에칭 처리가 하부 마스층을 향하여 앞쪽으로 이동할 때 감소된다.The RF bias voltage (lower Vpp) controls the ion energy introduced into the substrate holder 140 from the plasma processing apparatus 30. As shown in Fig. 14, the RF bias voltage is lowered when the etching process proceeds to the next mask layer. Thereby, the energy of the ions in contact with the substrate is reduced when the etching process moves forward toward the lower mask layer.

또한, 종래의 플라즈마 에칭 처리에서 관찰되는 또 다른 문제점은, 레지스트 패턴이 패턴들이 성김 또는 밀집(내포)되는 영역에 따라서 불균일하게 형성된다. 즉, 레지스터 패턴이 성김(또는, 거침) 또는 내포(또는, 촘촘함)되어 있는지 여부에 따라서 패턴 형상에서의 일부 변동이 존재한다. 성긴 형상 및 촘촘한 형상에서의 변동을 피하기 위하여, 포토리소그래피 목적을 위한 마스크는 이러한 변동을 고려함으로써 설계된다. 그러나, 전술한 문제점을 이러한 해결책에 의해 완전히 피할 수는 없다. 또한, 성긴 형상 및 촘촘한 형상에서의 변동은 임계 치수(CD)를 제어하는 경우에 발생할 수 있다고 관찰되었다.In addition, another problem observed in the conventional plasma etching process is that the resist pattern is formed nonuniformly depending on the region where the patterns are coarse or dense (embedded). That is, there are some variations in the pattern shape depending on whether the register pattern is coarse (or rough) or nested (or tight). In order to avoid variations in coarse and dense shapes, masks for photolithographic purposes are designed by taking these variations into account. However, the above-mentioned problem cannot be completely avoided by this solution. It was also observed that variations in coarse and dense shapes can occur when controlling critical dimensions (CD).

성긴 형상 및 촘촘한 형상에서의 변동을 본 발명의 처리에 따른 실리콘 함유 반사방지 코팅(Si-ARC)층을 패턴화하는 동안에 피할 수 있다. 이 실시형태에서, 예를 들어 하드 마스크(SiN)인 최종 패턴의 라인폭 또는 임계 치수(CD)는 트리플루오로메탄 가스에 대한 테트라플루오로메탄 가스의 비(CF4/CHF3)를 조정함으로서 Si-ARC층을 통해 제어된다. Si-ARC 에칭 단계에서 CF4/CHF3의 비를 조정함으로써, Si-ARC 패턴의 임계 치수는, 최종 임계 치수(CD)가 약 -2㎜ 내지 +10㎜의 범위 내에서 제어될 수 있도록, 커지거나 또는 작아질 수 있다.Variations in coarse and dense shapes can be avoided during patterning of the silicon-containing antireflective coating (Si-ARC) layer according to the treatment of the present invention. In this embodiment, the line width or critical dimension (CD) of the final pattern, for example the hard mask (SiN), is adjusted by adjusting the ratio of tetrafluoromethane gas (CF 4 / CHF 3 ) to trifluoromethane gas. Controlled through the Si-ARC layer. By adjusting the ratio of CF 4 / CHF 3 in the Si-ARC etching step, the critical dimension of the Si-ARC pattern can be controlled so that the final critical dimension (CD) can be controlled in the range of about −2 mm to +10 mm. It can be larger or smaller.

Si-ARC 패턴은, 주로 실리콘(Si)과 탄소(C) 원자로 이루어진다. Si-ARC층의 탄소 성분은, Si-ARC층의 표면에 복수의 탄소-불소 결합을 생성하는 것을 돕는다고생각된다. 따라서, Si-ARC층에서의 CF4/CHF3의 비를 조정함으로써, CFx 시리즈 막의 얇은 층은, CF4 가스와 CHF3 가스 사이의 결합 에너지 차로 인하여, Si-ARC 패턴 위에 증착된다. 그 결과, 본 발명의 처리에 따라서, Si-ARC 에칭 단계에서 CF4/CHF3의 비를 조정함으로써, Si-ARC층의 측면 방향 에칭이 억제되고, Si-ARC 패턴의 임계 치수(CD)가 증가될 수 있다.The Si-ARC pattern mainly consists of silicon (Si) and carbon (C) atoms. The carbon component of the Si-ARC layer is thought to help generate a plurality of carbon-fluorine bonds on the surface of the Si-ARC layer. Thus, by adjusting the ratio of CF 4 / CHF 3 in the Si-ARC layer, a thin layer of CF x series film is deposited on the Si-ARC pattern due to the difference in binding energy between the CF 4 gas and the CHF 3 gas. As a result, according to the treatment of the present invention, by adjusting the ratio of CF 4 / CHF 3 in the Si-ARC etching step, the lateral etching of the Si-ARC layer is suppressed, and the critical dimension (CD) of the Si-ARC pattern is Can be increased.

Si-ARC 층의 에칭 단계를 통하여 임계 치수(CD)의 제어성을 평가하고, 또한 성긴 형상 및 촘촘한 형상에서의 변동을 조사하기 위하여, 여러 가지 실험 샘플들을 제작한다. 이전의 실시형태들와 유사하게, 각각 다른 패턴(밀집된 패턴 및 성김 패턴)을 가지는 2개의 실험 샘플을 형성한다. 표 Ⅳ는 실험 샘플들의 각 마스크층에 이용되는 에칭 조건을 요약한다. 이 실험에 있어서, Si-ARC, ODL 메인 에칭(ME) 단계, ODL 오버 에칭(OE) 에칭 단계, SiN 및 애싱 단계에서의 에칭 시간은 각각 17.7, 40.8, 20 및 30 초로 설정된다. 또한, CF4/CHF3의 비는 1(180/180)로 설정된다.In order to evaluate the controllability of the critical dimension (CD) through the etching step of the Si-ARC layer, and also to investigate the variation in the coarse and dense shape, various experimental samples are prepared. Similar to the previous embodiments, two experimental samples are formed, each having a different pattern (dense pattern and coarse pattern). Table IV summarizes the etching conditions used for each mask layer of experimental samples. In this experiment, the etching times in the Si-ARC, ODL main etch (ME) step, ODL over etch (OE) etch step, SiN and ashing steps are set to 17.7, 40.8, 20 and 30 seconds, respectively. In addition, the ratio of CF 4 / CHF 3 is set to 1 (180/180).

Figure pct00004
Figure pct00004

도 15를 참조하여, 실험 샘플들의 횡단면도 및 이들의 임계 치수(CD)를 나타낸다. 도 15에 도시된 바와 같이, 수직 프로파일은, 전체 실험 샘플들 전반에 걸쳐 90도에 매우 가까워지며, 성긴 형상 및 촘촘한 형상에서 거의 변동이 없음을 나타낸다. 또한, Si-ARC 패턴의 임계 치수(CD)는 전체 실험 샘플 전반에 걸친 원하는 타겟 패턴으로부터의 최소 편차(± 0nm 내지 +2nm)를 나타낸다. 이 실험에서, 밀집된 패턴 및 성긴 패턴 양쪽에 대한 원하는 타겟 패턴은 각각 45 ㎚ 및 75 ㎚로 설정된다.Referring to FIG. 15, the cross sectional views of the experimental samples and their critical dimensions (CDs) are shown. As shown in FIG. 15, the vertical profile is very close to 90 degrees throughout the entire experimental sample, indicating little variation in the coarse and dense shapes. In addition, the critical dimension (CD) of the Si-ARC pattern represents the minimum deviation (± 0 nm to +2 nm) from the desired target pattern throughout the entire experimental sample. In this experiment, the desired target patterns for both dense and coarse patterns are set to 45 nm and 75 nm, respectively.

또한, Si-ARC 층의 에칭 단계를 통한 임계 치수(CD)의 제어성과 성긴 형상 및 촘촘한 형상의 변동은, CF4/CHF3의 비가 각 실험 샘플에 대하여 변경되는 경우에 조사된다. 또한, 각각이 다른 패턴(밀집된 패턴 및 성긴 패턴)을 가지는 2 세트의 실험 샘플을 제조한다. 각 세트에서, 3개의 실험 샘플이 형성된다. 실험 샘플들의 각 마스크층에 이용되는 에칭 조건은 표 Ⅳ에서 요약된 조건과 동일하다. 그러나, 실험 샘플의 각 세트에서, CF4/CHF3의 비는, 제1, 제2 및 제3 실험 샘플에 대하여 각각 (210/150), (180/180) 및 (150/210)로 설정된다.In addition, the controllability of the critical dimension (CD) through the etching step of the Si-ARC layer and the variation of the coarse and dense shapes are investigated when the ratio of CF 4 / CHF 3 is changed for each experimental sample. In addition, two sets of experimental samples were prepared, each having a different pattern (dense pattern and coarse pattern). In each set, three experimental samples are formed. The etching conditions used for each mask layer of the experimental samples are the same as those summarized in Table IV. However, in each set of experimental samples, the ratio of CF 4 / CHF 3 is set to (210/150), (180/180) and (150/210) for the first, second and third experimental samples, respectively. do.

다음으로 도 16을 참조하여, 실험 샘플들의 횡단면도 및 그 임계 치수(CD)를 나타낸다. 이 도면에 도시된 바와 같이, 수직 프로파일은 전체 실험 샘플들 전반체 걸쳐 90도에 매우 가까워 지며, 성긴 형상 및 촘촘한 형상에서 거의 변동이 없음을 나타낸다. 또한, Si-ARC 패턴의 임계 치수(CD)는 전체 실험 샘플들 전반에 걸친 초기 타겟 패턴으로부터의 최소 편차(-3nm 내지 +12nm)를 나타낸다. 상기 패턴 전반의 최대 편차는 +2 nm이다. 이 실험에서, 밀집된 패턴 및 성긴 패턴 양쪽에 대한 초기 타겟 패턴은 각각 45 nm 및 75 nm로 설정된다.Next, referring to FIG. 16, cross sectional views of experimental samples and their critical dimensions (CDs) are shown. As shown in this figure, the vertical profile is very close to 90 degrees throughout the entire experimental sample, indicating little variation in coarse and dense shapes. In addition, the critical dimension (CD) of the Si-ARC pattern represents the minimum deviation (-3 nm to +12 nm) from the initial target pattern over the entire experimental samples. The maximum deviation across the pattern is +2 nm. In this experiment, initial target patterns for both dense and sparse patterns are set to 45 nm and 75 nm, respectively.

명세서의 원리를 특정 장치/기구 및 방법과 관련하여 위에서 설명하였지만, 이러한 설명은 단지 일례이며 본 발명의 범위를 제한하는 것이 아님을 명확하게 이해해야 한다.Although the principles of the specification have been described above in connection with specific devices / mechanisms and methods, it should be clearly understood that this description is only an example and does not limit the scope of the invention.

Claims (19)

기판 위에 마스크 패턴을 형성한 이후에 에칭 처리에 의해 원하는 패턴을 형성하도록 상기 기판을 처리는 방법에 있어서,
상기 기판 위에, 실리콘 질화물층 및 유기 유전체층을 포함하는 2개의 층을 형성하는 단계;
상기 2개의 층 중 하나의 층의 마스크 패턴 또는 에칭된 패턴의 폭을 측정하는 단계; 및
그 측정된 폭에 기초하여 상기 에칭 처리에 이용되는 HBr 가스 및 다른 가스 중 어느 하나의 유량을 조정하는 단계를 포함하는 기판 처리 방법.
A method of treating the substrate to form a desired pattern by etching after forming a mask pattern on the substrate,
Forming two layers on the substrate, the two layers comprising a silicon nitride layer and an organic dielectric layer;
Measuring a width of a mask pattern or an etched pattern of one of the two layers; And
And adjusting the flow rate of any one of HBr gas and another gas used in the etching process based on the measured width.
제1항에 있어서, 상기 마스크 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 동일한 기판의 2개의 층 중 하나의 층을 에칭하는 단계를 더 포함하는 기판 처리 방법.The method of claim 1, further comprising etching one of two layers of the same substrate with the flow rate adjusted based on the measured width of the mask pattern. 제1항에 있어서, 상기 마스크 패턴 또는 상기 에칭된 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 또 다른 기판의 2개의 층 중 하나의 층을 에칭하는 단계를 더 포함하는 기판 처리 방법.The method of claim 1, further comprising etching one of two layers of another substrate with a flow rate adjusted based on the measured width of the mask pattern or the etched pattern. 제1항에 있어서, 상기 에칭된 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 동일한 기판의 2개의 층 중 하나의 층을 에칭하는 단계를 더 포함하며, 상기 측정하는 단계 및 상기 조정하는 단계는 상기 에칭 처리 동안에 수행되는 것인 기판 처리 방법.The method of claim 1, further comprising etching one of two layers of the same substrate with flow rate adjusted based on the measured width of the etched pattern. Wherein the step is performed during the etching process. 제1항에 있어서, 상기 조정하는 단계는, 상기 측정된 폭이 원하는 폭보다 더 작은 경우에 다른 가스에 대한 HBr 가스의 유량비를 증가시키는 단계 및 상기 측정된 폭이 원하는 폭보다 더 큰 경우에 다른 가스에 대한 HBr 가스의 유량비를 감소시키는 단계를 포함하는 것인 기판 처리 방법.The method of claim 1, wherein the adjusting comprises: increasing the flow rate ratio of the HBr gas to another gas if the measured width is smaller than the desired width and other if the measured width is larger than the desired width. Reducing the flow rate ratio of HBr gas to gas. 제2항에 있어서, 상기 유기 유전체층은 상기 에칭하는 단계에서 에칭되는 것인 기판 처리 방법.The method of claim 2, wherein the organic dielectric layer is etched in the etching step. 제6항에 있어서, 상기 조정하는 단계는, 상기 측정된 폭이 원하는 폭보다 더 작은 경우에 에칭 시간을 증가시키는 단계 및 상기 측정된 폭이 원하는 폭보다 더 큰 경우에 에칭 시간을 감소시키는 단계를 포함하는 것인 기판 처리 방법.7. The method of claim 6, wherein adjusting comprises: increasing the etching time if the measured width is smaller than the desired width and decreasing the etching time if the measured width is larger than the desired width. Substrate processing method comprising. 제6항에 있어서, 상기 에칭하는 단계는, 메인 에칭 및 상기 메인 에칭에 후속되는 오버 에칭을 포함하며, 상기 HBr 가스는 상기 오버 에칭에 이용되는 것인 기판 처리 방법.The method of claim 6, wherein the etching comprises a main etch and an over etch following the main etch, wherein the HBr gas is used for the over etch. 제8항에 있어서, 상기 조정하는 단계는, 상기 측정된 폭이 원하는 폭보다 더 작은 경우에 오버 에칭 시간을 증가시키는 단계 및 상기 측정된 폭이 원하는 폭보다 더 큰 경우에 오버 에칭 시간을 감소시키는 단계를 포함하는 것인 기판 처리 방법.9. The method of claim 8, wherein adjusting comprises: increasing the over etch time if the measured width is less than the desired width and reducing the over etch time if the measured width is greater than the desired width. A substrate processing method comprising the step. 제1항에 있어서, 상기 조정하는 단계는, 상기 측정된 폭이 원하는 폭보다 더 작은 경우에 상기 기판에 인가되는 RF 바이어스 전력을 증가시키는 단계 및 상기 측정된 폭이 원하는 폭보다 더 큰 경우에 상기 RF 바이어스 전력을 감소시키는 단계를 포함하는 것인 기판 처리 방법.The method of claim 1, wherein the adjusting comprises: increasing the RF bias power applied to the substrate when the measured width is less than the desired width and wherein the measured width is greater than the desired width. Reducing the RF bias power. 제1항에 있어서, 상기 다른 가스는 N 2 및 O2를 포함하는 것인 기판 처리 방법. The method of claim 1, wherein the other gas comprises N 2 and O 2 . 제1항에 있어서, 상기 다른 가스는 Ar 및 O2를 포함하는 것인 기판 처리 방법.The method of claim 1, wherein the other gas comprises Ar and O 2 . 기판 위에 마스크 패턴을 형성한 이후에 에칭 처리에 의해 원하는 패턴을 형성하도록 상기 기판을 처리하는 방법에 있어서,
상기 기판 위에, 실리콘 질화물층, 유기 유전체층 및 실리콘 함유 반사방지 코팅층을 포함하는 3개의 층을 형성하는 단계;
상기 3개의 층 중 하나의 층의 마스크 패턴 또는 에칭된 패턴의 폭을 측정하는 단계; 및
그 측정된 폭에 기초하여, 상기 에칭 처리에 이용되는 CF4 및 CHF3 중 어느 하나의 유량을 조정하는 단계를 포함하는 기판 처리 방법.
In the method of processing the substrate to form a desired pattern by etching after forming a mask pattern on the substrate,
Forming three layers on the substrate, the silicon nitride layer, an organic dielectric layer and a silicon containing antireflective coating layer;
Measuring a width of a mask pattern or an etched pattern of one of the three layers; And
Based on the measured width, adjusting the flow rate of any one of CF 4 and CHF 3 used in the etching process.
제13항에 있어서, 상기 마스크 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 동일한 기판의 3개의 층 중 하나의 층을 에칭하는 단계를 더 포함하는 기판 처리 방법.The method of claim 13, further comprising etching one of three layers of the same substrate with flow rate adjusted based on the measured width of the mask pattern. 제13항에 있어서, 상기 마스크 패턴 또는 상기 에칭된 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 또 다른 기판의 3개의 층 중 하나의 층을 에칭하는 단계를 더 포함하는 기판 처리 방법.The method of claim 13, further comprising etching one of three layers of another substrate with flow rate adjusted based on the measured width of the mask pattern or the etched pattern. 제13항에 있어서, 상기 에칭된 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 동일한 기판의 3개의 층 중 하나의 층을 에칭하는 단계를 더 포함하며, 상기 측정하는 단계 및 상기 조정하는 단계는 상기 에칭 처리 동안에 수행되는 것인 기판 처리 방법.14. The method of claim 13, further comprising etching one of three layers of the same substrate with a flow rate adjusted based on the measured width of the etched pattern. Wherein the step is performed during the etching process. 제13항에 있어서, 상기 마스크 패턴의 측정된 폭에 기초하여 유량이 조정된 상태에서 상기 실리콘 함유 반사방지 코팅층을 에칭하는 단계를 더 포함하는 기판 처리 방법.The method of claim 13, further comprising etching the silicon-containing antireflective coating layer with a flow rate adjusted based on the measured width of the mask pattern. 제13항에 있어서, 상기 조정하는 단계는, 상기 측정된 폭이 원하는 폭보다 더 큰 경우에 CHF3에 대한 CF4의 유량비를 증가시키는 단계 및 상기 측정된 폭이 원하는 폭보다 더 작은 경우에 CHF3에 대한 CF4의 유량비를 감소시키는 단계를 포함하는 것인 기판 처리 방법.The method of claim 13, wherein the adjusting comprises: increasing the flow rate ratio of CF 4 to CHF 3 if the measured width is greater than the desired width and CHF if the measured width is smaller than the desired width. Reducing the flow rate ratio of CF 4 to 3 ; 제13항에 있어서, 상기 조정하는 단계는, 상기 측정된 폭이 원하는 폭보다 더 작은 경우에 상기 기판에 인가되는 RF 바이어스 전력을 증가시키는 단계 및 상기 측정된 폭이 원하는 폭보다 더 큰 경우에 상기 RF 바이어스 전력을 감소시키는 단계를 포함하는 것인 기판 처리 방법.The method of claim 13, wherein the adjusting comprises: increasing the RF bias power applied to the substrate when the measured width is smaller than the desired width and when the measured width is greater than the desired width. Reducing the RF bias power.
KR1020117024946A 2009-03-24 2010-03-24 Plasma etching method KR20120001773A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US21099009P 2009-03-24 2009-03-24
US61/210,990 2009-03-24
US21161409P 2009-03-31 2009-03-31
US21157309P 2009-03-31 2009-03-31
US61/211,614 2009-03-31
US61/211,573 2009-03-31

Publications (1)

Publication Number Publication Date
KR20120001773A true KR20120001773A (en) 2012-01-04

Family

ID=42781330

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117024946A KR20120001773A (en) 2009-03-24 2010-03-24 Plasma etching method

Country Status (5)

Country Link
JP (1) JP2012521659A (en)
KR (1) KR20120001773A (en)
CN (1) CN102365392A (en)
TW (1) TW201108324A (en)
WO (1) WO2010110878A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5854136A (en) * 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
JPH10268526A (en) * 1997-03-24 1998-10-09 Toshiba Corp Production of semiconductor device and pattern forming method
US6423457B1 (en) * 2000-01-27 2002-07-23 Advanced Micro Devices, Inc. In-situ process for monitoring lateral photoresist etching
JP2004221545A (en) * 2002-12-26 2004-08-05 Tokyo Electron Ltd Plasma etching method
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7545045B2 (en) * 2005-03-24 2009-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy via for reducing proximity effect and method of using the same

Also Published As

Publication number Publication date
JP2012521659A (en) 2012-09-13
CN102365392A (en) 2012-02-29
TW201108324A (en) 2011-03-01
WO2010110878A1 (en) 2010-09-30

Similar Documents

Publication Publication Date Title
US9117769B2 (en) Plasma etching method
JP5577530B2 (en) Method for patterning antireflection layer using sulfur hexafluoride (SF6) and hydrocarbon gas
US11355352B2 (en) Plasma etching method and plasma etching apparatus
US6399507B1 (en) Stable plasma process for etching of films
JP5577532B2 (en) DC / RF hybrid processing system
KR102023784B1 (en) Method of etching silicon nitride films
KR20190100035A (en) Method of Spacer-Defined Direct Patterning in Semiconductor Fabrication
US8263499B2 (en) Plasma processing method and computer readable storage medium
US20130048606A1 (en) Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20040209477A1 (en) Methods for substrate orientation
US20090325387A1 (en) Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US20110049098A1 (en) Plasma etching method
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
KR101688231B1 (en) Low damage method for ashing a substrate using co2/co-based process
JP2005129906A (en) Apparatus and method for controlling accuracy and repeatability of etch process
KR101333744B1 (en) Method for processing a photolithographic reticle
KR101737021B1 (en) Plasma processing method and storage medium
US10626498B2 (en) Method of processing target object to be processed
US20050064714A1 (en) Method for controlling critical dimensions during an etch process
US7306746B2 (en) Critical dimension control in a semiconductor fabrication process
KR20120001773A (en) Plasma etching method
US20130071955A1 (en) Plasma etching method
US11978631B2 (en) Forming contact holes with controlled local critical dimension uniformity
US10283368B2 (en) Plasma etching method and plasma etching apparatus
US8975190B2 (en) Plasma processing method

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid