KR20110083635A - 바닥 반사방지 코팅 조성물 - Google Patents

바닥 반사방지 코팅 조성물 Download PDF

Info

Publication number
KR20110083635A
KR20110083635A KR1020117009666A KR20117009666A KR20110083635A KR 20110083635 A KR20110083635 A KR 20110083635A KR 1020117009666 A KR1020117009666 A KR 1020117009666A KR 20117009666 A KR20117009666 A KR 20117009666A KR 20110083635 A KR20110083635 A KR 20110083635A
Authority
KR
South Korea
Prior art keywords
tetra
compound
oxide
ether
glycoluril
Prior art date
Application number
KR1020117009666A
Other languages
English (en)
Inventor
웨이홍 리우
광양 린
조준연
지엔 인
살렘 케이 물렌
마크 네이서
Original Assignee
에이제트 일렉트로닉 머트리얼즈 유에스에이 코프.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프. filed Critical 에이제트 일렉트로닉 머트리얼즈 유에스에이 코프.
Publication of KR20110083635A publication Critical patent/KR20110083635A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/001Phase modulating patterns, e.g. refractive index patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Paints Or Removers (AREA)

Abstract

본 발명은
a) 하기 화학식의 화합물
Figure pct00044

[식 중, X는 이하에서 선택됨:
Figure pct00045

(식 중, U는 2가 연결기이고; Y는 수소 또는 Z이며; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)] ; 및
b) 산 또는 산 발생제
를 포함하는 반사방지 코팅 조성물에 관한 것이다.

Description

바닥 반사방지 코팅 조성물{BOTTOM ANTIREFLECTIVE COATING COMPOSITIONS}
본 발명은 신규한 코팅 조성물 및 반사 기판과 포토레지스트 코팅 사이에 신규한 코팅 조성물의 박층을 형성함에 의한 이미지 처리에서의 이의 용도에 관한 것이다. 이러한 조성물은 포토리소그래피 기술에 의한 반도체 소자의 제작에서 특히 유용하다. 본 발명은 또한 코팅 조성물용 중합체에 관한 것이다.
포토레지스트 조성물은 컴퓨터 칩 및 집적 회로의 제작에서와 같이 소형화된 전자 부품의 제조를 위한 마이크로리소그래피 공정에서 사용된다. 일반적으로, 이들 공정에서는, 포토레지스트 조성물 막의 얇은 코팅을 먼저 집적 회로의 제조에 사용되는 규소 웨이퍼와 같은 기판 재료에 도포한다. 이후 코팅 기판을 소성하여 포토레지스트 조성물 중의 임의의 용매를 증발시키고 기판 상에 코팅을 고정시킨다. 이어서 기판의 소성된 코팅 표면을 이미지 방식으로 방사선에 노광한다.
이러한 방사선 노광은 코팅 표면의 노광 영역에서 화학적 변성을 야기한다. 가시광선, 자외선(UV), 전자빔 및 X-선 복사 에너지는 오늘날 마이크로리소그래피 공정에서 통상적으로 사용되는 방사선 유형이다. 이러한 이미지 방식 노광 후, 코팅된 기판을 현상제 용액으로 처리하여 포토레지스트의 방사선 노광 또는 비노광 영역을 용해시키고 제거한다.
반도체 소자의 소형화를 향한 경향은 더욱 더 낮은 방사선 파장에 대해 감작성인 신규한 포토레지스트의 사용을 유도하였고 이러한 소형화와 관련된 어려움을 극복하기 위하여 정교한 멀티레벨 시스템의 사용을 또한 유도하였다.
고해상의, 화학증폭된, 원자외선(100∼300 nm) 포지티브 및 네거티브 톤 포토레지스트는 250 nm 미만의 기하학적 구조를 갖는 이미지를 패턴화하는 데 이용가능하다. 소형화를 상당히 진보시킨 두 주요 원자외선(uv) 노광 기술이 있는데, 이들은 248 nm 및 193 nm에서 방사선을 방출하는 레이저이다. 이러한 포토레지스트의 예는 본 명세서에 참고 문헌으로 포함된 이하의 특허, US 4,491,628호, US 5,350,660호, EP 794458호 및 GB 2320718호에 나와 있다. 248 nm용 포토레지스트는 일반적으로 치환된 폴리히드록시스티렌 및 이의 공중합체를 베이스로 하였다. 다른 한편, 193 nm 노광을 위한 포토레지스트는 방향족이 이 파장에서 불투명하므로 비방향족 중합체를 필요로 한다. 일반적으로, 방향족이 존재하지 않음으로써 손실되는 에칭 내성을 대체하기 위하여 비환식 탄화수소를 중합체에 포함시킨다. 또한, 더 낮은 파장에서는 기판으로부터의 반사가 포토레지스트의 리소그래피 성능에 점점 유해하게 된다. 따라서, 이들 파장에서 반사방지 코팅이 중요해진다.
포토리소그래피에서 고 흡수성 반사방지 코팅을 사용하는 것이 고 반사성 기판으로부터 빛이 역반사됨으로 인한 문제를 감소시키기 위한 더 간단한 방법이다. 역반사의 2가지 주요 단점은 박막 간섭 효과 및 반사 노칭이다. 박막 간섭 또는 정상파는 레지스트의 두께가 변화함에 따라 레지스트 막에서의 총 광강도가 변함으로써 야기되는 임계 선폭 치수 변화를 야기한다. 반사 노칭은 포토레지스트가 포토레지스트 막을 통해 빛을 산란시키는 지형적 특징을 포함하는 기판 상에서 패턴화됨에 따라 심각해져, 선폭 변화를 야기하고 심한 경우 포토레지스트가 완전히 손실된 영역을 형성한다.
과거에는 이러한 반사성 문제를 해결하기 위하여 염색된 포토레지스트가 이용되었다. 그러나, 염색된 레지스트는 기판으로부터의 반사를 감소시킬 뿐이지 이것을 실질적으로 제거하지는 않는다고 일반적으로 알려져 있다. 또한, 염색된 레지스트는 또한 레지스트 막에서의 염료의 비상용성 및 염료의 승화 가능성과 더불어 포토레지스트의 리소그래피 성능 감소를 또한 야기한다.
선폭 변화의 추가의 감소 또는 제거가 필요한 경우, 바닥 반사방지 코팅을 사용하는 것이 반사를 없애는 최상의 방법을 제공한다. 포토레지스트로 코팅하기 전 및 노광 전에 바닥 반사방지 코팅을 기판에 도포한다. 레지스트를 이미지 방식으로 노광하고 현상한다. 이후 노광 영역에서 반사방지 코팅을 일반적으로 산소 플라즈마 내에서 에칭하므로, 레지스트 패턴이 기판으로 전사된다. 에칭 공정 동안 레지스트 막의 과도한 손실 없이 반사방지 막이 에칭되도록, 반사방지 막의 에칭 율이 포토레지스트에 비하여 비교적 높아야 한다. 무기 유형의 반사방지 코팅은 30 nm의 범위에서 TiN, TiON, TiW 및 스핀온 유기 중합체와 같은 막을 포함한다. 무기 B.A.R.C는 막 두께의 정확한 조절, 막의 균일성, 특정 증착 장비, 레지스트 코팅 전 착물 부착 촉진 기술, 별도의 건식 에칭 패턴 전사 단계 및 제거를 위한 건식 에칭을 필요로 한다.
유기 B.A.R.C가 더 바람직하며 중합체 코팅에 염료를 첨가하여 조제되었다(Proc. SPIE, Vol. 1086 (1989), p. 106). 이러한 염료 배합 코팅의 문제는 1) 스핀 코팅 동안 중합체 및 염료 성분의 분리, 2) 레지스트 용매 내로 염료 스트립핑, 및 3) 소성 공정시 레지스트로 열적 확산을 포함한다. 이러한 모든 효과는 포토레지스트 특성을 해치므로 바람직한 조성물이 아니다.
광흡수성 막형성 중합체는 다른 옵션이다. 중합체 유기 반사방지 코팅은 EP 583,205호에 개시된 바와 같이 업계에 공지이며 본 명세서에 참고로 포함된다. 그러나, 이들 중합체는 193 nm에 감작성인 포토레지스트용 반사방지 코팅으로서 사용되는 경우 비효과적인 것으로 발견되었다. 이러한 반사방지 중합체는 매우 방향성이므로 지나치게 반사성이어서 흡수제라기보다 미러로서 작용하는 것으로 사료된다. 또한, 고도로 방향성인 이들 중합체는, 193 nm 노광에 사용되는 신규한 유형의 비방향족 포토레지스트에 비하여 건식 에칭율이 지나치게 낮으므로, 이미징 및 에칭에 비효과적이다. 반사방지 코팅의 건식 에칭율이 반사방지 코팅의 위에 코팅된 포토레지스트의 에칭율과 비슷하거나 이보다 작을 경우 포토레지스트 패턴은 손상되거나 기판에 정확히 전사될 수 없다.
최대 리소그래피 해상도 및 공정 허용도를 위하여 더 얇은 포토레지스트 막 두께가 사용되게 된다. 에칭 공정을 통한 아래 기판으로의 패턴 전사를 위해 이용가능한 레지스트 막이 적어지므로, 더 높은 에칭율 및 더 얇은 바닥 반사방지 코팅(BARC) 막 두께가 필요하다. 양호한 반사율 제어를 유지하기 위하여, BARC 막 두께가 얇아질수록 자연히 더 높은 실제 굴절률을 갖는 재료가 필요하게 된다. 또한, 굴절률이 높은 액침 유체를 사용하는 2세대 액침 리소그래피에서는, 높은 굴절률 포토레지스트 및 BARC 재료가 모두 필요하다.
발명의 개요
본 발명은
a) 하기 화학식의 화합물
Figure pct00001
[식 중, X는 이하에서 선택됨:
Figure pct00002
(식 중, U는 2가 연결기이고; Y는 수소 또는 Z이며; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)] ; 및
b) 산 또는 산 발생제
를 포함하는 반사방지 코팅 조성물에 관한 것이다. 2가 연결기의 예는 알킬렌기, 페닐렌기, 시클로알킬렌기 등을 포함한다. 조성물은 또한 열산 발생제 및/또는 가교결합제를 함유할 수 있다.
본 발명은 또한 하기 화학식의 화합물에 관한 것이다:
Figure pct00003
[식 중, X는 이하에서 선택됨:
Figure pct00004
(식 중, U는 2가 연결기이고; Y는 수소 또는 Z이며; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)]. 2가 연결기의 예는 알킬렌기, 페닐렌기, 시클로알킬렌기 등을 포함한다.
본 발명은 또한 하기 화학식의 화합물에 관한 것이다:
Figure pct00005
식 중, U는 2가 연결기이고; V는 직접 결합, C1-C10 직쇄형 또는 분지쇄형 알킬렌, 또는 시클로알킬렌 기이며; R23은 수소 또는 C1-C10 알킬이다.
본 발명은 또한 하기 화학식의 화합물과 폴리히드록시 화합물의 반응 생성물에 관한 것이다:
Figure pct00006
식 중, U, V 및 R23은 상기 개시되어 있다.
본 발명은 또한 하기에서 선택되는 반복 단위를 갖는 화합물에 관한 것이다:
Figure pct00007
Figure pct00008
식 중, U는 2가 연결기이고, 각 R11은 수소 또는 C1-C10 알킬이며, T는 수소, 직쇄형 또는 분지쇄형 C1-C10 알킬, 또는 폴리히드록시 화합물의 잔기이고, R23은 수소 또는 C1-C10 알킬이며, n은 0∼4이다.
본 발명은 또한, 본 명세서에서 상기 개시된 반사방지 코팅 조성물로부터 형성되고 193 nm에서 측정할 때 흡수 파라미터(k)가 0.01 ≤ k < 0.50인 반사방지 코팅층을 위에 갖는 기판을 포함하는 코팅 기판에 관한 것이다.
본 발명은 또한, a) 본 명세서에서 상기 개시된 반사방지 코팅 조성물로 기판을 코팅하고 소성하는 단계; b) 상기 반사방지 코팅의 위에 포토레지스트 막을 코팅하고 소성하는 단계; c) 상기 포토레지스트를 이미지 방식으로 노광하는 단계; d) 상기 포토레지스트에서 이미지를 현상하는 단계; e) 임의로, 노광 단계 후에 기판을 소성하는 단계를 포함하는 이미지 형성 방법에 관한 것이다.
발명의 상세한 설명
본 발명은
a) 하기 화학식의 화합물
Figure pct00009
[식 중, X는 이하에서 선택됨:
Figure pct00010
(식 중, Y는 수소 또는 Z이고; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)] ; 및
b) 산 또는 산 발생제
를 포함하는 반사방지 코팅 조성물에 관한 것이다. 조성물은 열산 발생제 및/또는 가교결합제를 더 함유할 수 있다.
본 발명은 또한 하기 화학식의 화합물에 관한 것이다:
Figure pct00011
[식 중, X는 이하에서 선택됨:
Figure pct00012
(식 중, Y는 수소 또는 Z이고; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)].
본 발명은 또한 하기 화학식의 화합물과 폴리히드록시 화합물의 반응 생성물에 관한 것이다:
Figure pct00013
식 중, U, V, 및 R23은 상기 개시되어 있다.
본 발명은 또한 하기에서 선택되는 반복 단위를 갖는 화합물에 관한 것이다:
Figure pct00014
Figure pct00015
식 중, U는 2가 연결기이고, 각 R11은 수소 또는 C1-C10 알킬이며, T는 수소, 직쇄형 또는 분지쇄형 C1-C10 알킬, 또는 폴리히드록시 화합물의 잔기이고, R23은 수소 또는 C1-C10 알킬이며, n은 0∼4이다. 2가 연결기의 예는 알킬렌기, 페닐렌기, 시클로알킬렌기 등을 포함한다.
본 발명은 또한 하기 화학식의 화합물에 관한 것이다:
Figure pct00016
식 중, U는 2가 연결기이고; V는 직접 결합, C1-C10 직쇄형 또는 분지쇄형 알킬렌, 또는 시클로알킬렌 기이며; R23은 수소 또는 C1-C10 알킬이다. 2가 연결기의 예는 알킬렌기, 페닐렌기, 시클로알킬렌기 등을 포함한다.
본 발명은 또한, 본 명세서에서 상기 개시된 반사방지 코팅 조성물로부터 형성되고 193 nm에서 측정할 때 흡수 파라미터(k)가 0.01 ≤ k < 0.50인 반사방지 코팅층을 위에 갖는 기판을 포함하는 코팅 기판에 관한 것이다.
본 발명은 또한, a) 본 명세서에서 상기 개시된 반사방지 코팅 조성물로 기판을 코팅하고 소성하는 단계; b) 상기 반사방지 코팅의 위에 포토레지스트 막을 코팅하고 소성하는 단계; c) 상기 포토레지스트를 이미지 방식으로 노광하는 단계; d) 상기 포토레지스트에서 이미지를 현상하는 단계; e) 임의로, 노광 단계 후에 기판을 소성하는 단계를 포함하는 이미지 형성 방법에 관한 것이다.
본 발명의 반사방지 코팅 조성물은 우선 하기 화학식의 화합물을 포함한다:
Figure pct00017
[식 중, X는 이하에서 선택됨:
Figure pct00018
(식 중, U는 2가 연결기이고; Y는 수소 또는 Z이며; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)].
화합물(4)은 트리스 에폭시 이소시안우레이트 화합물, 예컨대, 트리스(2,3-에폭시프로필) 이소시안우레이트를 비스(카르복시알킬) 이소시안우레이트와 방향족 또는 지방족 옥시드의 반응 생성물과 반응시켜 제조할 수 있다. 비스(카르복시알킬) 이소시안우레이트 및 방향족 또는 지방족 옥시드의 반응은 통상 촉매, 예컨대, 벤질 트리에틸암모늄 클로라이드의 존재 하에 실시한다.
비스(카르복시에틸) 이소시안우레이트의 예는 비스(2-카르복시에틸) 이소시안우레이트를 포함한다.
방향족 옥시드의 예는 스티렌 옥시드, 1,2-에폭시-페녹시프로판, 글리시딜-2-메틸페닐 에테르, (2,3-에폭시프로필)벤젠, 1-페닐프로필렌 옥시드, 스틸벤 옥시드, 2- (또는 3- 또는 4-)할로(클로로, 플루오로, 브로모, 요오도) 스틸벤 옥시드, 벤질 글리시딜 에테르, C1-10 직쇄 또는 분지쇄 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, sec-부틸, tert-부틸, 펜틸, 헥실, 헵틸 등)페닐 글리시딜 에테르, 4-할로(클로로, 플루오로, 브로모, 요오도)페닐 글리시딜 에테르, 글리시딜 4-C1-10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)페닐 에테르, 2,6-디할로(클로로, 플루오로, 브로모, 요오도)벤질메틸 에테르, 3,4-디벤질옥시벤질 할로겐화물(염화물, 불화물, 브롬화물, 요오드화물), 2-(또는 4-)메톡시비페닐, 3,3'-(또는 4,4'-)디C1-10 직쇄 또는 분지쇄 알콕시 (예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등) 비페닐, 4,4'-디메톡시옥타플루오로비페닐, 1-(또는 2-)C1-10 직쇄 또는 분지쇄 알콕시 (예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등) 나프탈렌, 2-할로(클로로, 플루오로, 브로모, 요오도)-6-메톡시나프탈렌, 2,6-디C1-10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)나프탈렌, 2,7-디C1-10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)나프탈렌, 1,2,3,4,5,6-헥사할로(클로로, 플루오로, 브로모, 요오도)-7-C10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)나프탈렌, 9,10-비스(4-C1-10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)페닐)-안트라센, 2-C1-10 직쇄 또는 분지쇄 알킬(예컨대, 메틸, 에틸, 프로필, 부틸, sec-부틸, tert-부틸, 펜틸, 헥실, 헵틸 등)-9,10-디C1-10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)안트라센, 9,10-비스(4-C1-10 직쇄 또는 분지쇄 알콕시(예컨대, 메톡시, 에톡시, 프로폭시, 부톡시, 헥실옥시, 헵틸옥시 등)페닐)-2-할로(클로로, 플루오로, 브로모, 요오도)-안트라센, 2,3,6,7,10,11-헥사메톡시트리페닐렌, 글리시딜-3-(펜타데카디에닐)페닐 에테르, 4-t-부틸페닐글리시딜 에테르, 트리페닐올메탄 트리글리시딜 에테르, [(4-(1-헵틸-8-[3-(옥시라닐메톡시)페닐]-옥틸)페녹시)메틸]옥시란, 테트라페닐올에탄 테트라글리시딜 에테르, 히드록시페놀 디글리시딜 에테르 등을 포함한다.
지방족 옥시드의 예는 에틸렌 옥시드, 프로필렌 옥시드, 이소부틸렌 옥시드, 1,2-부틸렌 옥시드 및 2,3-부틸렌 옥시드를 비롯한 부틸렌 옥시드, 펜틸렌 옥시드, 시클로헥센 옥시드, 데실 글리시딜 에테르 및 도데실 글리시딜 에테르를 포함한다.
비스(카르복시알킬) 이소시안우레이트는 일반적으로 약 1:1의 몰비로 방향족 또는 지방족 옥시드와 반응한다. 이후 생성되는 반응 생성물을 일반적으로 약 3:1의 몰비로 트리스 에폭시 이소시안우레이트 화합물과 반응시킨다.
(4)의 예는 이하를 포함한다:
Figure pct00019
Figure pct00020
본 발명에 사용되는 산 발생제, 바람직하게는 열산 발생제는 90℃ 초과 250℃ 미만의 온도로 가열될 때 산을 발생시키는 화합물이다. 산은 가교결합제와 함께 중합체를 가교결합시킨다. 열처리 후 반사방지 코팅층은 포토레지스트 코팅에 사용되는 용매에 불용성이 되며, 나아가 포토레지스트의 이미징에 사용되는 알칼리성 현상제에도 불용성이다. 열산 발생제는 바람직하게는 90℃에서, 더 바람직하게는 120℃ 초과에서, 더욱 더 바람직하게는 150℃ 초과에서 활성화된다. 반사방지 코팅층은 코팅을 가교결합시키기에 충분한 시간 동안 가열된다. 산 및 열산 발생제의 예는 부탄 술폰산, 트리플산, 나노플루오로부탄 술폰산, 니트로벤질 토실레이트, 예컨대 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠술포네이트, 예컨대 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠술포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로 벤젠술포네이트; 페놀계 술포네이트 에스테르, 예컨대 페닐, 4-메톡시벤젠술포네이트; 유기산의 알킬 암모늄 염, 예컨대 10-캄포르술폰산의 트리에틸암모늄 염 등이다.
신규한 반사방지 조성물에 유리산도 사용할 수 있으나, 중합체가 용액 중에서 가교결합하는 경우, 반사방지 용액의 경시적 저장 안정성이 산의 존재에 의하여 영향을 받을 수 있으므로, 열산 발생제가 유리산보다 바람직하다. 열산 발생제는 반사방지 막이 기판 상에서 가열될 때 겨우 활성화된다. 또한, 열산 및 유리산의 혼합물을 사용할 수 있다. 중합체를 효율적으로 가교결합하는 데 열산 발생제가 바람직하나, 가열하여 중합체를 가교결합하는 경우 중합체 및 가교결합제를 포함하는 반사방지 코팅 조성물도 사용될 수 있다. 유리산의 예는 비제한적으로 술폰산과 같은 강산이다. 톨루엔 술폰산, 트리플산 또는 이들의 혼합물과 같은 술폰산이 바람직하다.
알킬은 예컨대 메틸, 에틸, 프로필, 이소프로필, 3급 부틸, 도데실 등과 같이 1∼20 개의 탄소 원자를 갖는 직쇄 및 분지쇄 포화 탄화수소기를 모두 의미한다.
선형 또는 분지형 알킬렌기의 예는 1∼20 개의 탄소 원자, 나아가 1∼6 개의 탄소 원자를 가질 수 있으며, 예컨대, 메틸렌, 에틸렌, 프로필렌 및 옥틸렌 기를 포함한다.
아릴은 단일 고리 또는 다수의 축합(융합) 고리들을 갖는 탄소 원자수 6∼20의 불포화 방향족 탄소환식 기를 의미하며, 예컨대 페닐, 톨릴, 디메틸페닐, 2,4,6-트리메틸페닐, 나프틸, 안트릴 및 9,10-디메톡시안트릴 기를 포함하나 이에 한정되지 않는다.
아르알킬은 아릴기를 갖는 알킬기를 의미한다. 이것은 방향족 및 지방족 구조를 모두 갖는 탄화수소기, 즉, 예컨대 톨릴, 벤질, 페네틸 및 나프틸메틸 기와 같이 알킬 수소 원자가 아릴기로 치환된 탄화수소기이다.
시클로알킬은 단일 환식 고리 또는 다수의 축합(융합) 고리들을 갖는 탄소 원자수 3∼50의 환식 알킬기를 의미한다. 예는 시클로프로필기, 시클로펜틸기, 시클로헥실기, 시클로헵틸기, 시클로옥틸, 아다만틸, 노르보닐, 이소보로닐, 캄포르닐, 디시클로펜틸, 알파-피넬, 트리시클로데카닐, 테트라시클로도데실 및 안드로스타닐 기를 포함한다. 이들 단환식 또는 다환식 시클로알킬 기에서, 탄소 원자는 산소 원자와 같은 헤테로원자로 치환될 수 있다.
본원에서 사용될 때, 용어 "치환된"은 유기 화합물의 모든 허용되는 치환기를 포함하는 것으로 고려된다. 광범위 측면에서, 허용되는 치환기는 유기 화합물의 비환식 및 환식, 분지형 및 비분지형, 탄소환식 및 복소환식, 방향족 및 비방향족 치환기를 포함한다. 예시적인 치환기는 예컨대 상기 개시된 것들을 포함한다. 허용되는 치환기는 하나 이상일 수 있으며 적절한 유기 화합물에 대하여 동일하거나 상이할 수 있다. 본 발명의 목적에서, 질소와 같은 헤테로원자는 헤테로원자의 원자가를 만족시키는 본 명세서에 개시된 유기 화합물의 임의의 허용되는 치환기 및/또는 수소 치환기를 가질 수 있다. 본 발명은 어떠한 방식으로도 유기 화합물의 허용되는 치환기에 의하여 한정되지 않는다.
반사방지 코팅 조성물은 임의로 가교결합제를 함유할 수 있다.
가교결합제의 예는 글리코루릴-알데히드 수지, 멜라민-알데히드 수지, 벤조구아나민-알데히드 수지 및 우레아-알데히드 수지를 포함한다. 알데히드의 예는 포름알데히드, 아세트알데히드 등을 포함한다. 어떤 경우, 3 또는 4 개의 알콕시기가 유용하다. 단량체 알킬화 글리코루릴-포름알데히드 수지가 한 예이다. 글리코루릴 화합물은 공지이고 시중에서 구입할 수 있으며, US 4,064,191호에 더 개시된다. 글리코루릴은 2 몰의 우레아를 1 몰의 글리옥살과 반응시켜 합성한다. 글리코루릴은 이후 포름알데히드로 완전히 또는 부분적으로 메틸올화될 수 있다. 한 예는 이하의 구조식을 갖는 테트라(알콕시알킬)글리코루릴이다:
Figure pct00021
식 중, 각 R8은 (CH2)n─O─W─R12이고, 각 R11은 수소 또는 C1-C10 알킬이며, R12는 수소 또는 메틸이고, W는 직접 결합 또는 직쇄형 또는 분지쇄형 C1-C10 알킬렌이며, n은 0∼4이다.
((A)에서 숫자는 화합물 명명을 위한 원자 수를 나타냄)
테트라(알콕시메틸)글리코루릴의 예는, 예컨대, 테트라(메톡시메틸)글리코루릴, 테트라(에톡시메틸)글리코루릴, 테트라(n-프로폭시메틸)글리코루릴, 테트라(i-프로폭시메틸)글리코루릴, 테트라(n-부톡시메틸)글리코루릴, 테트라(t-부톡시메틸)글리코루릴, 치환된 테트라(알콕시메틸)글리코루릴, 예컨대 7-메틸 테트라(메톡시메틸)글리코루릴, 7-에틸 테트라(메톡시메틸)글리코루릴, 7-(i- 또는 n-)프로필 테트라(메톡시메틸)글리코루릴, 7-(i- 또는 sec- 또는 t-)부틸 테트라(메톡시메틸)글리코루릴, 7,8-디메틸 테트라(메톡시메틸)글리코루릴, 7,8-디에틸 테트라(메톡시메틸)글리코루릴, 7,8-디(i- 또는 n-)프로필 테트라(메톡시메틸)글리코루릴, 7,8-디(i- 또는 sec- 또는 t-)부틸 테트라(메톡시메틸)글리코루릴, 7-메틸-8-(i- 또는 n-)프로필 테트라(메톡시메틸)글리코루릴 등을 포함할 수 있다. 테트라(메톡시메틸)글리코루릴은 Cytec Industries사에서 상표명 POWDERLINK(예컨대, POWDERLINK 1174)로 입수할 수 있다. 다른 예는 메틸프로필테트라메톡시메틸 글리코루릴 및 메틸페닐테트라메톡시메틸 글리코루릴을 포함한다.
다른 아미노플라스트는 Cytec Industries사로부터 상표명 CYMEL로, Monsanto Chemical Co사로부터 상표명 RESIMENE으로 구입할 수 있다. 예컨대, 트리아진, 디아진, 디아졸, 구아니딘, 구아니민의 알데히드 축합물 및 알킬- 및 아릴-치환된 멜라민을 비롯한 이들 화합물의 알킬- 및 아릴-치환된 유도체와 같은 다른 아민 및 아미드의 축합 생성물도 사용할 수 있다. 이러한 화합물의 몇가지 예로는 N,N'-디메틸 우레아, 벤조우레아, 디시안디아미드, 포름구아나민, 아세토구아나민, 아멜린, 2-클로로-4,6-디아미노-1,3,5-트리아진, 6-메틸-2,4-디아미노,1,3,5-트리아진, 3,5-디아미노트리아졸, 트리아미노피리미딘, 2-머캅토-4,6-디아미노-피리미딘, 3,4,6-트리스(에틸아미노)-1,3,5-트리아진, 트리스(알콕시카르보닐아미노)트리아진, N,N,N',N'-테트라메톡시메틸우레아 등이 있다.
다른 가능한 아미노플라스트는 하기 구조식을 갖는 화합물, Tosoh의 일본 특허 출원(Kokai) 1-293339호에서 발견되는 것과 같은 이들의 유사체 및 유도체, 및 에테르화 아미노 수지, 예컨대 메틸화 또는 부틸화 멜라민 수지(각각 N-메톡시메틸- 또는 N-부톡시메틸-멜라민) 또는 예컨대 Ciba Specialty Chemicals의 캐나다 특허 1 204 547호에서 발견할 수 있는 메틸화/부틸화 글리코루릴을 포함한다:
Figure pct00022
다양한 멜라민 및 우레아 수지가 Nicalacs (Sanwa Chemical Co.), Plastopal (BASF AG), 또는 Maprenal (Clariant GmbH) 상표명으로 시판된다.
어떤 경우, 가교결합제는 글리코루릴과 히드록시기 및/또는 산기를 함유하는 반응성 공단량체의 축합 반응으로부터 형성된다. 한 경우, 2 이상의 반응성 기(히드록시 및/또는 산)가 글리코루릴과 반응하는 공단량체에서 이용될 수 있어야 한다. 중합 반응은 산으로 촉매될 수 있다. 다른 경우, 글리코루릴 화합물은 그 자신과 또는 다른 폴리올, 폴리산 또는 하이브리드 화합물과 축합될 수 있고, 또한 하나의 히드록시 및/또는 하나의 산 기를 갖는 화합물을 중합체에 포함시킬 수 있다. 따라서, 중합체는 히드록시 및/또는 산 기의 혼합물을 갖는 반응성 화합물 및 글리코루릴에서 유도된 단량체 단위를 포함한다.
글리코루릴과의 중합을 위한 공단량체로서 유용한 폴리히드록시 화합물은 2 이상의 히드록실기를 갖는 화합물이거나 또는 디올, 트리올, 테트롤, 글리콜, 2 이상의 히드록실기를 갖는 방향족 화합물, 또는 말단 캡핑된 히드록실기 또는 에폭시드기를 갖는 중합체와 같이 2 이상의 히드록실기를 제공할 수 있다. 더 구체적으로, 폴리히드록시 화합물은 에틸렌 글리콜, 디에틸렌 글리콜, 프로필렌 글리콜, 네오펜틸 글리콜, 폴리에틸렌 글리콜, 스티렌 글리콜, 프로필렌 옥시드, 에틸렌 옥시드, 부틸렌 옥시드, 헥산 디올, 부탄 디올, 1-페닐-1,2-에탄디올, 2-브로모-2-니트로-1,3-프로판 디올, 2-메틸-2-니트로-1,3-프로판디올, 디에틸비스(히드록시메틸)말로네이트, 히드로퀴논 및 3,6-디티아-1,8-옥탄디올일 수 있다. 방향족 디올의 추가의 예는 (2,2-비스(4-히드록시페닐) 프로판), 4,4'-이소프로필리덴비스(2,6-디메틸페놀), 비스(4-히드록시페닐)메탄, 4,4'-술포닐데페놀, 4,4'-(1,3-페닐렌디이소프로필리덴)비스페놀, 4,4'-(1,4-페닐렌디이소프로필리덴)비스페놀, 4,4'-시클로헥실리덴비스페놀, 4,4'-(1-페닐에틸리덴)비스페놀, 4,4'-에틸리덴비스페놀, 2,2-비스(4-히드록시-3-tert-부틸페닐) 프로판; 2,2-비스(4-히드록시-3-메틸페닐)프로판, 1,1-비스(4-히드록시페닐) 에탄; 1,1-비스(4-히드록시페닐) 이소부탄; 비스(2-히드록시-1-나프틸) 메탄; 1,5-디히드록시나프탈렌; 1,1-비스(4-히드록시-3-알킬페닐) 에탄, 2,2-비스(3-sec-부틸-4-히드록시페닐)프로판, 2,2-비스(4-히드록시-3-이소프로필페닐)프로판, 2,2-비스(4-히드록시페닐)부탄, α,α'-비스(4-히드록시-3,5-디메틸페닐)-1,4-디이소프로필벤젠, 2,6-비스(히드록시메틸)-p-크레졸 및 2,2'-(1,2-페닐렌디옥시)-디에탄올, 1,4-벤젠디메탄올, 2-벤질옥시-1,3-프로판디올, 3-페녹시-1,2-프로판디올, 2,2'-비페닐디메탄올, 4-히드록시벤질 알콜, 1,2-벤젠디메탄올, 2,2'-(o-페닐렌디옥시)디에탄올, 1,7-디히드록시나프탈렌, 1,5-나프탈렌디올, 9,10-안트라센디올, 9,10-안트라센디메탄올, 2,7,9-안트라센트리올, 다른 나프틸 디올 및 다른 안트라실 디올, 및 하기 화학식의 화합물을 폴리히드록시 화합물과 반응시켜 수득되는 화합물(3) 및 이들의 혼합물이다:
Figure pct00023
식 중, L1 및 L2는 각각 독립적으로 2가 연결기를 나타내고, R21 및 R22는 각각 카르보닐기를 나타내며, R23은 수소 또는 C1-C10 알킬이다.
2가 연결쇄의 예는 치환 또는 비치환 알킬렌기, 치환 또는 비치환 시클로알킬렌기, 치환 또는 비치환 아릴렌기, 기 내부에 (에테르, 에스테르 또는 아미도와 같은) 연결기를 갖는 치환 또는 비치환 알킬렌기, 및 기 내부에 (에테르, 에스테르 또는 아미도와 같은) 연결기를 갖는 치환 또는 비치환 아릴렌기를 포함한다. 치환기의 예는 할로겐 원자, 히드록실기, 머캅토기, 카르복실기, 에폭시기, 알킬기 및 아릴기를 포함한다. 이들 치환기는 다른 치환기로 더 치환될 수 있다.
글리코루릴과의 중합을 위한 반응성 공단량체로서 유용한 폴리산 화합물은 이가산, 삼가산, 사가산, 무수물, 2 이상의 산 기를 갖는 방향족 화합물, 방향족 무수물, 방향족 이무수물, 또는 말단 캡핑된 산 또는 무수물 기를 갖는 중합체와 같이 2 이상의 산 기를 갖는 화합물이거나 또는 2 이상의 산 기를 제공할 수 있다. 더 구체적으로, 폴리산 화합물은 페닐숙신산, 벤질말론산, 3-페닐글루타르산, 1,4-페닐디아세트산, 옥살산, 말론산, 숙신산, 피로멜리트산 이무수물, 3,3',4,4'-벤조페논-테트라카르복실산 이무수물, 나프탈렌 이무수물, 2,3,6,7-나프탈렌테트라카르복실산 이무수물 및 1,4,5,8-나프탈렌테트라카르복실산 이무수물 및 안트라센 이가산일 수 있다.
히드록실 및 산 기의 혼합물을 함유하는 하이브리드 화합물은 또한 공단량체로서 작용할 수 있으며, 예로서 3-히드록시페닐아세트산 및 2-(4-히드록시페녹시)프로피온산을 들 수 있다.
글리코루릴 및 반응성 화합물간 반응 생성물은 일반적으로 앞서 개시한 공단량체를 중합하여 합성함으로써 생성된다. 일반적으로, 소정 글리코루릴 또는 글리코루릴 혼합물은 적당한 산의 존재 하에 폴리올, 폴리산, 산 및 히드록실 기를 갖는 하이브리드 화합물을 포함하는 반응성 화합물, 하나의 히드록시기를 갖는 반응성 화합물, 하나의 산기를 갖는 반응성 화합물 또는 이의 혼합물과 반응된다. 중합체는 글리코루릴이 중합체에 연결된 2 이상의 반응 부위를 갖는 망상 중합체 또는 반응되는 2 개의 연결 부위를 갖는 글리코루릴로 제조되는 선형 중합체일 수 있다. 다른 공단량체를 또한 반응 혼합물에 첨가하고 중합하여 본 발명의 중합체를 제공할 수 있다. 술폰산과 같은 강산이 중합 반응을 위한 촉매로서 바람직하다. 적당한 반응 온도 및 시간을 선택하여 분자량과 같은 소정 물리적 특성을 갖는 중합체를 제공한다. 일반적으로 반응 온도는 약 실온 내지 약 150℃ 범위일 수 있고 반응 시간은 20분 내지 약 24시간일 수 있다. 특정 용도를 위하여 중합체의 중량 평균 분자량(Mw)은 1,000∼50,000, 바람직하게는 3,000∼40,000, 더 바람직하게는 4,500∼40,000, 더욱 더 바람직하게는 5,000∼35,000 범위일 수 있다. 1,000 미만과 같이 중량 평균 분자량이 낮을 경우, 반사방지 코팅을 위한 양호한 막형성 특성이 수득되지 않으며, 중량 평균 분자량이 지나치게 높을 경우, 용해도, 저장 안정성 등과 같은 특성이 감쇠될 수 있다. 그러나, 본 발명의 저분자량 신규 중합체는, 특히 저분자량 중합체의 분자량이 약 500 내지 약 20,000, 바람직하게는 800 내지 10,000 범위일 경우, 다른 가교결합성 중합체와 함께 가교결합 화합물로서도 작용할 수 있다. 글리코루릴 및 반응성 화합물간 반응 생성물은 그 내용이 본 명세서에 참고 문헌으로 포함된 US 11/159002호에 더 자세히 개시된다.
폴리히드록시 화합물과 반응되는 화합물(3)의 예는 하기 화학식의 화합물을 포함한다:
Figure pct00024
식 중, U는 2가 연결기이고; V는 직접 결합, C1-C10 직쇄형 또는 분지쇄형 알킬렌, 또는 시클로알킬렌 기이며; R23은 수소 또는 C1-C10 알킬이다. 2가 연결기의 예는 알킬렌기, 페닐렌기, 시클로알킬렌기 등을 포함한다.
화합물(3) 및 폴리히드록시 화합물간 반응 생성물의 예는 이하를 포함한다.
Figure pct00025
Figure pct00026
식 중, j는 1∼5이다.
상기 화합물은 산 촉매의 존재 하에 화합물(3)과 폴리히드록시 화합물을 반응시켜 제조할 수 있다.
글리코루릴 및 화합물(3)은 다른 폴리히드록시 화합물의 존재 또는 부재 하에 함께 반응될 수 있다.
글리코루릴 및 화합물(3)간 반응 생성물의 한 예는 하기에서 선택되는 반복 단위를 갖는 화합물을 포함한다:
Figure pct00027
Figure pct00028
식 중, U는 2가 연결기이고, V는 직접 결합, C1-C10 직쇄형 또는 분지쇄형 알킬렌, 또는 시클로알킬렌 기이며, 각 R11은 수소 또는 C1-C10 알킬이며, T는 수소, 직쇄형 또는 분지쇄형 C1-C10 알킬, 또는 폴리히드록시 화합물의 잔기이고, R23은 수소 또는 C1-C10 알킬이며; n은 0∼4이다. 2가 연결기의 예는 알킬렌기, 페닐렌기, 시클로알킬렌기 등을 포함한다. 폴리히드록시 화합물의 잔기는 스티렌 글리콜, 에틸렌 글리콜, 프로필렌 글리콜, 네오펜틸 글리콜 등에서 선택되는 것들을 포함한다.
상기의 한 예는
Figure pct00029
Figure pct00030
등이다.
상기 화합물은 하기 예에 나타낸 절차에 의하여 제조될 수 있다.
반응성 공단량체는, 히드록실 및/또는 산 기를 함유하는 외에, 방사선 흡수 발색단을 더 함유할 수 있는데, 발색단은 약 450 nm 내지 약 140 nm 범위의 방사선을 흡수한다. 특히, 원자외선(250 nm ∼ 140 nm)에서 이미징에 유용한 반사방지 코팅에서, 방향족 부분은 소정 흡수 특성을 제공하는 것으로 알려져 있다. 이들 발색단은 방향족 또는 헤테로방향족 부분일 수있으며, 그 예는 치환 또는 비치환 페닐, 치환 또는 비치환 나프틸 및 치환 또는 비치환 안트라실이다. 일반적으로, 안트라실 부분은 248 nm 노광에 유용하고, 페닐 부분은 193 nm 노광에 유용하다. 방향족 기는 방향족 부분에 직접 또는 다른 기를 통해 결합되는 펜던트 히드록시 및/또는 산 기 또는 히드록시 또는 산 기를 제공할 수 있는 기(예컨대, 에폭시드 또는 무수물)를 가질 수 있으며, 이들 히드록시 또는 산 기는 중합 공정을 위한 반응 부위를 제공한다. 예로서, 스티렌 글리콜 또는 안트라센 유도체는 글리코루릴과 중합될 수 있다.
또한, 발색단 기가 첨가제로서 존재할 수 있으며, 첨가제는 단량체 또는 중합체 화합물이다. 치환 또는 비치환 페닐, 치환 또는 비치환 나프틸, 및 치환 또는 비치환 안트라실을 함유하는 단량체가 사용될 수 있다. 방향족 중합체가 발색단 첨가제로서도 작용한다. 발색단 중합체의 예는 적어도 이하의 공단량체 중 하나 이상과 중합되는 것들이다: 스티렌 또는 이의 유도체, 페놀 또는 이의 유도체 및 알데히드, 및 펜던트 페닐, 나프틸 또는 안트라실 기를 갖는 (메트)아크릴레이트. 더 구체적으로, 단량체는 4-히드록시스티렌, 스티렌 글리콜, 크레졸 및 포름알데히드, 1-페닐-1,2-에탄디올, 비스페놀 A, 2,6-비스(히드록시메틸)-p-크레졸, 에틸렌 글리콜 페닐 에테르 아크릴레이트, 2-(4-벤조일-3-히드록시페녹시)에틸 아크릴레이트, 2-히드록시-3-페녹시프로필 아크릴레이트, 벤질 메타크릴레이트, 2,2'-(1,2-페닐렌디옥시)-디에탄올, 1,4-벤젠디메탄올, 나프틸 디올, 안트라실 디올, 페닐숙신산, 벤질말론산, 3-페닐글루타르산, 1,4-페닐디아세트산, 피로멜리트산 이무수물, 3,3',4,4'-벤조페논-테트라카르복실산 이무수물, 나프탈렌 이무수물, 2,3,6,7-나프탈렌테트라카르복실산 이무수물, 1,4,5,8-나프탈렌테트라카르복실산 이무수물, 9-안트라센 메타크릴레이트, 및 안트라센 이가산일 수 있다.
신규 조성물은 광산 발생제를 더 함유할 수 있으며, 이의 예는 비제한적으로 오늄염, 술포네이트 화합물, 니트로벤질 에스테르, 트리아진 등이다. 바람직한 광산 발생제는 오늄염 및 히드록시이미드의 술포네이트 에스테르, 구체적으로 디페닐요오도늄염, 트리페닐 술포늄염, 디알킬 요오도늄염, 트리알킬술포늄염, 및 이들의 혼합물이다.
코팅 조성물용 용매의 예는 알콜, 에스테르, 글림, 에테르, 글리콜 에테르, 글리콜 에테르 에스테르, 케톤, 락톤, 환식 케톤, 및 이들의 혼합물을 포함한다. 이러한 용매의 예는 프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 메틸 에테르 아세테이트, 시클로헥사논, 2-헵타논, 에틸 3-에톡시-프로피오네이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 에틸 락테이트, 감마 발레로락톤, 메틸 3-메톡시프로피오네이트, 및 이들의 혼합물을 포함하나 이에 한정되지 않는다. 용매는 일반적으로 약 40 ∼ 약 99 중량%의 양으로 존재한다. 어떤 경우, 락톤 용매의 첨가는 층상 시스템에서 사용되는 경우 반사방지 코팅 조성물의 흐름 특성을 돕는 데 유용하다. 존재하는 경우, 락톤 용매는 용매계의 약 1 ∼ 약 10%를 차지한다. γ-발레로락톤이 유용한 락톤 용매이다.
본 발명 조성물에서 (4)의 화합물의 양은 조성물의 고체 부분에 대하여 약 100 중량%에서 약 1 중량%까지 달라질 수 있다. 사용될 경우, 본 발명 조성물 중의 가교결합제의 양은, 조성물의 고체 부분에 대하여 0 중량%에서 약 50 중량%까지 달라질 수 있다. 본 발명 조성물 중의 산 발생제의 양은 조성물의 고체 부분에 대하여 0.1 중량%에서 약 10 중량%까지 달라질 수 있다.
본 발명 조성물은, 성능에 부정적인 영향이 없는 한, 예컨대, 단량체 염료, 저급 알콜, 표면 평탄화제, 접착 촉진제, 소포제 등과 같은 반사방지 코팅 조성물에서 일반적으로 발견되는 첨가제 물질을 임의로 포함할 수 있다.
조성물은 기판 상부에 코팅되고 또한 건식 에칭되므로, 조성물은 반도체 소자의 특성이 악영향을 받지 않을 정도로 충분히 낮은 금속 이온 농도및 순도를 가진다고 생각된다. 중합체 용액 또는 이러한 중합체를 함유하는 조성물을 이온 교환 칼럼에 통과시키는 것과 같은 처리, 여과 및 추출 공정을 이용하여 금속 이온의 농도를 감소시키고 입자를 감소시킬 수 있다.
반사방지 코팅의 광학 특성은 노광 파장 및 다른 소정 리소그래피 특성에 따라 최적화된다. 예컨대 193 nm 노광에 대한 신규 조성물의 흡수 파라미터(k)는 타원계측법으로 측정하여 약 0.1 ∼ 약 1.0, 바람직하게는 약 0.1 ∼ 약 0.75, 더 바람직하게는 약 0.1 ∼ 약 0.35 범위이다. 굴절률(n) 값은 약 1.25 ∼ 약 2.0, 바람직하게는 약 1.8 ∼ 약 2.0 범위이다. 193 nm에서 이 조성물은 흡수 특성이 양호하므로, 약 20 nm 정도의 매우 얇은 반사방지 막이 사용될 수 있다. 이것은 193 nm, 157 nm 및 더 낮은 파장에서 감작성인 것들과 같은 비방향족 포토레지스트를 사용하는 경우 특히 유리한데, 여기서 포토레지스트 막은 얇고 반사방지 막을 위한 에칭 마스크로서 작용하여야 한다.
반사방지 코팅이 형성되는 기판은 반도체 산업에서 일반적으로 사용되는 임의의 것들일 수 있다. 적당한 기판은 비제한적으로 규소, 금속 표면으로 코팅된 규소 기판, 구리 코팅된 규소 웨이퍼, 구리, 반사방지 코팅으로 코팅된 기판, 알루미늄, 중합체 수지, 이산화규소, 금속, 도핑된 이산화규소, 질화규소, 산질화규소, 질화티탄, 탄탈룸, 텅스텐, 구리, 폴리규소, 세라믹스, 알루미늄/구리 혼합물; 비소화갈륨 및 기타 이러한 III/V족 화합물 등을 포함한다. 기판은 상기 개시된 재료로 제조된 임의 수의 층을 포함할 수 있다.
코팅 조성물은 침지, 스핀코팅 또는 분무와 같이 당업자에게 잘 알려진 기술을 이용하여 기판에 코팅할 수 있다. 일반적으로, 반사방지 코팅의 막 두께는 약 0.01 ㎛ 내지 약 1 ㎛ 범위이다. 핫플레이트 또는 대류 오븐에서 또는 다른 잘 알려진 가열 방법으로 코팅을 가열하여 임의의 잔류 용매를 제거하고 경우에 따라 가교결합을 유도하며, 반사방지 코팅을 불용화하여 반사방지 코팅 및 포토레지스트 사이의 상호 혼합을 방지한다. 바람직한 온도 범위는 약 90℃ 내지 약 250℃이다. 온도가 90℃ 미만이면, 용매 손실이 불충분하거나 불충분한 양의 가교결합이 일어나며, 300℃ 초과의 온도에서는 조성물이 화학적으로 불안정해질 수 있다. 이후 포토레지스트 막을 최상부 반사방지 코팅 위에 코팅하고 소성하여 포토레지스트 용매를 실질적으로 제거한다. 코팅 단계 후 에지 비드 제거제를 도포하여 업계에 잘 알려진 방법으로 기판의 에지를 청소할 수 있다.
네거티브 작용성 및 포지티브 작용성의 두 유형의 포토레지스트 조성물이 존재한다. 네거티브 작용성 포토레지스트 조성물은 이미지 방식으로 방사선에 노광될 때, 방사선에 노광된 레지스트 조성물의 영역이 현상제 용액에 덜 불용성이 되나(예컨대, 가교결합 반응이 일어남), 반면에 포토레지스트 코팅의 비노광 영역은 이러한 용액에 대하여 비교적 가용성으로 남아 있다. 따라서, 노광된 네거티브 작용성 레지스트를 현상제로 처리하면 포토레지스트 코팅의 비노광 영역이 제거되고 코팅에 네거티브 이미지가 형성됨으로써 포토레지스트 조성물이 침착된 하부 기판 표면의 소정 부분이 드러난다.
다른 한편, 포지티브 작용성 포토레지스트 조성물은 이미지 방식으로 방사선에 노광될 때, 방사선에 노광된 포토레지스트 조성물 영역은 현상제 용액에 더 가용성이 되나(예컨대, 재배열 반응이 일어남), 반면에 비노광 영역은 현상제 용액에 대하여 비교적 불용성으로 남아 있다. 따라서, 노광된 포지티브 작용성 포토레지스트를 현상제로 처리하면 코팅의 노광 영역이 제거되고 포토레지스트 코팅에 포지티브 이미지가 생성된다. 역시, 하부 표면의 소정 부분이 드러난다.
네거티브 작용성 포토레지스트 및 포지티브 작용성 포토레지스트 조성물은 당업자에게 잘 알려져 있다.
본 발명 방법은 본 발명 중합체를 포함하는 반사방지 코팅 조성물로 기판을 코팅하고 코팅 용매를 제거하고 경우에 따라 코팅이 포토레지스트의 코팅 용액 또는 수성 알칼리 현상제에 불용성이 되기에 충분한 정도까지 중합체를 가교결합시키기에 충분한 시간 동안 충분한 온도에서 기판을 핫플레이트 또는 대류 오븐에서 또는 다른 잘 알려진 가열 방법으로 가열하는 것을 포함한다. 에지 비드 제거제를 도포하여 업계에 잘 알려진 공정으로 기판의 에지를 청소할 수 있다. 가열 온도 범위는 약 70℃ ∼ 약 250℃이다. 온도가 70℃ 미만이면, 용매 손실이 불충분하거나 불충분한 양의 가교결합량이 일어날 수 있고, 250℃ 초과의 온도에서는, 중합체가 화학적으로 불안정하게 될 수 있다. 이후 포토레지스트 조성물 막을 반사방지 코팅의 위에 코팅하고 소성하여 포토레지스트 용매를 실질적으로 제거한다. 포토레지스트를 이미지 방식으로 노광시키고 수성 현상제에서 현상하여 처리된 레지스트를 제거한다. 현상 전 및 노광 후에 임의의 가열 단계를 포함시킬 수 있다. 포토레지스트 코팅 및 이미징 방법은 당업자에게 잘 알려져 있으며 사용되는 레지스트의 구체적인 유형에 따라 최적화된다. 패턴화된 기판을 이후 적당한 에칭 챔버에서 건식 에칭하여 반사방지 막의 노광 부분을 제거하며, 나머지 포토레지스트는 에칭 마스크로서 작용한다. 유기 반사방지 코팅의 에칭을 위해 O2, Cl2, F2 및 CF4와 같은 다양한 기체 및 업계에 공지된 다른 에칭 기체가 업계에 공지이다. 이 공정은 이층 공정으로서 일반적으로 공지이다.
중간층은 상호 혼합을 방지하기 위하여 반사방지 코팅 및 포토레지스트 사이에 배치될 수 있으며 본 발명 범위 내에 있는 것으로 고려된다. 중간층은 용매로부터 캐스팅된 불활성 중합체이며, 중합체의 예는 폴리술폰 및 폴리이미드이다.
또한, 다층계, 예컨대, 3층계, 또는 공정도 본 발명의 범위내로서 고려된다. 예컨대 3층 공정에서는, 유기 막이 기판 상에 형성되고, 반사방지 막이 유기 막에 형성되며, 포토레지스트 막이 반사방지 막에 형성된다. 유기 막은 또한 반사방지 막으로서 작용할 수 있다. 유기 막은 스핀 코팅법 등에 의하여 하부 레지스트 막으로서 기판에 형성된다. 유기 막은 스핀 코팅법 등에 의한 도포 후 열 또는 산에 의하여 가교결합되거나 되지 않을 수 있다. 유기 막 위에는 중간 레지스트 막으로서 예컨대 본 명세서에 개시된 반사방지 막이 형성된다. 스핀 코팅 등에 의하여 유기 막에 반사방지 막 조성물을 도포한 후, 유기 용매를 증발시키고 소성하여 가교결합 반응을 촉진하여 반사방지 막이 위에 있는 포토레지스트 막과 상호 혼합되는 것을 방지한다. 반사방지 막이 형성된 후, 그 위에 포토레지스트 막이 상부 레지스트 막으로서 형성된다. 스핀 코팅법은 반사방지 막의 형성과 마찬가지로 포토레지스트 막의 형성에 이용될 수 있다. 스핀 코팅법 등에 의하여 포토레지스트 막 조성물을 도포한 후, 예비 소성을 실시한다. 그 후, 패턴 회로 영역이 노광되고, 후노광 소성(PEB) 및 현상제에 의한 현상을 실시하여 레지스트 패턴을 얻는다.
다른 3층 레지스트 공정은 바닥층이 카본 에칭 마스크로 형성되는 경우이다. 바닥층의 위에, 규소 원자를 함유하는 중간 레지스트 층 조성물을 사용하여 중간층을 형성한다. 중간층의 위에, 본 발명 반사방지 코팅 조성물을 베이스로 하는 반사방지 층을 형성한다. 최종적으로, 반사방지 층 위에, 포토레지스트 조성물의 톱 레지스트 층 조성물을 사용하여 톱층을 형성한다. 이 경우, 중간층의 형성을 위한 조성물의 예는 폴리실세스퀴옥산계 실리콘 중합체, 테트라오르토실리케이트 유리(TEOS) 등을 포함할 수 있다. 이후 이러한 조성물을 스핀 코팅하여 제조된 막 또는 CVD에 의하여 제조된 SiO2, SiN 또는 SiON의 막을 중간층으로서 사용할 수 있다. 포토레지스트 조성물의 톱 레지스트 층 조성물은 바람직하게는 규소 원자를 포함하지 않는 중합체를 포함한다. 규소 원자를 갖지 않는 중합체를 포함하는 톱 레지스트 층은 규소 원자를 갖는 중합체를 포함하는 톱 레지스트 층보다 우수한 해상력을 제공한다는 이점이 있다. 이후 상기 언급한 2층 레지스트 공정과 동일한 방식으로, 톱 레지스트 층의 패턴 회로 영역을 표준 절차에 따라 노광한다. 이어서, 후노광 소성(PEB) 및 현상을 실시하여 레지스트 패턴을 얻은 다음 에칭하고 추가의 리소그래피 공정을 거친다.
이하의 실시예는 본 발명 조성물의 제조 및 이용 방법에 대한 상세한 예시를 제공한다. 그러나, 이들 실시예는 어떠한 방식으로든 본 발명의 영역을 제한하거나 한정하려는 의도는 아니며 본 발명을 실시하기 위하여 배타적으로 이용되어야 하는 조건, 파라미터 또는 값을 제공하는 것으로 해석되어서는 안된다.
합성예
합성예 1
66 g의 프로필렌 글리콜 모노메틸 에테르, 4.098 g(0.015 mol)의 비스(2-카르복시에틸) 이소시안우레이트, 1.80 g(0.015 mol)의 스티렌 옥시드 및 0.05 g(2.2 x 10-4 mol)의 벤질트리에틸암모늄 클로라이드를 온도계, 냉수 응축기, 기계적 교반기, 외부 가열원 및 질소 공급원을 갖는 적당한 크기의 플라스크에 넣었다. 질소 하에, 교반하면서 재료들을 용해시키고 온도를 110℃로 올리고 이 온도에서 24 시간 동안 유지하였다. 24 시간이 지난 후, 반응 용액을 90℃로 냉각한 다음, 1.49 g(0.005 mol)의 트리스(2,3-에폭시프로필) 이소시안우레이트를 첨가하고 반응 혼합물을 3 시간 동안 90℃에서 유지한 다음 3 시간 동안 100℃로 올렸다. 반응 혼합물을 실온으로 냉각시키고 그대로 사용하였다. 생성되는 중합체의 GPC 분석은 이것의 수평균 분자량(Mn)이 2678이고 중량 평균 분자량(Mw)이 4193(표준 폴리스티렌 조건으로)임을 나타내었다.
합성예 2
177 g의 프로필렌 글리콜 모노메틸 에테르, 13.66 g(0.05 mol)의 비스(2-카르복시에틸) 이소시안우레이트, 12.0 g(0.10 mol)의 스티렌 옥시드 및 0.10 g(4.4 x 10-4 mol)의 벤질트리에틸암모늄 클로라이드를 온도계, 냉수 응축기, 기계적 교반기, 외부 가열원 및 질소 공급원을 갖는 적당한 크기의 플라스크에 넣었다. 질소 하에, 교반하면서 재료들을 용해시키고 온도를 120℃로 올렸다. 24 시간 동안 반응 환류를 유지한 후, 반응 용액을 90℃로 냉각한 다음, 4.95 g(0.0167 mol)의 트리스(2,3-에폭시프로필) 이소시안우레이트를 첨가하고 반응 혼합물을 7 시간 동안 환류 온도에서 유지하였다. 이후 반응 혼합물을 실온으로 냉각시키고 그대로 사용하였다. 생성되는 중합체의 GPC 분석은 이것의 수평균 분자량(Mn)이 2547이고 중량 평균 분자량(Mw)이 5106(표준 폴리스티렌 조건으로)임을 나타내었다.
합성예 3
150 g의 프로필렌 글리콜 모노메틸 에테르, 27.32 g(0.1 mol)의 비스(2-카르복시에틸) 이소시안우레이트, 9.25 g(0.10 mol)의 에피클로로히드린 및 0.10 g(4.4 x 10-4 mol)의 벤질트리에틸암모늄 클로라이드를 온도계, 냉수 응축기, 기계적 교반기, 외부 가열원 및 질소 공급원을 갖는 적당한 크기의 플라스크에 넣었다. 질소 하에, 교반하면서 재료들을 용해시키고 온도를 120℃로 올리고 이 온도에서 24 시간 동안 유지하였다. 24 시간이 지난 후, 12.0 g(0.10 mol)의 스티렌 옥시드를 첨가하였다. 다시 24 시간 동안 환류 온도에서 계속 반응시켰다. 이후, 9.91 g(0.033 mol)의 트리스(2,3-에폭시프로필) 이소시안우레이트를 혼합물에 첨가하고 반응 혼합물을 환류 온도에서 다시 24 시간 동안 유지하였다. 이후, 반응 혼합물을 실온으로 냉각시키고 그대로 사용하였다. 생성되는 중합체의 GPC 분석은 이것의 수평균 분자량(Mn)이 4588이고 중량 평균 분자량(Mw)이 7193(표준 폴리스티렌 조건으로)임을 나타내었다.
합성예 4
149 g의 프로필렌 글리콜 모노메틸 에테르, 16.39 g(0.06 mol)의 비스(2-카르복시에틸) 이소시안우레이트, 9.85 g(0.06 mol)의 벤질 글리시딜 에테르 및 0.15 g(6.6 x 10-4 mol)의 벤질트리에틸암모늄 클로라이드를 온도계, 냉수 응축기, 기계적 교반기, 외부 가열원 및 질소 공급원을 갖는 적당한 크기의 플라스크에 넣었다. 질소 하에, 교반하면서 재료들을 용해시키고 온도를 환류 온도(약 118℃)로 올렸다. 24 시간 동안 환류 온도에서 질소 분위기 하에 교반한 후, 반응 용액을 90℃로 냉각하고, 5.95 g(0.02 mol)의 트리스(2,3-에폭시프로필) 이소시안우레이트를 첨가하고 반응 혼합물을 16 시간 동안 90℃에서 유지하였다. 이후 반응 혼합물을 실온으로 냉각시키고 그대로 사용하였다. 생성되는 중합체의 GPC 분석은 이것의 수평균 분자량(Mn)이 4077이고 중량 평균 분자량(Mw)이 6149(표준 폴리스티렌 조건으로)임을 나타내었다.
합성예 5
온도계, 딘-스탁 트랩, 기계적 교반기, 외부 가열원 및 질소 공급원을 갖는 적당한 크기의 플라스크에 27.3 g(0.10 mol)의 비스(2-카르복시에틸) 이소시안우레이트, 12.4 g(0.20 mol)의 에틸렌 글리콜 0.25 g(1.31 x 10-3 mol)의 파라-톨루엔술폰산 일수화물을 넣었다. 물이 발생되지 않을 때까지 혼합물의 온도를 140℃로 올리고 이 온도에서 질소 분위기 하에 교반하면서 유지하였다. 반응 용액을 90℃로 냉각시키고 191 g의 아세토니트릴을 첨가하여 반응 생성물을 용해한 다음, 좀 더 냉각하면서, 21.2 g (0.0667 mol)의 테트라메톡시 메틸 글리코루릴을 80℃에서 첨가하였다. 반응 혼합물을 80℃에서 6 시간 동안 유지시켰다. 반응 혼합물에 0.25 g의 트리에틸아민을 첨가하여 반응을 종료시켰다. 반응 혼합물을 실온으로 냉각시킨 다음 DI수에 침전시켰다. 고체 중합체를 세정하고 40℃에서 진공 건조하여 35.0 g(69%)을 얻었다. 생성되는 중합체의 GPC 분석은 이것의 수평균 분자량(Mn)이 5006이고 중량 평균 분자량(Mw)이 8135(표준 폴리스티렌 조건으로)임을 나타내었다.
합성예 6
600 g의 테트라메톡시메틸 글리코루릴, 96 g의 스티렌 글리콜 및 1200 g의 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)를 온도계, 기계적 교반기, 질소 공급원 및 냉수 응축기가 장착된 2 리터(l) 재킷 플라스크에 넣고 85℃로 가열하였다. 촉매량의 파라-톨루엔술폰산 일수화물을 첨가하고, 이 온도에서 5 시간 동안 반응을 유지시켰다. 이후 반응 용액을 실온으로 냉각하고 여과하였다. 여액을 증류수에 서서히 부어 중합체를 침전시켰다. 중합체를 여과하고, 물로 철저히 세정하고 진공 오븐에서 건조하였다(250 g의 중합체 수득). 수득된 중합체의 중량 평균 분자량은 약 17,345 g/mol이고 다분산도는 2.7이었다. H1NMR은 중합체가 두 출발 물질의 축합 생성물임을 나타내었다. 7.3 ppm에 중심을 갖는 광역 피크는 중합체 중에 벤젠 부분이 존재함을 나타내었고 3.3 ppm에 중심을 갖는 광역 피크는 테트라메톡시메틸 글리코루릴 상의 미반응 메톡시기(CH3O)에 의한 것이었다.
합성예 7
260 g의 테트라메톡시메틸 글리코루릴, 41.6 g의 네오펜틸 글리콜 및 520 g의 PGMEA를 온도계, 기계적 교반기, 질소 공급원 및 냉수 응축기가 장착된 2 리터(l) 재킷 플라스크에 넣고 85℃로 가열하였다. 촉매량의 파라-톨루엔술폰산 일수화물을 첨가하고, 이 온도에서 5 시간 동안 반응을 유지시켰다. 이후 반응 용액을 실온으로 냉각하고 여과하였다. 교반하면서 여액을 증류수에 서서히 부어 중합체를 침전시켰다. 중합체를 여과하고, 물로 철저히 세정하고 진공 오븐에서 건조하였다(250 g의 중합체 수득). 수득된 중합체의 중량 평균 분자량은 약 18,300 g/mol이고 다분산도는 2.8이었다. 0.9 ppm에 중심을 갖는 광역 피크는 네오펜틸 글리콜의 메틸기에 할당되며 3.3 ppm에 중심을 갖는 광역 피크는 테트라메톡시메틸 글리코루릴 상의 미반응 메톡시기(CH3O)의 특성으로서, 수득된 중합체가 두 출발 물질의 축합 생성물임을 나타낸다.
합성예 8
기계적 교반기, 히팅 맨틀, 질소 공급원 및 온도 제어기가 장착된 2-리터 플라스크에 400 g의 MX270(일본 Sanwa Chemicals사에서 입수할 수 있는 글리코루릴), 132 g의 네오펜틸 글리콜 및 1050 g의 PGMEA를 첨가하였다. 용액을 85℃에서 교반하였다. 반응 온도가 85℃에 이르렀을 때, 6.0 g의 파라-톨루엔술폰산 일수화물을 첨가하였다. 반응 혼합물을 85℃에서 6 시간 동안 유지하였다. 히터를 끄고 3.2 g의 트리에틸아민을 첨가하였다. 반응 혼합물이 실온으로 냉각되었을 때, 백색 검 중합체가 분리되었다. 중합체를 용기에 옮기고 진공 건조하여 백색의 부서지기 쉬운 중합체를 얻었다. 중합체 생성물을 GPC로 분석하였더니 분자량이 800∼10,000 범위이고, 중량 평균 분자량이 약 5,000이었다.
합성예 9
온도계, 딘-스탁 트랩, 기계적 교반기, 외부 가열원 및 질소 공급원을 갖는 적당한 크기의 플라스크에 27.3 g(0.10 mol)의 비스(2-카르복시에틸) 이소시안우레이트, 12.4 g(0.20 mol)의 에틸렌 글리콜 0.25 g(1.31 x 10-3 mol)의 파라-톨루엔술폰산 일수화물을 넣었다. 물이 발생되지 않을 때까지 혼합물의 온도를 140℃로 올리고 이 온도에서 질소 분위기 하에 교반하면서 유지하였다. 반응 용액을 90℃로 냉각시키고 110 g의 시클로케톤을 첨가하여 반응 생성물을 용해한 다음, 좀 더 냉각하면서, 8.29 g(0.06 mol)의 스티렌 글리콜 및 50.88 g(0.16 mol)의 테트라메톡시 메틸 글리코루릴을 80℃에서 첨가하였다. 반응 혼합물을 80℃에서 9 시간 동안 유지시켰다. 반응 혼합물에 0.25 g의 트리에틸아민을 첨가하여 반응을 종료시켰다. 반응 혼합물을 실온으로 냉각시킨 다음 2-프로판올에 침전시켰다. 고체 중합체를 세정하고 40℃에서 진공 건조하여 34.0 g(40%)을 얻었다. 생성되는 중합체의 GPC 분석은 이것의 수평균 분자량(Mn)이 4083이고 중량 평균 분자량(Mw)이 6091(표준 폴리스티렌 조건으로)임을 나타내었다.
조제예 1
4.0 g의 중합체 및 0.04 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 40.0 g의 중합체 용액을 60.0 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 2.00이고 흡수 파라미터(k)는 0.47이었다.
조제예 2
4.0 g의 중합체 및 0.04 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 2에서 수득한 40.0 g의 중합체 용액을 60.0 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 2.03이고 흡수 파라미터(k)는 0.53이었다.
조제예 3
4.0 g의 중합체 및 0.04 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 3에서 수득한 40.0 g의 중합체 용액을 60.0 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.95이고 흡수 파라미터(k)는 0.37이었다.
조제예 4
4.0 g의 중합체 및 0.04 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 4에서 수득한 40.0 g의 중합체 용액을 60.0 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.94이고 흡수 파라미터(k)는 0.44였다.
조제예 5
3.5 g의 중합체, 합성예 7에서 얻은 1.5 g의 물질 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 35 g의 중합체 용액을 65.0 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.98이고 흡수 파라미터(k)는 0.40이었다.
조제예 6
3.5 g의 중합체, 합성예 6에서 얻은 1.5 g의 생성물 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 35 g의 중합체 용액을 63.45 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.99이고 흡수 파라미터(k)는 0.44였다.
조제예 7
3.5 g의 중합체, 합성예 8에서 얻은 1.5 g의 생성물 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 35 g의 중합체 용액을 63.45 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.97이고 흡수 파라미터(k)는 0.40이었다.
조제예 8
3.0 g의 중합체, 합성예 5에서 얻은 1.5 g의 생성물 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 30 g의 중합체 용액을 68.45 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 2.00이고 흡수 파라미터(k)는 0.40이었다.
조제예 9
2.25 g의 중합체, 합성예 5에서 얻은 2.25 g의 물질 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 22.5 g의 중합체 용액을 75.2 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.99이고 흡수 파라미터(k)는 0.35였다.
조제예 10
1.5 g의 중합체, 합성예 5에서 얻은 3.0 g의 생성물 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 함유하는 합성예 1에서 수득한 15.0 g의 중합체 용액을 82.0 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.97이고 흡수 파라미터(k)는 0.30이었다.
조제예 11
합성예 5에서 얻은 4.5 g의 물질 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 95.45 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.95이고 흡수 파라미터(k)는 0.21이었다.
조제예 12
합성예 9에서 얻은 4.5 g의 물질 및 0.045 g의 도데실벤젠술폰산/트리에틸아민 염을 95.45 g의 에틸 락테이트에 용해시켜 용액을 얻었다. 이후 용액을 기공 직경 0.05 ㎛의 폴리에틸렌으로 제조한 마이크로필터를 통해 여과하여, 바닥 반사방지 코팅의 형성을 위한 조성물 용액을 제조하였다. 193 nm의 파장에서 굴절률(n) 및 흡수 파라미터(k)를 분광 타원계측법으로 측정하였다. 굴절률(n)은 1.95이고 흡수 파라미터(k)는 0.22였다.
리소그래피 실시예 1
규소 기판에 조제예 5의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 73 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. AZ 포토레지스트(T85531; AZ Electronic Materials USA Corp사에서 입수 가능)를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 150 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 100℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 Nikon 306D 0.85NA & 0.82/0.55 다이폴-Y 조사로 노광하고, 110℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 30초 동안 현상하였다. 이후 75 nm 및 80 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도(exposure latitude), 양호한 LER 및 프로필 형상을 가졌다. 75 nm 및 80 nm 1:1 듀티비(duty ratio)에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 2
규소 기판에 조제예 5의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 28 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. 이후 모델 침지 포토레지스트를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 110 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 95℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 ASML 1700i 1.20NA & 0.979/0.824 다이폴-40Y 조사로 노광하고, 90℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 10초 동안 현상하였다. 이후 45 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 45 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 3
규소 기판에 조제예 6의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 73 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. AZ 포토레지스트(T85531; AZ Electronic Materials USA Corp사에서 입수 가능)를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 150 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 100℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 Nikon 306D 0.85NA & 0.82/0.55 다이폴-Y 조사로 노광하고, 110℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 30초 동안 현상하였다. 이후 75 nm 및 80 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 75 nm 및 80 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 4
규소 기판에 조제예 8의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 72 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. AZ 포토레지스트(T85531; AZ Electronic Materials USA Corp사에서 입수 가능)를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 150 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 100℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 Nikon 306D 0.85NA & 0.82/0.55 다이폴-Y 조사로 노광하고, 110℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 30초 동안 현상하였다. 이후 75 nm 및 80 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 75 nm 및 80 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 5
규소 기판에 조제예 9의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 73 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. AZ 포토레지스트(T85531; AZ Electronic Materials USA Corp사에서 입수 가능)를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 150 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 100℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 Nikon 306D 0.85NA & 0.82/0.55 다이폴-Y 조사로 노광하고, 110℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 30초 동안 현상하였다. 이후 75 nm 및 80 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 75 nm 및 80 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 6
규소 기판에 조제예 11의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 78 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. AZ 포토레지스트(T85531; AZ Electronic Materials USA Corp사에서 입수 가능)를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 150 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 100℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 Nikon 306D 0.85NA & 0.82/0.55 다이폴-Y 조사로 노광하고, 110℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 30초 동안 현상하였다. 이후 75 nm 및 80 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 75 nm 및 80 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 7
규소 기판에 조제예 12의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 78 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. AZ 포토레지스트(T85531; AZ Electronic Materials USA Corp사에서 입수 가능)를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 150 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 100℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 Nikon 306D 0.85NA & 0.82/0.55 다이폴-Y 조사로 노광하고, 110℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 30초 동안 현상하였다. 이후 75 nm 및 80 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 75 nm 및 80 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.
리소그래피 실시예 8
규소 기판에 조제예 12의 바닥 반사방지 코팅 용액을 스핀 코팅하고 220℃에서 60초간 소성하여 바닥 반사방지 코팅(B.A.R.C.)으로 코팅된 규소 기판을 제조하였다. 최적 B.A.R.C 막 두께는 35 nm였는데, 이것은 PROLITH (v.9.3.5)를 이용하여 시뮬레이션하고 측정하였다. 이후 모델 침지 포토레지스트를 B.A.R.C 코팅된 규소 기판에 코팅하였다. 포토레지스트 막 두께가 110 nm가 되도록 스핀 속도를 조절하였다. 이후 코팅된 웨이퍼를 95℃/60초에서 소프트 베이킹하고, 감쇠형 위상 반전 마스크를 이용하는 ASML 1700i 1.20NA & 0.979/0.824 다이폴-40Y 조사로 노광하고, 90℃/60초에서 후노광 소성하고, 테트라메틸 암모늄 히드록시드의 2.38 중량% 수용액을 사용하여 10초 동안 현상하였다. 이후 45 nm 1:1 선 및 공간 패턴이 주사 전자 현미경에서 관찰되었다. 포토레지스트는 매우 양호한 노광관용도, 양호한 LER 및 프로필 형상을 가졌다. 45 nm 1:1 듀티비에서 선 및 공간 패턴은 정상파, 풋팅/거품을 나타내지 않고 양호한 붕괴 마진을 나타내어 바닥 반사방지 코팅의 양호한 리소그래피 성능을 나타낸다.

Claims (17)

  1. a) 하기 화학식의 화합물
    Figure pct00031

    [식 중, X는 이하에서 선택됨:
    Figure pct00032

    (식 중, U는 2가 연결기이고; Y는 수소 또는 Z이며; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)] ; 및
    b) 산 또는 산 발생제
    를 포함하는 반사반지 코팅 조성물.
  2. 제1항에 있어서, U는 알킬렌기이고, X는 화학식 (1) 또는 (2)인 조성물.
  3. 제1항 또는 제2항에 있어서, Z는 스티렌 옥시드, 1,2-에폭시-페녹시프로판, 글리시딜-2-메틸페닐 에테르, (2,3-에폭시프로필)벤젠, 1-페닐프로필렌 옥시드, 스틸벤 옥시드, 2-할로 스틸벤 옥시드, 3-할로 스틸벤 옥시드, 4-할로 스틸벤 옥시드, 벤질 글리시딜 에테르, C1-10 직쇄 또는 분지쇄 알킬페닐 글리시딜 에테르, 4-할로페닐 글리시딜 에테르, 글리시딜 4-C1-10 직쇄 또는 분지쇄 알콕시페닐 에테르, 글리시딜-3-(펜타데카디에닐)페닐 에테르, 4-t-부틸페닐글리시딜 에테르, 트리페닐올메탄 트리글리시딜 에테르, [(4-(1-헵틸-8-[3-(옥시라닐메톡시)페닐]-옥틸)페녹시)메틸]옥시란, 테트라페닐올에탄 테트라글리시딜 에테르, 히드록시페놀 디글리시딜 에테르, 에틸렌 옥시드, 프로필렌 옥시드, 이소부틸렌 옥시드, 1,2-부틸렌 옥시드 2,3-부틸렌 옥시드를 포함하는 부틸렌 옥시드, 펜틸렌 옥시드, 시클로헥센 옥시드, 데실 글리시딜 에테르, 도데실 글리시딜 에테르 및 이들의 혼합물에서 선택되는 것인 조성물.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 가교결합제를 더 포함하는 것인 조성물.
  5. 제4항에 있어서, 가교결합제는 글리코루릴-알데히드 수지, 멜라민-알데히드 수지, 벤조구아나민-알데히드 수지, 우레아-알데히드 수지, 글리코루릴 화합물을 히드록시 기 및/또는 산 기를 갖는 반응성 화합물과 반응시켜 수득되는 화합물 및 이들의 혼합물에서 선택되고, 바람직하게는 글리코루릴 화합물을 히드록시 기 및/또는 산 기를 갖는 반응성 화합물과 반응시켜 수득되는 화합물인 조성물.
  6. 제5항에 있어서, 반응성 화합물은 에틸렌 글리콜, 디에틸렌 글리콜, 트리메틸렌 글리콜, 2,4-디메틸-2,4-펜탄디올, 2,5-디메틸-2,5-헥산디올, 3-메틸-1,3-부탄디올, 3-메틸-2,4-펜탄디올, 2-메틸-1,3-프로판디올, 2,2-디에틸-1,3-프로판디올, 1,3-부탄디올, 1,2-부탄디올, 2,3-부탄디올, 1,2-펜탄디올, 2,4-펜탄디올, 1,3-펜탄디올, 1,4-펜탄디올, 1,5-펜탄디올, 1,2-헥산디올, 1,6-헥산디올, 2,4-헥산디올, 2,5-헥산디올, 프로필렌 글리콜, 네오펜틸 글리콜, 폴리에틸렌 글리콜, 스티렌 글리콜, 폴리프로필렌 옥시드, 폴리에틸렌 옥시드, 부틸렌 옥시드, 1-페닐-1,2-에탄디올, 2-브로모-2-니트로-1,3-프로판디올, 2-메틸-2-니트로-1,3-프로판디올, 디에틸비스(히드록시메틸)말로네이트, 히드로퀴논, 3,6-디티아-1,8-옥탄디올, (2,2-비스(4-히드록시페닐) 프로판), 4,4'-이소프로필리덴비스(2,6-디메틸페놀), 비스(4-히드록시페닐)메탄, 4,4'-술포닐데페놀, 4,4'-(1,3-페닐렌디이소프로필리덴)비스페놀, 4,4'-(1,4-페닐렌디이소프로필리덴)비스페놀, 4,4'-시클로헥실리덴비스페놀, 4,4'-(1-페닐에틸리덴)비스페놀, 4,4'-에틸리덴비스페놀, 2,2-비스(4-히드록시-3-tert-부틸페닐) 프로판; 2,2-비스(4-히드록시-3-메틸페닐)프로판, 1,1-비스(4-히드록시페닐) 에탄; 1,1-비스(4-히드록시페닐) 이소부탄; 비스(2-히드록시-1-나프틸) 메탄; 1,5-디히드록시나프탈렌; 1,1-비스(4-히드록시-3-알킬페닐) 에탄, 2,2-비스(3-sec-부틸-4-히드록시페닐)프로판, 2,2-비스(4-히드록시-3-이소프로필페닐)프로판, 2,2-비스(4-히드록시페닐)부탄, α,α'-비스(4-히드록시-3,5-디메틸페닐)-1,4-디이소프로필벤젠, 2,6-비스(히드록시메틸)-p-크레졸, 2,2'-(1,2-페닐렌디옥시)-디에탄올, 1,4-벤젠디메탄올, 페닐숙신산, 벤질말론산, 3-페닐글루타르산 1,4-페닐디아세트산, 옥살산, 말론산, 숙신산, 피로멜리트산 이무수물, 3,3',4,4'-벤조페논-테트라카르복실산 이무수물, 나프탈렌 이무수물, 2,3,6,7-나프탈렌테트라카르복실산 이무수물, 1,4,5,8-나프탈렌테트라카르복실산 이무수물, 3-히드록시페닐아세트산, 2-(4-히드록시페녹시)프로피온산, 하기 화학식의 화합물을 폴리히드록시 화합물과 반응하여 수득되는 화합물(3) 및 이들의 혼합물에서 선택되는 것인 조성물:
    Figure pct00033

    식 중, L1 및 L2는 각각 독립적으로 2가 연결기이고, R21 및 R22는 각각 카르보닐기이며, R23은 수소 또는 C1-C10 알킬이다.
  7. 제5항 또는 제6항에 있어서, 글리코루릴 화합물이 하기 화학식의 화합물이거나, 또는 글리코루릴 화합물이 테트라메틸올 글리코루릴, 테트라에틸올 글리코루릴, 테트라프로필올 글리코루릴, 테트라부톡시메틸 글리코루릴, 부분 메톡실화 글리코루릴, 디메톡시메틸 글리코루릴, 디메틸올 글리코루릴의 모노- 및 디메틸에테르, 테트라메틸올 글리코루릴의 트리메틸에테르, 테트라메틸올 글리코루릴의 테트라메틸에테르, 테트라키스아밀옥시메틸 글리코루릴, 테트라키스헥속시메틸 글리코루릴, 테트라(메톡시메틸)글리코루릴, 테트라(에톡시메틸)글리코루릴, 테트라(n-프로폭시메틸)글리코루릴, 테트라(i-프로폭시메틸)글리코루릴, 테트라(n-부톡시메틸)글리코루릴, 테트라(t-부톡시메틸)글리코루릴, 7-메틸 테트라(메톡시메틸)글리코루릴, 7-에틸 테트라(메톡시메틸)글리코루릴, 7-n-프로필 테트라(메톡시메틸)글리코루릴, 7-i-프로필 테트라(메톡시메틸)글리코루릴, 7-i-부틸 테트라(메톡시메틸)글리코루릴, 7-sec-부틸 테트라(메톡시메틸)글리코루릴, 7-t-부틸 테트라(메톡시메틸)글리코루릴, 7,8-디메틸 테트라(메톡시메틸)글리코루릴, 7,8-디에틸 테트라(메톡시메틸)글리코루릴, 7,8-디-n-프로필 테트라(메톡시메틸)글리코루릴, 7,8-디-n-프로필 테트라(메톡시메틸)글리코루릴, 7,8-디-sec-부틸 테트라(메톡시메틸)글리코루릴, 7,8-디-i-부틸 테트라(메톡시메틸)글리코루릴, 7,8-디-sec-부틸 테트라(메톡시메틸)글리코루릴, 7-메틸-8-i-프로필 테트라(메톡시메틸)글리코루릴, 7-메틸-8-n-프로필 테트라(메톡시메틸)글리코루릴 및 이들의 혼합물에서 선택되는 것인 조성물:
    Figure pct00034

    식 중, 각 R8은 (CH2)n─O─W이고, 각 R11은 수소 또는 C1-C10 알킬이며, W는 수소 또는 직쇄형 또는 분지쇄형 C1-C10 알킬이고, n은 0∼4이다.
  8. 제6항에 있어서, 화합물(3)에서, L1 및 L2 각각이 치환 또는 비치환 알킬렌기, 치환 또는 비치환 페닐렌기, 및 치환 또는 비치환 시클로알킬렌기에서 선택되는 것인 조성물.
  9. 하기 화학식의 화합물:
    Figure pct00035

    [식 중, X는 이하에서 선택됨:
    Figure pct00036

    (식 중, U는 2가 연결기이고; Y는 수소 또는 Z이며; Z는 방향족 에폭시드 또는 지방족 에폭시드의 잔기임)].
  10. 제9항에 있어서, U는 알킬렌기이고 X는 화학식 (1) 또는 (2)인 화합물.
  11. 제9항 또는 제10항에 있어서, Z는 스티렌 옥시드, 1,2-에폭시-페녹시프로판, 글리시딜-2-메틸페닐 에테르, (2,3-에폭시프로필)벤젠, 1-페닐프로필렌 옥시드, 스틸벤 옥시드, 2-할로 스틸벤 옥시드, 3-할로 스틸벤 옥시드, 4-할로 스틸벤 옥시드, 벤질 글리시딜 에테르, C1-10 직쇄 또는 분지쇄 알킬페닐 글리시딜 에테르, 4-할로페닐 글리시딜 에테르, 글리시딜 4-C1-10 직쇄 또는 분지쇄 알콕시페닐 에테르, 글리시딜-3-(펜타데카디에닐)페닐 에테르, 4-t-부틸페닐글리시딜 에테르, 트리페닐올메탄 트리글리시딜 에테르, [(4-(1-헵틸-8-[3-(옥시라닐메톡시)페닐]-옥틸)페녹시)메틸]옥시란, 테트라페닐올에탄 테트라글리시딜 에테르, 히드록시페놀 디글리시딜 에테르, 에틸렌 옥시드, 프로필렌 옥시드, 이소부틸렌 옥시드, 1,2-부틸렌 옥시드 2,3-부틸렌 옥시드를 포함하는 부틸렌 옥시드, 펜틸렌 옥시드, 시클로헥센 옥시드, 데실 글리시딜 에테르, 도데실 글리시딜 에테르 및 이들의 혼합물에서 선택되는 것인 화합물.
  12. 제9항 내지 제11항 중 어느 한 항에 있어서, 하기에서 선택되는 것인 화합물:
    Figure pct00037

    Figure pct00038
  13. 하기에서 선택되는 반복 단위를 갖는 화합물:
    Figure pct00039

    Figure pct00040

    식 중, U는 2가 연결기이고, V는 직접 결합, C1-C10 직쇄형 또는 분지쇄형 알킬렌 또는 시클로알킬렌 기이며, 각 R11은 수소 또는 C1-C10 알킬이고, T는 수소, 직쇄형 또는 분지쇄형 C1-C10 알킬, 또는 폴리히드록시 화합물의 잔기이며, R23은 수소 또는 C1-C10 알킬이고, n은 0∼4이다.
  14. 하기 화학식의 화합물:
    Figure pct00041

    식 중, U는 2가 연결기이고, V는 직접 결합, C1-C10 직쇄형 또는 분지쇄형 알킬렌 또는 시클로알킬렌 기이며, R23은 수소 또는 C1-C10 알킬인데, 여기서 2가 연결기는 바람직하게는 치환 또는 비치환 알킬렌기, 치환 또는 비치환 페닐렌기, 및 치환 또는 비치환 시클로알킬렌기에서 선택된다.
  15. 하기로 이루어지는 군에서 선택되는 화합물:
    Figure pct00042

    Figure pct00043

    식 중, j는 1∼5이다.
  16. 제1항 내지 제8항 중 어느 한 항의 반사방지 코팅 조성물로부터 형성되고 193 nm에서 측정할 때 흡수 파라미터(k)가 0.01 ≤ k < 0.35인 반사방지 코팅층을 위에 갖는 기판을 포함하는 코팅 기판.
  17. a) 제1항 내지 제8항 중 어느 한 항의 반사방지 코팅 조성물로 기판을 코팅하고 소성하는 단계; b) 상기 반사방지 코팅의 위에 포토레지스트 막을 코팅하고 소성하는 단계; c) 상기 포토레지스트를 이미지 방식으로 노광하는 단계; d) 상기 포토레지스트에서 이미지를 현상하는 단계; e) 임의로, 노광 단계 후에 기판을 소성하는 단계를 포함하는 이미지 형성 방법.

KR1020117009666A 2008-10-14 2009-10-13 바닥 반사방지 코팅 조성물 KR20110083635A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/250,563 2008-10-14
US12/250,563 US20100092894A1 (en) 2008-10-14 2008-10-14 Bottom Antireflective Coating Compositions

Publications (1)

Publication Number Publication Date
KR20110083635A true KR20110083635A (ko) 2011-07-20

Family

ID=41517137

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117009666A KR20110083635A (ko) 2008-10-14 2009-10-13 바닥 반사방지 코팅 조성물

Country Status (7)

Country Link
US (2) US20100092894A1 (ko)
EP (1) EP2344927A2 (ko)
JP (1) JP2012505434A (ko)
KR (1) KR20110083635A (ko)
CN (1) CN102187279A (ko)
TW (1) TW201022384A (ko)
WO (1) WO2010043946A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101590608B1 (ko) * 2015-08-12 2016-02-01 로움하이텍 주식회사 신규한 이소시아누레이트 화합물 및 이를 포함하는 반사방지막 조성물
WO2019022404A1 (ko) * 2017-07-26 2019-01-31 에스케이이노베이션 주식회사 바닥반사 방지막 형성용 중합체 및 이를 포함하는 바닥반사 방지막 형성용 조성물

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8329387B2 (en) * 2008-07-08 2012-12-11 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8221965B2 (en) * 2008-07-08 2012-07-17 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8501383B2 (en) * 2009-05-20 2013-08-06 Rohm And Haas Electronic Materials Llc Coating compositions for use with an overcoated photoresist
US9244352B2 (en) * 2009-05-20 2016-01-26 Rohm And Haas Electronic Materials, Llc Coating compositions for use with an overcoated photoresist
US8507192B2 (en) * 2010-02-18 2013-08-13 Az Electronic Materials Usa Corp. Antireflective compositions and methods of using same
JP5154626B2 (ja) 2010-09-30 2013-02-27 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
CN103649213B (zh) * 2011-05-27 2016-02-03 日产化学工业株式会社 树脂组合物
US9170494B2 (en) 2012-06-19 2015-10-27 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective compositions and methods of using same
WO2015046149A1 (ja) * 2013-09-27 2015-04-02 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
KR102255221B1 (ko) * 2013-12-27 2021-05-24 롬엔드하스전자재료코리아유한회사 나노리소그래피용 유기 바닥 반사방지 코팅 조성물
TWI592760B (zh) * 2014-12-30 2017-07-21 羅門哈斯電子材料韓國有限公司 與經外塗佈之光致抗蝕劑一起使用之塗層組合物
US11092894B2 (en) * 2014-12-31 2021-08-17 Rohm And Haas Electronic Materials Korea Ltd. Method for forming pattern using anti-reflective coating composition comprising photoacid generator
JP6249976B2 (ja) * 2015-03-12 2017-12-20 四国化成工業株式会社 メルカプトエチルグリコールウリル化合物及びその利用
KR101598826B1 (ko) * 2015-08-28 2016-03-03 영창케미칼 주식회사 에칭 내성이 우수한 i-선용 네가티브형 포토레지스트 조성물
JP6525376B2 (ja) 2015-08-31 2019-06-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC オーバーコートされたフォトレジストと共に使用するためのコーティング組成物
TWI646397B (zh) * 2015-10-31 2019-01-01 南韓商羅門哈斯電子材料韓國公司 與外塗佈光致抗蝕劑一起使用的塗料組合物
KR102653125B1 (ko) 2016-01-13 2024-04-01 삼성전자주식회사 포토레지스트의 하부막 조성물 및 이를 이용한 패턴 형성 방법
US10203602B2 (en) * 2016-09-30 2019-02-12 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
KR20210040357A (ko) * 2018-07-31 2021-04-13 닛산 가가쿠 가부시키가이샤 레지스트 하층막 형성 조성물
US20210389670A1 (en) * 2020-06-12 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of manufacturing a semiconductor device
JP7368342B2 (ja) * 2020-12-07 2023-10-24 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
KR20230018877A (ko) * 2021-07-30 2023-02-07 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
CN116102680B (zh) * 2021-11-09 2024-02-13 上海新阳半导体材料股份有限公司 一种底部抗反射涂层及其制备方法和应用

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3215758A (en) * 1961-05-10 1965-11-02 Gulf Oil Corp Condensation polymers
US3279940A (en) * 1963-05-13 1966-10-18 Gulf Oil Corp Polyethylene and polypropylene containers coated with a polyester resin
US3448084A (en) * 1964-06-10 1969-06-03 Gulf Oil Corp Polyesters from tris(2-hydroxyethyl) isocyanurate
US3477996A (en) * 1965-03-29 1969-11-11 Allied Chem Polyesters prepared from tris - (2-hydroxyalkyl) isocyanurates and ethylenically unsaturated dicarboxylic acid anhydrides
US3474054A (en) * 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3476718A (en) * 1967-07-17 1969-11-04 Hercules Inc Polymers of epoxy cyclic sulfones
US3711391A (en) * 1971-05-18 1973-01-16 American Can Co Photopolymerizable epoxy systems containing sulfoxide gelation inhibitors
US4058537A (en) * 1976-01-05 1977-11-15 Ciba-Geigy Corporation Esters of anhydride aromatic polycarboxylic acids with perfluoroalkyl alcohols
US4064191A (en) * 1976-03-10 1977-12-20 American Cyanamid Company Coating composition containing an alkylated glycoluril, a polymeric non-self-crosslinking compound and an acid catalyst
US4118437A (en) * 1976-04-08 1978-10-03 American Cyanamid Company Cross linkable powder coating compositions
US4251665A (en) * 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) * 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4255558A (en) * 1979-06-18 1981-03-10 Scm Corporation Self-curing thermosetting powder paints
US4309529A (en) * 1980-05-12 1982-01-05 Minnesota Mining And Manufacturing Company Water-dispersible energy curable heterocyclic group-containing polyesters
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
DE69125634T2 (de) * 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) * 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
US5380804A (en) * 1993-01-27 1995-01-10 Cytec Technology Corp. 1,3,5-tris-(2-carboxyethyl) isocyanurate crosslinking agent for polyepoxide coatings
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
WO1997033201A1 (en) * 1996-03-07 1997-09-12 Clariant International, Ltd. Bottom antireflective coatings through refractive index modification by anomalous dispersion
US5998099A (en) * 1996-03-08 1999-12-07 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5843624A (en) * 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
DE69707635T2 (de) * 1996-12-24 2002-08-08 Fuji Photo Film Co Ltd Zusammensetzung für Antireflexunterschichten und Verfahren zur Herstellung eines Resistmusters damit
KR100265597B1 (ko) * 1996-12-30 2000-09-15 김영환 Arf 감광막 수지 및 그 제조방법
US6274295B1 (en) * 1997-03-06 2001-08-14 Clariant Finance (Bvi) Limited Light-absorbing antireflective layers with improved performance due to refractive index optimization
WO2001030896A1 (fr) * 1999-10-27 2001-05-03 Kaneka Corporation Mousse en resine styrene extrudee et son procede de production
IL150592A0 (en) * 2000-01-25 2003-02-12 Genentech Inc Liv-1 related protein, polynucleotides encoding the same and use thereof for treatment of cancer
US6686124B1 (en) * 2000-03-14 2004-02-03 International Business Machines Corporation Multifunctional polymeric materials and use thereof
US6323310B1 (en) * 2000-04-19 2001-11-27 Brewer Science, Inc. Anti-reflective coating compositions comprising polymerized aminoplasts
KR100734249B1 (ko) * 2000-09-07 2007-07-02 삼성전자주식회사 축합환의 방향족 환을 포함하는 보호기를 가지는 감광성폴리머 및 이를 포함하는 레지스트 조성물
US6509417B1 (en) * 2000-10-31 2003-01-21 Lilly Industries, Inc. Coating of fatty acid-modified glycidyl copolymer, OH polymer and optional anhydride polymer
EP1378796A4 (en) * 2001-04-10 2004-07-14 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTI-REFLECTIVE FILM FOR LITHOGRAPHIC PROCESS
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6488509B1 (en) * 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US6806026B2 (en) * 2002-05-31 2004-10-19 International Business Machines Corporation Photoresist composition
JP4171920B2 (ja) * 2002-10-09 2008-10-29 日産化学工業株式会社 リソグラフィー用反射防止膜形成組成物
US7038328B2 (en) * 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
CN1768306B (zh) * 2003-04-02 2011-12-14 日产化学工业株式会社 含有环氧化合物和羧酸化合物的光刻用形成下层膜的组合物
US7186789B2 (en) * 2003-06-11 2007-03-06 Advanced Cardiovascular Systems, Inc. Bioabsorbable, biobeneficial polyester polymers for use in drug eluting stent coatings
TWI363251B (en) * 2003-07-30 2012-05-01 Nissan Chemical Ind Ltd Sublayer coating-forming composition for lithography containing compound having protected carboxy group
TWI358612B (en) * 2003-08-28 2012-02-21 Nissan Chemical Ind Ltd Polyamic acid-containing composition for forming a
US20050215713A1 (en) * 2004-03-26 2005-09-29 Hessell Edward T Method of producing a crosslinked coating in the manufacture of integrated circuits
US7081511B2 (en) * 2004-04-05 2006-07-25 Az Electronic Materials Usa Corp. Process for making polyesters
CN1965268B (zh) * 2004-04-09 2011-08-03 日产化学工业株式会社 含有缩合类聚合物的半导体用防反射膜
EP1598702A1 (en) * 2004-05-18 2005-11-23 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
US7691556B2 (en) * 2004-09-15 2010-04-06 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
US7326523B2 (en) * 2004-12-16 2008-02-05 International Business Machines Corporation Low refractive index polymers as underlayers for silicon-containing photoresists
EP1691238A3 (en) * 2005-02-05 2009-01-21 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
EP1742108B1 (en) * 2005-07-05 2015-10-28 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
US7470500B2 (en) * 2005-07-19 2008-12-30 Az Electronic Materials Usa Corp. Organic bottom antireflective polymer compositions
CN101268419A (zh) * 2005-09-27 2008-09-17 日产化学工业株式会社 含有异氰脲酸化合物与苯甲酸化合物的反应生成物的形成防反射膜的组合物
US7553905B2 (en) * 2005-10-31 2009-06-30 Az Electronic Materials Usa Corp. Anti-reflective coatings
JP4666166B2 (ja) * 2005-11-28 2011-04-06 信越化学工業株式会社 レジスト下層膜材料及びパターン形成方法
US7816069B2 (en) * 2006-06-23 2010-10-19 International Business Machines Corporation Graded spin-on organic antireflective coating for photolithography
US7638262B2 (en) * 2006-08-10 2009-12-29 Az Electronic Materials Usa Corp. Antireflective composition for photoresists
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US20080175882A1 (en) * 2007-01-23 2008-07-24 Trollsas Mikael O Polymers of aliphatic thioester
US20090035704A1 (en) * 2007-08-03 2009-02-05 Hong Zhuang Underlayer Coating Composition Based on a Crosslinkable Polymer
US20090042133A1 (en) * 2007-08-10 2009-02-12 Zhong Xiang Antireflective Coating Composition
US7989144B2 (en) * 2008-04-01 2011-08-02 Az Electronic Materials Usa Corp Antireflective coating composition
US20090274974A1 (en) * 2008-04-30 2009-11-05 David Abdallah Spin-on graded k silicon antireflective coating
US7932018B2 (en) * 2008-05-06 2011-04-26 Az Electronic Materials Usa Corp. Antireflective coating composition
US8221965B2 (en) * 2008-07-08 2012-07-17 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8329387B2 (en) * 2008-07-08 2012-12-11 Az Electronic Materials Usa Corp. Antireflective coating compositions

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101590608B1 (ko) * 2015-08-12 2016-02-01 로움하이텍 주식회사 신규한 이소시아누레이트 화합물 및 이를 포함하는 반사방지막 조성물
WO2019022404A1 (ko) * 2017-07-26 2019-01-31 에스케이이노베이션 주식회사 바닥반사 방지막 형성용 중합체 및 이를 포함하는 바닥반사 방지막 형성용 조성물
US11435667B2 (en) 2017-07-26 2022-09-06 Sk Innovation Co., Ltd. Polymer for organic bottom anti-reflective coating and bottom anti-reflective coatings comprising the same

Also Published As

Publication number Publication date
WO2010043946A3 (en) 2010-06-17
TW201022384A (en) 2010-06-16
US20110250544A1 (en) 2011-10-13
US20100092894A1 (en) 2010-04-15
WO2010043946A2 (en) 2010-04-22
CN102187279A (zh) 2011-09-14
EP2344927A2 (en) 2011-07-20
JP2012505434A (ja) 2012-03-01

Similar Documents

Publication Publication Date Title
KR20110083635A (ko) 바닥 반사방지 코팅 조성물
JP5568791B2 (ja) 反射防止コーティング組成物
JP5765854B2 (ja) 反射防止コーティング組成物
US8465902B2 (en) Underlayer coating composition and processes thereof
US7264913B2 (en) Antireflective compositions for photoresists
JP5332046B2 (ja) 架橋可能なポリマーに基づく下層膜用組成物
US20080286689A1 (en) Antireflective Coating Compositions
KR20120102051A (ko) 포토레지스트용 반사방지 조성물
US20060057501A1 (en) Antireflective compositions for photoresists
TWI509037B (zh) 抗反射塗料組合物及其方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid