KR20110077959A - Method for correcting optical proximity effect - Google Patents

Method for correcting optical proximity effect Download PDF

Info

Publication number
KR20110077959A
KR20110077959A KR1020090134661A KR20090134661A KR20110077959A KR 20110077959 A KR20110077959 A KR 20110077959A KR 1020090134661 A KR1020090134661 A KR 1020090134661A KR 20090134661 A KR20090134661 A KR 20090134661A KR 20110077959 A KR20110077959 A KR 20110077959A
Authority
KR
South Korea
Prior art keywords
pupil
opc
pattern
modeling
parameters
Prior art date
Application number
KR1020090134661A
Other languages
Korean (ko)
Other versions
KR101090473B1 (en
Inventor
전진혁
이전규
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020090134661A priority Critical patent/KR101090473B1/en
Publication of KR20110077959A publication Critical patent/KR20110077959A/en
Application granted granted Critical
Publication of KR101090473B1 publication Critical patent/KR101090473B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

PURPOSE: A method for correcting an optical proximity effect is provided to improve the accuracy of an OPC by using a parameter to an OPC modeling after the parameters of a pupil are monitored. CONSTITUTION: A test mask is manufactured(210). A pupil is checked for selecting an exposing apparatus(220). A pupil modeling and a parameter are collected(230). A sample wafer is made by using a test mask(240). The CD data of the sample wafer is extracted(250). An OPC model is generated by reflecting the parameter of the pupil(270). The OPC pattern is obtained by correcting the OPC model(280).

Description

광 근접효과 보정방법{Method for correcting optical proximity effect }Method for correcting optical proximity effect

본 발명은 반도체 소자의 제조방법에 관한 것으로, 특히 정확도가 향상된 관 근접효과 보정방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method of correcting a proximity effect with improved accuracy.

일반적으로 리소그라피 공정(lithography process)은 웨이퍼 상에 감광막을 도포한 후 노광 및 현상을 수행하여 원하는 형상의 감광막 패턴을 형성하는 공정으로, 마스크를 필요로 하는 식각 공정이나 이온 주입 공정 이전에 수행된다. 반도체소자가 고집적화됨에 따라 회로를 구성하는 패턴의 크기 및 간격이 점점 감소하고 있기 때문에, 리소그라피 공정에도 많은 개발 및 연구가 이루어지고 있다. 예를 들어, 리소그라피 공정에 사용되는 마스크 설계를 정교하게 해줌으로써 마스크를 통해 나오는 빛의 양을 적절히 조절하고, 새로운 감광제를 개발하고, 고구경(high numerical aperture) 렌즈를 사용하는 스캐너(scanner)를 개발하며, 변형된 마스크를 개발하는 등의 노력에 의해 반도체 제조장치가 가지고 있는 기술적인 한계를 극복하고 있다.In general, a lithography process is a process of forming a photoresist pattern having a desired shape by applying a photoresist on a wafer and then performing exposure and development. The lithography process is performed before an etching process or an ion implantation process requiring a mask. As semiconductor devices are highly integrated, the size and spacing of patterns constituting a circuit are gradually decreasing, and thus, many developments and studies have been made in lithography processes. For example, by fine-tuning the mask design used in the lithography process, it is possible to properly adjust the amount of light emitted through the mask, develop new photosensitizers, and use scanners with high numerical aperture lenses. The technical limitations of the semiconductor manufacturing apparatus are overcome by efforts such as developing and developing a modified mask.

현재 범용으로 이용되고 있는 광원으로는 248nm의 파장을 갖는 KrF 광원을 이용하고 있지만, 193nm의 파장을 갖는 ArF 및 157nm의 파장을 갖는 F2 레이저를 포함하여 더 짧은 파장인 극자외선(EUV)으로 광원이 진화되고 있다. 그러나 반도체소자의 집적도가 증가함에 따라 마스크에 형성된 패턴의 크기가 광원의 파장에 근접하게 되었고, 그 결과 리소그라피 공정에서 빛의 회절 및 간섭에 의한 영향이 크게 증가하고 있다. 특히, 패턴의 모서리 부분에서 패턴이 라운드 모양으로 왜곡된 상이 형성되는 광 근접효과(Optical Proximity Effect; OPE)가 발생한다.Currently, a general-purpose light source uses a KrF light source having a wavelength of 248 nm, but the light source has been shortened to extreme ultraviolet (EUV), including an ArF having a wavelength of 193 nm and an F2 laser having a wavelength of 157 nm. It is evolving. However, as the degree of integration of semiconductor devices increases, the size of the pattern formed on the mask approaches the wavelength of the light source, and as a result, the influence of light diffraction and interference in the lithography process is greatly increased. In particular, an Optical Proximity Effect (OPE) is generated in which an image in which a pattern is distorted in a round shape is formed at an edge portion of the pattern.

이러한 광 근접효과를 극복하기 위한 기술로서 마스크 패턴의 모양을 고의로 변형하여 패턴 왜곡을 보정하는 광 근접 보정(Optical Proximity Correction; 이하 OPC라 함)을 사용한다. 광 근접 보정(OPC)은 마스크에 형성되는 마스크 패턴에 해상도 이하의 작은 패턴들을 추가하거나 제거하는 방법들을 사용한다. 예를 들어 라인 앤드 처리(line end treatment) 방식은 라인 패턴의 끝 부분이 라운드 모양이 되는 문제를 극복하기 위해 세리프(serif) 패턴 또는 해머 패턴을 추가하는 방법이고, 산란 바 삽입(insertion of scattering bar) 방식은 패턴 밀도에 따른 패턴의 선폭 변화를 최소화하기 위해 목표 패턴(target pattern)의 주변에 분해능 이하의 다수의 산란 바(sub resolution scattering bar)를 추가하는 방법이다.As a technique for overcoming the optical proximity effect, optical proximity correction (hereinafter referred to as OPC), which intentionally deforms the shape of the mask pattern and corrects the pattern distortion, is used. Optical proximity correction (OPC) uses methods that add or remove sub-resolution smaller patterns to the mask pattern formed on the mask. For example, the line end treatment method is to add a serif pattern or a hammer pattern to overcome the problem that the end of the line pattern becomes round, and an insertion of scattering bar ) Is a method of adding a sub-resolution scattering bar of sub-resolution at the periphery of the target pattern to minimize the change in the line width of the pattern according to the pattern density.

OPC 프로그램은 접근 방법에 따라 엔지니어의 경험을 몇 가지 룰(rule)로 정리하여 레이아웃을 보정하는 룰 베이스 방법(rule based method)과 리소그라피 시스템의 수학적 모델을 사용하여 레이아웃을 보정하는 모델 베이스 방법(model based method)으로 구분된다.The OPC program uses a rule-based method to correct the layout by arranging the engineer's experience into several rules according to the approach and a model-based method to correct the layout using a mathematical model of the lithography system. based method).

룰 베이스 OPC는 반복 계산을 하지 않으므로 대형 설계를 빠른 시간 내에 처리할 수 있는 반면 최적의 설계를 기대하기 어렵다는 단점이 있다. 모델 베이스 OPC는 만들어진 모델의 정확도가 높으면 웨이퍼에 구현하고자 하는 패턴의 형태와 크기에 대한 시뮬레이션(simulation) 값과 실제 측정 값 사이의 오차를 줄일 수가 있다. 그러나 모델을 만들기 위해 공정이 안정화되어 있어야 하고, 진행되는 공정이 변경될 때는 OPC 모델의 확인 작업과 새로운 모델의 생성이 요구된다. 또한, 로직 디바이스(logic device)는 반복되는 패턴보다 비 반복적인 패턴이 많아 모든 패턴을 하나의 모델로 맞추기가 어려워진다. OPC 측면에서, 수탁생산사업(Foundry business)에서 많이 사용하는 것이 모델 베이스 OPC이다. 룰 베이스 OPC와 달리 다양한 커스터머(Customer)의 데이터베이스(DB) 또는 레이아웃(Layout)에 OPC를 적용하기 용이하기 때문이다.Rule-based OPC has the disadvantage that it is difficult to expect an optimal design while processing a large design in a short time because it does not repeat the calculation. Model-based OPC can reduce the error between the simulation and actual measurement of the shape and size of the pattern to be implemented on the wafer if the model is accurate. However, the process must be stabilized in order to make a model, and when the process is changed, the verification of the OPC model and the creation of a new model are required. In addition, logic devices have more non-repetitive patterns than repeating patterns, making it difficult to fit all patterns into one model. In terms of OPC, the model-based OPC is a common use in the foundry business. This is because, unlike rule-based OPC, it is easy to apply OPC to a database (DB) or a layout of various customers.

도 1은 종래의 모델 베이스 광 근접효과 보정(OPC) 방법을 설명하기 위한 흐름도이다.1 is a flowchart illustrating a conventional model-based optical proximity effect correction (OPC) method.

도 1을 참조하면, 먼저 테스트 패턴을 디자인하고, 디자인된 패턴을 마스크기판 상에 구현하여 테스트 마스크를 제작한다(단계 110). 제작된 테스트 마스크를 이용하여 사진 및 식각 공정을 실시하여 샘플 웨이퍼를 제작한다(단계 120). 제작된 샘플 웨이퍼의 패턴 CD 데이터를 수집한다(단계 130). 수집된 샘플 웨이퍼의 CD 데이터에 의거하여 평균적인 하나의 시뮬레이션 모델을 제작하고(단계 140), OPC하고자 하는 패턴과 시뮬레이션(simulation) 모델에 의거하여 OPC를 수행하여 OPC된 패턴을 얻는다(단계 150). 이러한 모델 베이스 OPC는 만들어진 모델의 정확도가 높으면 웨이퍼에 구현하고자 하는 패턴의 형태와 크기에 대한 시뮬레이션 값과 실제 측정 값 사이의 오차를 줄일 수가 있다.Referring to FIG. 1, a test pattern is first designed and a test mask is manufactured by implementing the designed pattern on a mask substrate (step 110). A sample wafer is manufactured by performing a photo and etching process using the manufactured test mask (step 120). The pattern CD data of the produced sample wafer is collected (step 130). Based on the CD data of the collected sample wafer, an average simulation model is produced (step 140), and OPC is performed based on the pattern to be simulated and the simulation model (step 150). . Such model-based OPC can reduce the error between the simulated value and the actual measurement value of the shape and size of the pattern to be implemented on the wafer if the accuracy of the generated model is high.

그런데, 종래에는 OPC 모델링 단계(140)에서 실제 웨이퍼 노광에서 사용된 퓨필(pupil)이 아니라 아이디얼(ideal)한 퓨필에 대해 OPC 모델링을 수행하고 있다. 아이디얼한 퓨필은 OPC 모델링시 사용되는 데이터를 추출한 테스트 웨이퍼를 노광하는데 사용된 실제 퓨필과는 차이를 나타내므로 OPC 정확도를 떨어뜨리는 요인이 될 수 있다. 실제 노광 장비에서 로 퓨필(raw pupil)을 추출하여 OPC를 위한 모델링에 사용하기 어려운 이유도 로 퓨필로부터는 실제 퓨필의 파라미터값들을 추출하기 어렵기 때문이다. 따라서, 이러한 경우에도 OPC 모델링에 사용되는 퓨필의 여러 파라미터 값들을 모니터링할 수 없으므로 OPC 모델링에 사용하기 어렵다.However, conventionally, in the OPC modeling step 140, OPC modeling is performed on an ideal pupil, not a pupil used in actual wafer exposure. Ideal pupil differs from the actual pupil used to expose the test wafer from which the data used in OPC modeling is derived, which can be a factor in reducing OPC accuracy. It is difficult to extract raw pupils from actual exposure equipment and use them for modeling for OPC, because it is difficult to extract parameter values of actual pupils from raw pupils. Therefore, even in such a case, it is difficult to use OPC modeling because it is impossible to monitor various parameter values of the pupil used for OPC modeling.

본 발명이 이루고자 하는 기술적 과제는 정확도를 향상시킬 수 있는 광 근접효과 보정방법을 제공하는 데 있다.An object of the present invention is to provide an optical proximity effect correction method that can improve the accuracy.

상기 기술적 과제를 달성하기 위하여 본 발명에 따른 광 근접효과 보정방법은, 목표 패턴의 레이아웃을 설계하고 테스트 마스크를 제작하는 단계와, 웨이퍼 노광에 사용되는 노광 장비의 로 퓨필(raw pupil)을 모델링하고 퓨필 파라미터를 수집하는 단계와, 테스트 마스크를 이용하여 샘플 웨이퍼를 제작하는 단계와, 상기 샘플 웨이퍼의 CD 데이터를 추출하는 단계와, 상기 퓨필의 파라미터를 반영하여 OPC 모델을 생성하는 단계, 및 OPC 모델을 수정하고 OPC된 패턴을 얻는 단계를 포함하는 것을 특징으로 한다.In order to achieve the above technical problem, the optical proximity effect correction method according to the present invention comprises the steps of designing a layout of a target pattern and fabricating a test mask, modeling a raw pupil of exposure equipment used for wafer exposure and Collecting pupil parameters, fabricating a sample wafer using a test mask, extracting CD data of the sample wafer, generating an OPC model reflecting the parameters of the pupil, and an OPC model It is characterized in that it comprises a step of correcting and obtaining the OPC pattern.

상기 노광 장비의 로 퓨필(raw pupil)을 모델링하고 퓨필 파라미터를 수집하는 단계는, 노광 장비의 로 퓨필(raw pupil) 이미지를 구하는 단계와, 구해진 로 퓨필(raw pupil) 이미지로부터 모델링된 퓨필 이미지를 구하는 단계를 포함할 수 있다.Modeling a raw pupil of the exposure equipment and collecting pupil parameters may include obtaining a raw pupil image of the exposure equipment and a pupil image modeled from the obtained raw pupil image. Obtaining may include.

상기 퓨필 파라미터를 수집하는 단계에서, 수집된 파라미터들이 기준 범위를 벗어나면 다른 노광 장비를 선정하거나 장비에 대한 조치를 취하는 것이 바람직하다.In the step of collecting the pupil parameters, it is desirable to select other exposure equipment or take action on the equipment if the collected parameters fall outside the reference range.

본 발명에 의한 광 근접효과 보정방법에 따르면, 로 퓨필(raw pupil)을 모델링하고 모델링된 퓨필을 이용하여 퓨필의 파라미터들을 모니터링한 다음, 그 파라미터 값들을 OPC 모델링에 이용함으로써 OPC 정확도를 향상시킬 수 있다. 또한, 보다 많은 퓨필 파라미터를 분석항 OPC 모델링에 적용하므로 OPC의 정확도를 높이는 것은 물론, 노광 장비의 관리를 보다 효과적으로 진행할 수 있다.According to the optical proximity correction method according to the present invention, OPC accuracy can be improved by modeling a raw pupil, monitoring the parameters of the pupil using the modeled pupil, and then using the parameter values for OPC modeling. have. In addition, since more pupil parameters are applied to the analysis term OPC modeling, the accuracy of the OPC may be increased, and the exposure apparatus may be more effectively managed.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예들로 인해 한정되는 것으로 해석되어서는 안된다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, embodiments of the present invention may be modified in many different forms, and the scope of the present invention should not be construed as being limited by the embodiments described below.

본 발명에서는 로 퓨필(raw pupil)을 모델링하고 모델링된 퓨필을 이용하여 퓨필의 파라미터들을 모니터링한 다음, 그 파라미터 값들을 OPC 모델링에 이용함으로써 OPC 정확도를 향상시키는 방법을 제시한다.The present invention proposes a method of improving OPC accuracy by modeling a raw pupil, monitoring the parameters of the pupil using the modeled pupil, and then using the parameter values for OPC modeling.

도 2는 본 발명에 따른 광 근접 보정 방법을 설명하기 위하여 도시한 흐름도이고, 도 3 및 도 4는 본 발명의 광 근접 보정 과정에서 확보한 로(raw) 퓨필 이미지(도 3) 및 모델링된 퓨필 이미지(도 4)를 나타낸 도면들이다.2 is a flowchart illustrating an optical proximity correction method according to the present invention, and FIGS. 3 and 4 are raw pupil images (FIG. 3) and a modeled pupil obtained during the optical proximity correction process of the present invention. Fig. 4 shows an image (Fig. 4).

도 2를 참조하면, 먼저, 웨이퍼 상으로 전사할 목표 패턴의 레이아웃을 설계하고, 설계된 목표 패턴의 레이아웃이 정의된 테스트 마스크를 제작한다(단계 210). 이때, 경우에 따라 목표 패턴의 레이아웃을 설계하는 대신하여 특정한 형상의 테스트 패턴의 레이아웃을 이용할 수도 있다. 테스트 마스크가 제작되면, 웨이 퍼 노광장비 선정을 위하여 퓨필(pupil)을 체크하여 도 3과 같은 로(raw) 퓨필 이미지를 얻는다(단계 220). 이때, 퓨필 측정을 위하여 제작된 특정 마스크를 사용하여 로 퓨필 이미지를 얻을 수 있다.Referring to FIG. 2, first, a layout of a target pattern to be transferred onto a wafer is designed, and a test mask in which a layout of the designed target pattern is defined is manufactured (step 210). In this case, in some cases, instead of designing the layout of the target pattern, a layout of a test pattern having a specific shape may be used. When the test mask is manufactured, a pupil is checked to select a wafer exposure apparatus to obtain a raw pupil image as shown in FIG. 3 (step 220). In this case, a raw pupil image may be obtained using a specific mask manufactured for pupil measurement.

다음에, 얻어진 로(raw) 퓨필 이미지를 분석 및 모델링하여 퓨필의 각 파라미터들에 대한 데이터와, 도 4에 도시된 것과 같은 모델링된 퓨필 이미지를 확보한다(단계 230). 퓨필 모델링은 퓨필이 원하는 대로 노광장비에서 잘 구현되어 있는지를 분석하기 위한 방법으로, 로 퓨필을 특정 소프트웨어를 이용하여 실제 로(raw) 이미지와 가깝게 모델링하여 여러 퓨필의 파라미터들을 구할 수 있다. 단순히 육안으로만 타겟 퓨필 이미지가 제대로 구현되었는지 알 수 없으므로 세팅된 시그마(sigma), 폴의 폭(pole width), 각도(angle), 타원율(ellipticity), 에지 인텐시티 폭(edge intensity width), 백그라운드 인텐시티 노이즈(background intensity noise) 등의 최대한 많은 파라미터들을 이용하여 수치적으로 잘 구현되었는지 확인하는 것이다. 따라서, 이러한 파라미터들을 수치적으로 알 수 있게 하기 위하여 로 퓨필 이미지를 모델링한다.The raw pupil image obtained is then analyzed and modeled to obtain data for each parameter of the pupil and a modeled pupil image as shown in FIG. 4 (step 230). The pupil modeling is a method for analyzing whether the pupil is implemented in the exposure apparatus as desired, and the raw pupil can be modeled close to the actual raw image using specific software to obtain parameters of various pupils. Only the naked eye can tell if the target pupil image is properly implemented, so set sigma, pole width, angle, ellipticity, edge intensity width, and background intensity Using as many parameters as possible, such as background intensity noise, is a good numerical confirmation. Therefore, the raw pupil image is modeled so that these parameters can be known numerically.

퓨필 모델링 및 분석 결과 정해진 기준을 만족하지 못하면 다른 노광 장비를 선정하거나 장비를 튜닝하는 등의 조치를 취하고, 퓨필 모델링 및 분석 결과 정해진 기준을 만족하면 그대로 OPC 과정을 진행하는데, 먼저 테스트 마스크를 사용하여 샘플 웨이퍼를 제작한다(단계 240). 이 과정은 포토레지스트를 웨이퍼 상에 도포한 후 테스트 마스크를 이용하여 노광 및 현상하여 포토레지스트 패턴을 형성하는 방법으로 수행할 수 있다. 샘플 웨이퍼의 패턴은 포토레지스트 패턴일 수 있으 며 경우에 따라서는 포토레지스트 패턴에 의해 식각된 소정의 물질층 패턴일 수 있다.If the pupil modeling and analysis results do not meet the specified criteria, take measures such as selecting another exposure equipment or tuning the equipment.If the pupil modeling and analysis results meet the specified criteria, the OPC process is performed as it is. A sample wafer is produced (step 240). This process may be performed by applying a photoresist on a wafer and then exposing and developing the photoresist to form a photoresist pattern. The pattern of the sample wafer may be a photoresist pattern, and in some cases, may be a predetermined material layer pattern etched by the photoresist pattern.

샘플 웨이퍼를 제작한 다음에는, 샘플 웨이퍼의 패턴에 대한 CD를 측정하여 OPC 모델링에 필요한 데이터를 수집한다(단계 250). 이를 위하여 먼저, 웨이퍼 패턴에 대한 데이터의 개수와 좌표를 설정하는데, 통상 웨이퍼의 필드(field)당 40,000 ∼ 50,000 포인트 정도를 설정하지만 경우에 따라 조정할 할 수 있다. 측정할 CD 데이터의 개수와 좌표 설정이 이루어지면, 웨이퍼 패턴의 CD 데이터를 추출한다. 웨이퍼 패턴의 CD 데이터 추출은 데이터베이스와 패턴의 매칭을 통해 이루어진다. 데이터베이스는 측정 과정에서 비교 기준이 되는 데이터로서, 예컨대 기준으로 설정한 양호한 패턴 이미지의 데이터 또는 목표 패턴 레이아웃의 데이터를 저장하고 있다. 데이터베이스에 저장된 목표 패턴의 레이아웃 데이터와 웨이퍼 패턴에 대해 측정한 데이터를 매칭시켜 CD 측정 데이터를 확보한다. After fabricating the sample wafer, the CD for the pattern of the sample wafer is measured to collect the data needed for OPC modeling (step 250). To this end, first, the number and coordinates of the data for the wafer pattern are set. Usually, about 40,000 to 50,000 points are set per field of the wafer, but may be adjusted in some cases. When the number and coordinates of the CD data to be measured are set, the CD data of the wafer pattern is extracted. CD data extraction of the wafer pattern is achieved by matching the database with the pattern. The database stores data of a good pattern image set as a reference or data of a target pattern layout, for example, as data for comparison in the measurement process. CD measurement data is secured by matching the layout data of the target pattern stored in the database with the data measured for the wafer pattern.

웨이퍼 패턴에 대한 CD 데이터를 수집한 다음에는, 수집된 CD 데이터를 필요에 따라 필터링하고 그룹핑한다(단계 260). 다음에, 필터링 및 그룹핑된 CD 데이터로부터 원하는 패턴 크기가 만들어지도록 레이아웃의 변화량을 예측하는 OPC 모델링을 수행한다(단계 270). OPC 모델링은 OPC를 수행하기 위한 OPC 시뮬레이션 모델을 설정하는 단계로, 아이디얼한 퓨필 데이터가 아니라 실제 샘플 웨이퍼를 제작한 노광장비에 사용된 퓨필을 모델링한 퓨필 이미지 데이터를 적용한 OPC 모델이 생성될 수 있다. OPC를 위한 모델이 설정되면 이를 이용하여 모델 수정 및 OPC를 수행하여 최종적으로 보정된 패턴을 얻는다(단계 280).After collecting CD data for the wafer pattern, the collected CD data is filtered and grouped as needed (step 260). Next, OPC modeling is performed to predict the amount of change in the layout so that a desired pattern size is made from the filtered and grouped CD data (step 270). OPC modeling is a step of setting up an OPC simulation model for performing OPC, and not an ideal pupil data but an OPC model that applies pupil image data modeling a pupil used in an exposure apparatus that manufactures an actual sample wafer. . Once the model for the OPC is set, the model is modified and the OPC is used to obtain the finally corrected pattern (step 280).

도 5는 실제 노광장비에서 추출한 로 퓨필 이미지(raw pupil image)와 아이디얼 퓨필 이미지(ideal pupil image)를 각각 게이트 라인의 OPC 모델링에 사용한 후 이들 OPC 모델 결과를 이용하여 시뮬레이션했을 때 나온 여러 가지 듀티별 패턴에 대한 OPC 에러의 시뮬레이션 결과를 나타낸 그래프이다.FIG. 5 shows various duty results when the raw pupil image and the ideal pupil image extracted from the actual exposure equipment are used for OPC modeling of the gate line, respectively, and then simulated using these OPC model results. A graph showing simulation results of OPC errors for patterns.

도면에서 X축은 모니터링 포인트를 나타내고, Y축은 타겟 레이아웃에 대한 OPC 에러를 나타낸다.In the figure, the X axis represents the monitoring point and the Y axis represents the OPC error for the target layout.

도시된 바와 같이, 모델링된 로 퓨필을 이용한 경우(320) 아이디얼한 퓨필의 데이터만 이용한 경우(310)에 비해 평균 에러 값이 향상된 것을 알 수 있다. 또한, 이러한 현상은 폴(pole)과 동일한 방향인 수평 방향에서 더욱 향상된 것을 나타낸다.As shown, it can be seen that the average error value is improved when using the modeled low pupil (320) compared to the case using only the ideal pupil data (310). This phenomenon also indicates a further improvement in the horizontal direction, which is the same direction as the pole.

이상 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러 가지 변형이 가능함은 당연하다.Although the present invention has been described in detail with reference to preferred embodiments, the present invention is not limited to the above embodiments, and various modifications may be made by those skilled in the art within the technical spirit of the present invention. Do.

도 1은 종래의 모델 베이스 광 근접효과 보정(OPC) 방법을 설명하기 위한 흐름도이다.1 is a flowchart illustrating a conventional model-based optical proximity effect correction (OPC) method.

도 2는 본 발명에 따른 광 근접 보정 방법을 설명하기 위하여 도시한 흐름도이다.2 is a flowchart illustrating an optical proximity correction method according to the present invention.

도 3 및 도 4는 본 발명의 광 근접 보정 과정에서 확보한 로(raw) 퓨필 이미지 및 모델링된 퓨필 이미지를 나타낸 도면들이다.3 and 4 illustrate raw pupil images and modeled pupil images obtained during the optical proximity correction process of the present invention.

도 5는 아이디얼 퓨필 이미지(ideal pupil image)와 노광장비에서 추출한 로 퓨필 이미지(raw pupil image)를 사용한 OPC 모델링 결과를 이용하여 듀티별 패턴에 대한 OPC 에러의 시뮬레이션 결과를 나타낸 그래프이다.FIG. 5 is a graph illustrating a simulation result of an OPC error for a pattern by duty using an OPC modeling result using an ideal pupil image and a raw pupil image extracted from an exposure apparatus.

Claims (3)

목표 패턴의 레이아웃을 설계하고 테스트 마스크를 제작하는 단계;Designing a layout of the target pattern and fabricating a test mask; 웨이퍼 노광에 사용되는 노광 장비의 로 퓨필(raw pupil)을 모델링하고 퓨필 파라미터를 수집하는 단계;Modeling raw pupils of exposure equipment used for wafer exposure and collecting pupil parameters; 상기 테스트 마스크를 이용하여 샘플 웨이퍼를 제작하는 단계;Fabricating a sample wafer using the test mask; 상기 샘플 웨이퍼의 CD 데이터를 추출하는 단계;Extracting CD data of the sample wafer; 상기 퓨필의 파라미터를 반영하여 OPC 모델을 생성하는 단계; 및Generating an OPC model by reflecting the parameters of the pupil; And 상기 OPC 모델을 수정하고 OPC된 패턴을 얻는 단계를 포함하는 것을 특징으로 하는 광 근접효과 보정방법.Modifying the OPC model and obtaining an OPC pattern. 제1항에 있어서,The method of claim 1, 상기 노광 장비의 로 퓨필(raw pupil)을 모델링하고 퓨필 파라미터를 수집하는 단계는,Modeling a raw pupil of the exposure equipment and collecting pupil parameters, 노광 장비의 로 퓨필(raw pupil) 이미지를 구하는 단계와,Obtaining a raw pupil image of the exposure equipment, 구해진 로 퓨필(raw pupil) 이미지로부터 모델링된 퓨필 이미지를 구하는 단계를 포함하는 것을 특징으로 하는 광 근접효과 보정방법.And obtaining a pupil image modeled from the obtained raw pupil image. 제1항에 있어서,The method of claim 1, 상기 퓨필 파라미터를 수집하는 단계에서,In the step of collecting the pupil parameters, 수집된 파라미터들이 기준 범위를 벗어나면 다른 노광 장비를 선정하거나 장비에 대한 조치를 취하는 것을 특징으로 하는 광 근접효과 보정방법.If the collected parameters are out of the reference range, the optical proximity effect correction method characterized in that the other exposure equipment is selected or the action is taken on the equipment.
KR1020090134661A 2009-12-30 2009-12-30 Method for correcting optical proximity effect KR101090473B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090134661A KR101090473B1 (en) 2009-12-30 2009-12-30 Method for correcting optical proximity effect

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090134661A KR101090473B1 (en) 2009-12-30 2009-12-30 Method for correcting optical proximity effect

Publications (2)

Publication Number Publication Date
KR20110077959A true KR20110077959A (en) 2011-07-07
KR101090473B1 KR101090473B1 (en) 2011-12-06

Family

ID=44917482

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090134661A KR101090473B1 (en) 2009-12-30 2009-12-30 Method for correcting optical proximity effect

Country Status (1)

Country Link
KR (1) KR101090473B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015030454A1 (en) * 2013-08-27 2015-03-05 (주) 아이씨티케이 Method and apparatus for generating puf by transforming photo mask in semiconductor process

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4510118B2 (en) 1995-12-22 2010-07-21 株式会社東芝 Optical proximity effect correction method and apparatus, optical proximity effect verification method and apparatus, exposure mask manufacturing method, optical proximity effect correction program, and optical proximity effect verification program
JP2009139632A (en) 2007-12-06 2009-06-25 Elpida Memory Inc Mask pattern correction method and exposure mask

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015030454A1 (en) * 2013-08-27 2015-03-05 (주) 아이씨티케이 Method and apparatus for generating puf by transforming photo mask in semiconductor process
TWI571906B (en) * 2013-08-27 2017-02-21 Ictk有限公司 Apparatus and method for generating physical unclonable function by modifying photo mask of semiconductor process
US10423067B2 (en) 2013-08-27 2019-09-24 Ictk Holdings Co., Ltd. Apparatus and method for generating physical unclonable function by modifying photo mask of semiconductor process
US11003075B2 (en) 2013-08-27 2021-05-11 Ictk Holdings Co., Ltd. Apparatus and method for generating physical unclonable function by modifying photo mask of semiconductor process

Also Published As

Publication number Publication date
KR101090473B1 (en) 2011-12-06

Similar Documents

Publication Publication Date Title
JP5334956B2 (en) System and method for performing mask verification using an individual mask error model
KR100673014B1 (en) Method of fabricating photomask
US7350183B2 (en) Method for improving optical proximity correction
KR102265868B1 (en) Target and process sensitivity analysis to requirements
US6952818B2 (en) Method and system for optical proximity correction
US7065738B1 (en) Method of verifying an optical proximity correction (OPC) model
CN103597579B (en) EUV lithography flare computes and compensates for
KR100725170B1 (en) System And Method For Fabricating Photomask
US20100070944A1 (en) Method for constructing opc model
US8230371B2 (en) Process-window aware detection and correction of lithographic printing issues at mask level
US20120117520A1 (en) Systems And Methods For Inspecting And Controlling Integrated Circuit Fabrication Using A Calibrated Lithography Simulator
US7080349B1 (en) Method of developing optimized optical proximity correction (OPC) fragmentation script for photolithographic processing
CN111386500B (en) Method for identifying a microlithographic mask
US8370773B2 (en) Method and apparatus for designing an integrated circuit using inverse lithography technology
WO2005106594A2 (en) Device and method for determining an illumination intensity profile of an illuminator for a lithography system
US7930654B2 (en) System and method of correcting errors in SEM-measurements
US8266555B2 (en) Method for manufacturing an exposure mask
US11699227B2 (en) Method of verifying error of optical proximity correction model
JP5395340B2 (en) Process model creation method, process model creation program, and pattern correction method
US8443309B2 (en) Multifeature test pattern for optical proximity correction model verification
CN111443567B (en) Optical proximity correction model and optical proximity correction method
CN107703720B (en) Method for perfecting test pattern coverage of lithography model data
KR101090473B1 (en) Method for correcting optical proximity effect
KR101160010B1 (en) Method for processing optical proximity correction
JP2005250360A (en) Verification apparatus and verification method for mask pattern

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee