KR20100042644A - 보론 유도 물질 증착 방법 - Google Patents

보론 유도 물질 증착 방법 Download PDF

Info

Publication number
KR20100042644A
KR20100042644A KR1020107003021A KR20107003021A KR20100042644A KR 20100042644 A KR20100042644 A KR 20100042644A KR 1020107003021 A KR1020107003021 A KR 1020107003021A KR 20107003021 A KR20107003021 A KR 20107003021A KR 20100042644 A KR20100042644 A KR 20100042644A
Authority
KR
South Korea
Prior art keywords
boron
containing film
network
chamber
precursor
Prior art date
Application number
KR1020107003021A
Other languages
English (en)
Inventor
정-욱 허
미해라 발시누
리-쿤 시아
데렉 위티
히쳄 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100042644A publication Critical patent/KR20100042644A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

보론-함유 필름을 형성하는 방법이 제공된다. 그러한 방법은 보론-함유 전구체를 챔버 내로 도입하는 단계 그리고 플라즈마 프로세스 또는 열 분해 프로세스에 의해서 기판 상에서 보론-보론 결합을 포함하는 네트워크를 증착하는 단계를 포함한다. 상기 네트워크로부터 수소를 제거하기 위해서 그리고 결과적인 보론-함유 필름의 응력을 높이기 위해서 상기 네트워크가 후처리될 수 있다. 상기 보론-함유 필름은 약 -10 GPa 내지 약 10 GPa의 응력을 가지며 변형-유도 층으로서 또는 보론 공급원 층으로서 이용될 수 있을 것이다.

Description

보론 유도 물질 증착 방법{BORON DERIVED MATERIALS DEPOSITION METHOD}
본 발명은 반도체 기판과 같은 기판 상에 필름을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 보론-함유 필름을 기판 상에 형성하기 위한 방법에 관한 것이다.
집적회로의 소자들이 최초로 도입된 후로 수십년이 경과하면서 집적회로의 기하학적 형상(geometries)의 크기가 크게 감소되었다. 최초 도입 후, 집적 회로는 칩 상의 소자의 수가 매 2년마다 2배가 된다는 것을 의미하는 2년/크기-반감 법칙(무어의 법칙이라고 통칭됨)을 대체적으로 따라 왔다. 오늘날의 제조 설비들은 90 nm 피쳐(feature) 크기의 소자를 일반적으로 생산하고 있으며, 미래의 설비는 그 보다 작은 피쳐 크기의 소자들을 곧 생산할 것이다.
반도체 기판 상의 소자의 기하학적 형상이 지속적으로 감소되고 그리고 소자들의 간격이 점점 더 조밀해짐에 따라서, 소자 성능의 개선 분야에서 해결 과제가 발생하게 되었다. 예를 들어, 금속-산화막-반도체 전계효과 트랜지스터(MOSFET) 소자의 성능이 예를 들어 소자의 게이트 유전체 두께를 감소시키는 것과 같은 몇가지 방법에 의해서 개선될 수 있지만, 작은 소자에서 필요로 하는 매우 얇은 유전체 층은 게이트 전극으로부터의 도펀트가 게이트 유전체를 통해서 하부의 실리콘 기판으로 침투하는 것을 허용할 수 있다. 또한, 매우 얇은 게이트 유전체는 게이트 누설(leakage)을 증대시킬 수 있으며, 이는 게이트에 의해서 소비되는 전력의 양을 증대시킬 수 있고 결과적으로 트랜지스터에 손상을 가할 수 있다.
대안적인 소자 성능 개선 방법으로서, 소자 내에서 물질의 원자 격자를 변형(straining)시키는 것이 최근에 개발되었다. 원자 격자를 변형시키는 것은 반도체 물질 내에서의 캐리어 이동성(carrier mobility)을 증대시킴으로써 소자 성능을 개선한다. 응력형(stressed) 필름을 층 상에 증착(depositing; 부착)시킴으로써 소자의 하나의 층의 원자 격자가 변형될 수 있을 것이다. 예를 들어, 게이트 전극에 걸친 에칭 정지부(etch stop) 층으로서 이용되는 응력형 실리콘 질화물(SiN) 층을 증착시켜 트랜지스터의 채널 영역 내에 변형을 유도할 수 있을 것이다. 그러한 응력형의 변형-유도 실리콘 질화물 층이 압축 응력 또는 인장 응력을 가질 수 있을 것이다.
응력 레벨(level; 정도)가 비교적 큰 플라즈마 화학 기상 증착(PECVD) 실리콘 질화물 층이 개발되었지만, 통상적으로 인장 응력이 1.7 GPa 이하인 실리콘 질화물 보다 큰 인장 응력 레벨을 가지는 필름을 형성하는 방법이 여전히 요구되고 있다 할 것이다.
개략적으로 설명하면, 본 발명의 실시예들은 보론-함유 필름을 형성하는 방법을 제공한다. 일 실시예에서, 보론-함유 필름을 형성하는 방법은 보론-함유 전구체(precursor)를 챔버 내로 도입하는 단계 및 플라즈마 부재(in the absence)하에서의 열분해에 의해서 상기 보론-함유 전구체로부터 상기 챔버 내의 기판 상에서 보론-보론 결합(bonds)을 포함하는 네트워크를 증착하는 단계를 포함한다. 상기 네트워크를 후처리하여 그 네트워크로부터 수소를 제거한다. 후처리된 네트워크는 B12 정십이면체(icosahedrons) 및/또는 융합된(fused) B12 정십이면체를 포함하고 응력이 약 - 10 GPa 내지 약 10 GPa인 보론-함유 필름을 형성한다.
다른 실시예에서, 보론-함유 필름을 형성하는 방법은 보론-함유 전구체를 챔버 내로 도입하는 단계 및 플라즈마 존재하에서의 상기 보론-함유 전구체로부터 상기 챔버 내의 기판 상에서 보론-보론 결합을 포함하는 네트워크를 증착하는 단계를 포함한다. 상기 네트워크는 B12 정십이면체 및/또는 융합된 B12 정십이면체를 포함하고 응력이 약 - 10 GPa 내지 약 10 GPa인 보론-함유 필름을 형성한다.
추가적인 실시예에서, 보론-함유 필름을 이용하는 방법들이 제공된다. 일 실시예에서, 하부 층을 보론으로 도핑하기 위해서 보론-함유 필름을 이용한다. 다른 실시예에서, 기판을 프로세싱하는 방법이 기판 상의 트랜지스터 구조물에 걸쳐 라이너를 증착하는 단계, 상기 라이너 상에 보론-함유 필름을 형성하는 단계, 그리고 상기 보론-함유 필름 상에 캡(cap)을 증착하는 단계를 포함한다. 프리메탈(premetal) 유전체 층이 상기 캡 상에 증착된다. 이어서, 프리메탈 유전체 층, 캡, 보론-함유 필름, 및 라이너가 에칭되어 트랜지스터 구조물의 게이트 스택(gate stack)으로의 콘택 비아(contact via)를 형성한다. 에칭에 의해서 노출되는 보론-함유 필름의 영역들이 이어서 밀봉(seal)된다. 노출된 영역들을 밀봉하는 단계는 보론-함유 필름의 노출된 영역을 포함하여 트랜지스터 구조물에 걸쳐 유전체 라이너를 증착하는 것을 포함할 수 있으며, 또는 노출된 영역을 질화 또는 산화 프로세스로 처리하는 것을 포함할 수 있다.
본 발명의 전술한 특징들이 보다 구체적으로 이해될 수 있도록, 일부가 첨부 도면에 도시된 실시예들을 참조하여, 앞서서 약술한 본 발명에 대한 보다 구체적인 설명을 이하에 기재하였다. 그러나, 첨부 도면들은 단지 본 발명의 통상적인 실시예들을 도시한 것이고 그에 따라 그러한 도면이 본 발명의 범위를 제한하는 것으로 단정하여서는 안된다는 것을 이해할 것이며, 본 발명은 다른 균등한 효과의 실시예들도 포함할 것이다.
도 1은 보론-함유 전구체의 폴리머화 반응 및 그 결과적인 구조물을 개략적으로 도시한 도면이다.
도 2는 본 발명의 실시예에 따라서 증착된 보론-함유 필름의 응력에 미치는 UV 경화(cure) 프로세스의 영향을 도시한 그래프이다.
도 3은 UV 경화 프로세스 이전의(증착된 상태 그대로; as deposited) 그리고 UV 경화 프로세스 이후의(UV 이후) 보론-함유 필름의 FTIR 분석을 나타낸 그래프이다.
도 4는 단일 증착 및 UV 후-처리(즉, 단일 소크(soak)) 또는 증착 및 UV 후-처리의 다수의 사이클(즉, 소크 퍼지)에 의해서 얻어진 필름의 두께를 비교하여 도시한 그래프이다.
도 5는 단일 증착 및 UV 후-처리(즉, 단일 소크(soak)) 또는 증착 및 UV 후-처리의 다수의 사이클(즉, 소크 퍼지)에 의해서 얻어진 필름 응력을 비교하여 도시한 그래프이다.
도 6a-6f는 본 발명의 실시예에 따른 집적 과정을 도시한 도면이다.
본 발명의 실시예들은 예를 들어 보론 질화물 및 보론 탄화물 필름과 같은 보론-함유 필름을 증착하기 위한 방법을 제공한다. 보론-함유은 도핑되지 않은 보론 질화물 (BN), 도핑된 보론 탄화물 (BC) 필름, 또는 도핑된 보론 질화물 또는 보론 탄화물 필름 예를 들어, 보론 실리콘 질화물 (BSiN), 보론 탄소 질화물 (BCN), 실리콘 보론 질화물 (SiBN) 및 보론 탄소 실리콘 질화물 (BCSiN) 필름일 수 있다. 보론-함유 필름은 높은 고유 응력(high intrinsic stress) 즉, 약 -10 GPa 내지 약 10 GPa의 높은 고유 응력, 예를 들어 약 2.0 GPa 보다 큰 인장 응력을 가진다. 이러한 필름의 높은 응력은 적어도 필름 내의 B12 정십이면체의 존재에 의해서 제공되는 것으로 믿어진다. 인장 응력이 큰 즉, 응력이 약 2.0 GPa 보다 큰 보론-함유 필름의 보론 함량은 약 5 원자 % 내지 약 100 원자 % 일 것이다. 통상적으로, 인장 응력은 보론 함량에 따라서 증대된다.
보론-함유 필름 증착 및 특성
일 실시예에서, 보론-함유 필름은 후처리(post-treatment)를 수반하는 열 분해 프로세스 즉, 비-플라즈마 프로세스에 의해서 증착된다. 열 분해 프로세스의 경우에, 증착 동안에, 챔버 내의 기판 지지부의 온도가 약 100 ℃ 내지 약 1000 ℃, 예를 들어 약 300 ℃ 내지 약 500 ℃로 설정될 수 있을 것이고, 챔버 내의 압력이 약 10 mTorr 내지 약 760 Torr, 예를 들어 약 2 Torr 내지 약 10 Torr 사이로 설정될 수 있을 것이다. 보론-함유 전구체가 약 5 sccm 내지 약 50 slm, 예를 들어, 10 sccm 내지 약 1 slm의 유량으로 챔버 내로 도입되고, 그리고 열분해된다(pyrolyzed). 증착에 이용될 수 있는 챔버의 예를 들면, PRODUCER® SE 및 PRODUCER® GT PECVD 챔버가 있으며, 이들 양 챔버는 모두 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가 가능하다. 여기에서 제공되는 프로세싱 조건들은 2개의 격리된 프로세싱 영역을 가지며, 프로세싱 영역당 하나의 기판이 제공되는 300 mm PRODUCER® SE 챔버에 맞춰진 것이다. 따라서, 각각의 기판 프로세싱 영역 및 기판에서의 유량은 챔버 내로의 유량의 절반에 상당할 것이다.
보론-보론 결합을 포함하는 네트워크가 보론-함유 전구체의 열분해 동안에 기판 지지부 상의 기판 상에 형성된다. 기판은 실리콘, 실리콘-함유, 또는 유리 기판일 수 있다. 기판은 순수(bare) 기판일 수 있고 또는 하나 이상의 물질의 층이 증착된 및/또는 내부에 피쳐들이 형성된 기판일 수 있을 것이다. 보론-보론 결합을 포함하는 네트워크가 약 10 MPa 보다 큰 인장 응력을 가질 수 있고 그리고 약 3 내지 약 50 원자 % 수소를 포함할 수 있다. 보론-보론 결합을 포함하는 네트워크가 비정질일 수 있고 또는 낮은 정도의 결정화도(crystallinity)를 가질 수 있고 그리고 보론-보론 결합에 의해서 무작위로 연결된 보론 링들을 포함할 것이다. 일 측면에서, B2H6 폴리머화에 의해서 비정질 보론 : 수소 네트워크가 기판 상에 형성된다.
보론-보론 결합을 포함하는 네트워크가 형성된 후에, 네트워크를 후처리하여 그 네트워크로부터 수소를 제거한다. 네트워크로부터의 수소 제거는 네트워크의 인장 응력을 높인다. 후처리는 플라즈마 프로세스, 자외선(UV) 경화 프로세스, 열적 어닐링 프로세스, 및 이들의 임의 순서의 조합으로 이루어진 그룹으로부터 선택된다. 예를 들어, 보론-보론 결합을 포함하는 네트워크를 UV 경화 프로세스로 처리하고 이어서 플라즈마 프로세스로 처리할 수 있을 것이다. 도 1은 후처리 후에 보론-함유 필름 내에 B12 정십이면체(10)를 포함하는 구조물 및 네트워크를 형성하기 위한 보론-함유 전구체의 폴리머화 중에 발생할 수 있는 반응들을 도시한 것이다.
플라즈마 프로세스 후처리의 경우에, 챔버의 기판 지지부 전극 및/또는 샤워헤드 전극으로 전달되는 RF 전력에 의해서 플라즈마가 제공될 수 있을 것이다. RF 전력은 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 단일 저주파에서 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨로, 또는 약 1 MHz 보다 높은 단일 고주파, 예를 들어, 약 1 MHz 내지 약 60 MHz, 예를 들어, 13.6 MHz의 단일 고주파에서 약 2 W 내지 약 5000 W, 예를 들어, 약 30 W 내지 약 1000 W의 전력 레벨로 제공될 수 있을 것이다. 그 대신에, RF 전력은, 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨에서의 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 제 1 주파수, 그리고 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨에서의 약 1 MHz 보다 큰, 예를 들어 약 1 MHz 보다 크고 약 60 MHz 이하인, 예를 들어, 13.6 MHz의 제 2 주파수를 포함하는 혼합된 주파수에서 제공될 수 있을 것이다.
플라즈마 프로세스는 질소-함유 전구체 및 하나 이상의 희석 가스를 포함하는 분위기에서 실시될 수 있을 것이다. 이용될 수 있는 질소-함유 전구체는 N2, NH3, 및 N2H4 를 포함하고 그리고 이용될 수 있는 희석 가스는 Ar, He, H2, 및 Xe를 포함한다. 프라즈마 처리 중에, 질소-함유 전구체는약 5 sccm 내지 약 50 slm, 예를 들어 약 100 sccm 내지 약 500 sccm의 유량으로 챔버 내로 도입될 수 있다. 질소-함유 전구체는 소정 시간 동안, 예를 들어 약 1 초 내지 약 2 시간, 예를 들어 약 1 초 내지 약 60 초 동안 챔버 내로 유동될 수 있을 것이다. 처리 중에, 챔버 압력은 약 10 mTorr 내지 약 760 Torr일 수 있고, 그리고 챔버 내의 기판 지지부의 온도는 약 20 ℃ 내지 약 1000 ℃일 수 있다. 플라즈마 프로세스에 이용될 수 있는 챔버의 예를 들면 PRODUCER® SE 및 PRODUCER® GT PECVD 챔버가 있다.
열적 어닐링 프로세스 후처리의 경우에, 보론-보론 결합을 포함하는 네트워크가 증착 온도 보다 높은 온도에서 어닐링된다. 예를 들어, 네트워크가 약 200 ℃ 보다 높은 온도에서 어닐링될 수 있을 것이다. 열적 어닐링 프로세스 동안에, 질소-함유 전구체가 약 5 sccm 내지 약 50 slm, 예를 들어 약 10 sccm 내지 약 1 slm의 유량으로 챔버내로 도입될 수 있다. 질소-함유 전구체는 소정 시간 동안, 예를 들어 약 1 초 내지 약 10 시간, 예를 들어 10 초 내지 약 20 분 동안 챔버 내로 유동될 수 있을 것이다. 처리 동안에, 챔버 내의 압력은 약 10 mTorr 내지 약 760 Torr일 수 있고, 그리고 챔버 내의 기판 지지부의 온도가 약 20 ℃ 내지 약 1000 ℃ 일 수 있다. 열적 어닐링 프로세스에 이용될 수 있는 챔버의 예를 들면 PRODUCER® SE 및 PRODUCER® GT PECVD 챔버가 있다.
UV 경화 프로세스 후처리의 경우에, 이용될 수 있는 예시적인 UV 프로세스 조건은 약 10 mTorr 내지 약 760 Torr 의 챔버 압력 그리고 약 20 ℃ 내지 약 1000 ℃의 기판 지지부 온도를 포함한다. UV 경화 프로세스를 위한 기판 지지부 온도는 보론-보론 결합을 포함하는 네트워크 형성 중의 기판 지지부 온도 보다 높거나, 낮거나, 또는 동일할 수 있을 것이다. UV 복사선은 어떠한 UV 공급원, 예를 들어 수은 마이크로파 아아크 램프(mercury microwave arc lamps), 펄스형 크세논 플래시 램프 (pulsed xenon flash lamps), 또는 고효율의 UV 발광 다이오드 어레이에 의해서 제공될 수 있을 것이다. UV 복사선의 파장은 예를 들어 약 170 nm 내지 약 400 nm일 수 있을 것이다. UV 복사선은 단일 파장, 예를 들어 175 nm 파장을 가질 수 있을 것이다. 그 대신에, UV 복사선이 200 nm 초과의 파장을 제공하는 광대역 UV 공급원에 의해서 제공될 수도 있을 것이다. 처리는 약 1 Watt/cm2 내지 약 1000 Watts/cm2 의 자외선에 보론-보론 결합을 포함하는 네트워크를 노출시키는 단계를 포함할 수 있을 것이고, 그리고 자외선은 약 0.5 eV 내지 약 10 eV, 예를 들어 약 1 eV 내지 약 6 eV의 광자 에너지(photon energy; electronVolts)를 제공할 수 있을 것이다. 통상적으로, UV 경화 프로세스는 보론-보론 결합을 포함하는 네트워크를 조밀화(densify)한다. UV 경화 프로세스 후처리를 실시하는데 이용될 수 있는 챔버의 예를 들면, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 입수가 가능한 NANOCURE™ 챔버가 있다.
UV 경화 프로세스 후처리 중에, 질소-함유 전구체가 약 5 sccm 내지 약 50 sccm의 유량으로 챔버 내로 도입될 수 있을 것이다. 질소-함유 전구체가 약 1 초 내지 약 2 시간, 예를 들어 약 1 초 내지 약 10 분의 시간과 같은 소정 시간 동안 챔버 내로 유동될 수 있을 것이다.
도 2는 본 발명의 실시예에 따라 증착된 보론-함유 필름의 스트레스에 미치는 UV 경화 프로세스의 영향을 도시한 그래프이다. 도 3은 UV 경화 프로세스 이전의(증착된 상태 그대로; as deposited) 그리고 UV 경화 프로세스 이후의(UV 이후) 보론-함유 필름의 FTIR 분석을 나타낸 그래프이다. 이하의 표 1은 UV 경화 프로세스 이전과 이후의 인장 응력이 높은 보론-함유 필름의 특성을 비교한 것이다.
필름 특성 증착된 상태 그대로 UV 경화 후
증착 속도(Å/분) 100 -
균일도(%) < 5 < 5
굴절률 @ 1000 A 2.7 3.0
응력(MPa) 900 2100
수축(%) - < 5
밀도(g/cm3) 1.9 2.0
단차 피복(step coverage), 패턴 로딩 이펙트(PLE) > 90%, <5% > 90%, <5%
습식 에칭 속도 비율 (100: HF) -열적 산화물에 대한 -열적 질화물에 대한 0.03 0.003
수분 흡수 저항(85/85) < 20MPa
전술한 모든 후처리들은 보론-보론 결합을 포함하는 네트워크로부터 수소를 제거하며, 이는 다시 네트워크의 인장 응력을 증대시키고 그리고 그 네트워크를 높은 응력의 보론-함유 필름으로 변환시킨다. 또한, 전술한 모든 후처리들은 네트워크의 재정렬을 초래하고, 이는 B12 정십이면체 클러스터의 형성을 유도하고 이어서 B12 정십이면체의 융합(fusion)을 유도하며, 이는 인장 응력이 증대된 보론-함유 필름의 형성을 초래한다.
전술한 모든 후처리들은 보론-보론 결합을 포함하는 네트워크가 증착되는 것과 동일한 챔버 내에서 또는 그와 상이한 챔버 내에서 실시될 수 있을 것이다. 만약 후처리가 상이한 챔버 내에서 실시된다면, 그러한 후처리 챔버는 증착 챔버를 포함하는 통합형 툴(tool)의 일부가 될 수 있을 것이고, 그리고 그 증착 챔버 및 후처리 챔버는 공통의 이송 챔버를 공유할 수 있을 것이다. 예를 들어, UV 경화 후처리가 NANOCURE™ 챔버 내에서 실시될 수 있을 것이고, 그러한 NANOCURE™ 챔버는 네트워크가 증착되는 증착 챔버를 포함하는 PRODUCER® 플랫폼의 일부가 될 수 있을 것이다. 그 대신에, 보론-보론 결합을 포함하는 네트워크가 증착되는 챔버와 완전히 분리된 다른 챔버 내에서 후처리가 실시될 수도 있을 것이다.
비록 단일 후처리 단계가 후속되는 단일 증착 단계와 관련하여 증착 및 후처리 단계들에 대해서 설명하였지만, 본 발명의 다른 실시예에 따라 제공되는 보론-함유 필름은 증착 및 후처리의 다수의 사이클에 의해서 형성될 수 있을 것이다. 그러한 실시예에서, 보론-보론 결합을 포함하는 네트워크는 희망하는 최종 두께의 한 분율(fraction)에 불과한 두께까지 증착되고 이어서 후처리된다. 이러한 증착 및 후처리 시퀀스(sequence)는 희망 두께가 얻어질 때까지 다수 차례 실시될 수 있을 것이다. 예를 들어, 두께가 약 2 Å 내지 약 5000 Å, 예를 들어 약 2 Å 내지 약 1000 Å, 예를 들어 약 20 Å 인 층이 각 사이클에서 형성될 수 있을 것이다. 각 사이클에서 증착 및 후처리가 동일한 챔버 내에서, 공통 이송 챔버를 공유하는 서로 상이한 챔버들내에서, 또는 공통 이송 챔버를 공유하지 않는 서로 상이한 챔버들 내에서 실시될 수 있을 것이다. 도 4는 단일 증착 및 UV 후-처리(즉, 단일 소크(soak)) 또는 증착 및 UV 후-처리의 다수의 사이클(즉, 소크 퍼지)에 의해서 얻어진 필름의 두께를 비교하여 도시한 그래프이다. 도 5는 단일 증착 및 UV 후-처리(즉, 단일 소크(soak)) 또는 다수 사이클의 증착 및 UV 후-처리(즉, 소크 퍼지)에 의해서 얻어진 필름 응력을 비교하여 도시한 그래프이다.
전술한 실시예에서 보론-보론 결합을 포함하는 네트워크가 열 분해 프로세스에 의해서 증착되었지만, 다른 실시예에서, 플라즈마 화학기상증착 프로세스와 같이 플라즈마의 존재하에서 보론-보론 결합을 포함하는 네트워크가 증착될 수도 있을 것이다. 챔버 내에 플라즈마가 존재하는 상태에서 보론-보론 결합을 포함하는 네트워크를 증착하는 경우에, 보론-함유 전구체가 챔버 내로 도입된다. He, H2, N2, Ar, 또는 Xe와 같은 희석 가스가 또한 챔버 내로 도입될 수 있을 것이다. 증착 동안에, 챔버 내의 기판 지지부의 온도가 약 100 ℃ 내지 약 1000 ℃, 예를 들어 약 300 ℃ 내지 약 500 ℃로 설정될 수 있고, 그리고 챔버 내의 압력이 약 10 mTorr 내지 약 760 Torr, 예를 들어, 약 2 Torr 내지 약 10 Torr가 될 수 있을 것이다. 플라즈마는 챔버의 샤워헤드 전극 및/또는 기판 지지부 전극으로 공급되는 RF 전력에 의해서 제공될 수 있을 것이다. RF 전력은 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 단일 저주파에서 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨로, 또는 약 1 MHz 보다 큰, 예를 들어 약 1 MHz 보다 크고 약 60 MHz 이하인, 예를 들어, 13.6 MHz인 단일 고주파에서 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨로 제공될 수 있을 것이다. 그 대신에, RF 전력은, 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨에서의 약 100 kHz 내지 약 1 MHz, 예를 들어, 약 300 kHz 내지 약 400 kHz의 제 1 주파수, 그리고 약 2 W 내지 약 5000 W, 예를 들어 약 30 W 내지 약 1000 W의 전력 레벨에서의 약 1 MHz 보다 큰, 예를 들어 약 1 MHz 보다 크고 약 60 MHz 이하인, 예를 들어, 13.6 MHz의 제 2 주파수를 포함하는 혼합된 주파수에서 제공될 수 있을 것이다.
보론-보론 결합을 포함하는 네트워크가 추가적인 네트워크 처리 없이도 희망하는 보론-함유 필름을 제공할 수 있을 것이다. 그 대신에, 보론-보론 결합을 포함하는 네트워크가 조성(composition)을 변화시키기 위해서 그리고 희망하는 보론-함유 필름을 형성하도록 후처리될 수 있을 것이다. 희망하는 보론-함유 필름은 약 -10 GPa 의 압축 및 약 10 GPa 의 인장 사이의 응력을 가진다.
후처리는 플라즈마 프로세스, 자외선(UV) 경화 프로세스, 열적 어닐링 프로셋, 및 이들의 임의 순서의 조합으로 이루어진 그룹으로부터 선택된다. 열 분해에 의해서 형성된 필름의 후처리를 위한 전술한 플라즈마, UV, 및 열적 어닐링 프로세스 조건들은 또한 플라즈마 프로세스에 의해서 형성된 필름의 후처리에 대해서도 이용될 수 있을 것이다.
열 분해 또는 플라즈마 프로세스에 의해서 보론-보론 결합을 포함하는 네트워크의 형성을 위해서 이용될 수 있는 보론-함유 전구체에는 디보란 (B2H6), 보라진 (B3N3H6), 및 디보란의 알킬-치환 유도체(alkyl-substituted derivatives of diborane)이 포함된다. 선택적으로, 보론-함유 전구체에 추가하여, 실리콘-함유 전구체, 탄소-함유 전구체, 및/또는 질소-함유 전구체와 같은 부가적인 전구체가 보론-보론 결합을 포함하는 네트워크를 증착하는데 이용되는 가스 혼합물에 포함될 수도 있을 것이다.
이용될 수 있는 질소-함유 전구체의 예에는 암모니아 (NH3), 히드라진 (N2H4)이 포함된다. 이용될 수 있는 실리콘-함유 전구체의 예에는 실란, 트리실리아민(trisilylamine; TSA), 트리메틸 실란 (TMS), 및 실라잔, 예를 들어 헥사메틸시클로트리실라잔 (HMCTZ)이 포함된다. 이용될 수 있는 탄소-함유 전구체에는 알칸, 알켄, 및 알킨과 같이 일반식이 CxHy 인 탄화수소 화합물이 포함된다.
일 측면에서, NH3 와 같은 부가적인 전구체가 가스 혼합물 내의 보론-함유 전구체와 반응할 수 있을 것이다. 다른 측면에서, 부가적인 전구체가 보론-함유 전구체에 의해서 형성되고 보론-보론 결합을 포함하는 비정질 네트워크 내에 포획될 수 있을 것이다. 그러한 포획된 부가적인 전구체가 후처리 중에 네트워크와 반응할 수 있을 것이다. 예를 들어, 네트워크 내에 포획된 탄화수소 부가 전구체가 네트워크와 반응하여 보론-탄소 결합을 형성할 수 있을 것이다. 그 대신에, 포획된 부가 전구체가 포로젠(porogen)으로서 작용할 수 있고 그리고 후처리에 의해서 네트워크로부터 실질적으로 제거될 수 있을 것이다. 응력은 처리 작업 중에 포로젠을 제거함으로써 그리고 형성 다공도(the formed porosity)의 감소에 의해서 증대될 수 있을 것이다. 다시 말해서, 포로젠의 실질적인 제거는 네트워크 내의 공극의 형성을 초래하고, 추가적인 처리 작업 동안에, 네트워크가 수축되어 공극을 제거하며, 결과적으로 열역학적으로 보다 바람직하고 응력이 증대된 감소된 표면적의 필름을 초래하게 될 것이다.
결과적인 보론-함유 필름의 화학적 조성 뿐만 아니라 유전체 특성과 같은 다른 특성을 변화시키기 위해서 부가적인 전구체를 선택할 수 있을 것이다. 예를 들어, 혼합물 내에서 질소-함유 전구체를 이용하여 보론 질화물 또는 도핑된 보론 질화물 필름을 형성할 수 있을 것이고, 혼합물 내에서 실리콘-함유 전구체를 이용하여 보론 실리콘 질화물 필름을 형성할 수 있을 것이며, 그리고 혼합물 내에서 탄소-함유 전구체를 이용하여 보론 탄화물 필름, 보론 탄소 질화물 필름, 또는 보론 탄소 실리콘 질화물 필름을 형성할 수 있을 것이다.
부가적인 전구체를 이용하여 증착되지 않은 본 명세서에 기재된 보론-함유 필름은 통상적으로 에너지 밴드 갭(Eg)이 약 4.0 eV 보다 큰 넓은 밴드-갭의 반도체이다. 보론-보론 결합을 포함하는 네트워크에 질소를 부가하는 것은 네트워크의 유전체 특성을 개선하는 것으로 관찰되었으며, 예를 들어 유전체 브레이크다운 전압을 높이고 그리고 보론 질화물 네트워크의 형성을 통해서 누설 전류를 감소시키는 것으로 관찰되었다. 바람직한 유전체 특성을 가지는 보론-함유 필름이 2007년 5월 23일자로 출원된 미국 가명세서 특허 출원 제 60/939,802 호에 추가로 설명되어 있으며, 그러한 가명세서 출원은 본원 명세서에 참조로서 포함된다. 보론-보론 결합을 포함하는 네트워크를 증착하는 동안에 또는 UV 경화, 플라즈마 처리, 또는 열적 어닐링과 같은 질소-함유 전구체의 존재를 포함하는 후처리 동안에 질소가 보론-함유 필름 내로 도입될 수 있을 것이다. 질소는 보론-함유 전구체에 부가하여 N2 또는 NH3 와 같은 질소-함유 전구체를 이용함으로써 또는 보라진과 같이 질소를 포함하는 보론-함유 전구체를 이용함으로써 보론-함유 필름 내로 도입될 수 있다.
본원 명세서에 기재된 보론-함유 필름은, 패터닝된 기판 상에 증착될 때, 통상적으로 80% 보다 큰 단차 피복을 나타낸다. 또한, 보론-함유 필름은 양호한 갭 충진 특성을 나타내며, 예를 들어 충진된 갭 내에 공극이나 시임(seam)이 형성되지 않는다.
보론-함유 필름 적용(applications)
본원 명세서에 기재된 보론-함유 필름은 하부 층을 보론으로 도핑하기 위한 보론 공급원(source) 층으로서 이용될 수 있을 것이다. 보론 공급원 층은 소정 층 내로 보론을 포함시키기 위해서 이온 주입 프로세스 대신에 이용될 수 있을 것이다. 예를 들어, 보론-함유 필름을 실리콘 층 상에 증착할 수 있고 이어서 보론을 실리콘 층 내로 도입하기 위해서 어닐링함으로써 실리콘 층 내에 얕은(shallow) 보론-도핑된 정크션을 형성할 수 있을 것이다. 보론-함유 필름은 원하는 양의 보론이 하부 층 내로 도입된 후에 제거될 수 있을 것이다.
본원 명세서에 기재된 보론-함유 필름은 또한 변형-유도(strain-inducing) 층으로서 이용될 수 있을 것이다. 예를 들어, 보론-함유 필름이 게이트 구조물 상에 증착되어 트랜지스터의 채널 영역 내에 변형을 유도할 수 있을 것이다. 변형-유도 보론-함유 필름은 하부의 라이너 및/또는 상부의 캡과 함께 이용될 수 있을 것이다. 라이너 및 캡 층들은 변형-유도 보론-함유 필름 보다 적은 보론 함량을 가진다. 보다 많은 보론 함량의 변형-유도 보론-함유 필름에 비해서 보다 양호한 절연 특성, 예를 들어 감소된 누설 전류를 제공함으로써, 라이너 및 캡 층들의 적은 보론 함량은 변형-유도 보론-함유 필름의 용도를 확장한다.
라이너 및 캡은 예를 들어 실리콘 질화물, 보론 질화물, 또는 보론 산화물 층들 일 수 있다. 라이너는 약 2 Å 내지 약 500 Å의 두께를 가질 수 있다. 보론 질화물 및 보론 산화물 층들이 보론-함유 필름을 형성하기 위한 것으로서 본원 명세서에 기재된 방법들 중 임의의 방법에 따라서 증착될 수 있을 것이나, 이때 보론-함유 필름에 비해서 보론 질화물 또는 산화물 층 내의 보론 농도를 낮게 할 수 있는 조건하에서 상기 보론 질화물 및 보론 산화물 층들은 증착된다는 점이 상이하다.
도 6a-6f는 라이너 층 및 캡 층 사이의 높은 인장 응력의 변형-유도 보론-함유 필름을 포함하는 집적 과정을 도시한 도면들이다. 도 6a는 기판(도시하지 않음) 상에 위치하는 트랜지스터 구조물(100)을 도시한다. 트랜지스터 구조물(100)은 게이트 스택(102)을 포함한다. 게이트 스택(102)은 게이트 유전체 층(104) 및 게이트 전극 층(106)을 포함한다. 하드 마스크 층(108)이 게이트 전극 층(106) 상에 형성된다. 스페이서(spacer; 110)가 게이트 유전체 층과 게이트 전극 층의 측벽들과 접촉한다. 게이트 스택(102)은 소오스 영역(112)과 드레인 영역(114) 사이에 위치한다. 구조물 내에 형성된 필드 아이솔레이션 영역(116)은 하나의 전도도 타입(one type of conductivity), 예를 들어 n-타입 (NMOS)의 웰(well; 118)을 다른 전도도 타입, 예를 들어 p-타입의 인접한 웰(도시하지 않음)로부터 격리시킨다.
라이너(120)가 구조물(100) 상에 증착되고, 보론-함유 필름(122)이 상기 라이너(120) 상에 증착된다. 이어서, 캡(124)이 보론-함유 필름 상에 증착된다. 라이너(120), 보론-함유 필름(122), 및 캡(124)은 본원 명세서에 기재된 각각의 라이너들, 보론-함유 필름들, 및 캡들 중 어떠한 것도 될 수 있을 것이다.
이어서, 프리메탈 유전체 층(PMD)(126)이 캡(124) 상에 증착되고 그리고 화학적 기계적 폴리싱(CMP)으로 처리된다. 이어서, 프리메탈 유전체 층(126)이 패터닝되고, 그리고 프리메탈 유전체 층(126), 캡(124), 보론-함유 필름(122), 및 라이너(120)가 에칭되어 게이트 스택(102)에 대한 콘택 비아(128)를 형성한다. 결과적인 구조물이 도 6b에 도시되어 있다.
콘택 비아(128)가 형성된 후에, 구조물은 두 가지 서로 상이한 프로세스 시퀀스 중 하나를 거치게 될 것이다. 하나의 시퀀스가 도 6c-6d에 도시되어 있으며, 다른 시퀀스가 도 6e-6f에 도시되어 있다. 도 6c-6d에 도시된 시퀀스에서, 도 6c에 도시된 바와 같이, 유전체 라이너(130)가 구조물 상에 증착된다. 유전체 층(130)은 예를 들어 실리콘 질화물 층, 보론 질화물 층, 또는 보론 산화물 층일 수 있다. 일반적으로, 유전체 라이너(130)는 브레이크다운 필드(breakdown field)가 약 3 MV/cm 보다 큰 임의의 다른 유전체 필름일 수 있을 것이다. 유전체 라이너의 두께는 약 10 Å 내지 약 100 Å일 수 있다. 유전체 라이너(130)는 콘택 에칭에 의해서 노출된 보론-함유 필름(122)의 영역들을 밀봉한다.
이어서, 유전체 라이너(130)가 콘택 비아의 바닥으로부터 에칭되고 그리고 텅스텐이 구조물 상에 증착되어 콘택 비아(128)를 충진한다. 유전체 라이너(130)는 통상적인 유전체 에칭 프로세스 또는 다른 에칭 프로세스에 의해서 에칭될 수 있을 것이다. 이어서, 텅스텐을 화학적 기계적으로 폴리싱하여 구조물 상의 과다한 텅스텐을 제거하고 콘택 비아 내에 형성된 텅스텐 플러그(132)의 상부 표면을 평탄화한다. 결과적인 구조물을 도 6d에 도시하였다.
도 6e-6f에 도시된 시퀀스에서, 콘택 에칭에 의해서 노출된 보론-함유 필름(122)의 영역들이 질화 또는 산화 프로세스에 의해서 처리되어 노출 영역 상에 유전체 표면(134)을 형성하며, 그러한 유전체 표면은 도 6e에 도시된 바와 같이 노출된 영역들을 밀봉한다. 질화 또는 산화 프로세스는 콘택 비아(128)의 형성을 위해서 프리메탈 유전체 층(126)을 패터닝하는데 이용될 수 있는 포토레지스트를 제거하는 동안에 또는 그 후에 실시될 수 있을 것이다. 질화 및 산화 프로세스는 플라즈마 프로세스, UV 경화 프로세스, 열적 어닐링 프로세스, 또는 이들의 조합이 될 수 있을 것이다. 질화 및 산화 프로세스에서도 플라즈마, UV 경화, 열적 어닐링 후처리에 대한 전술한 프로세스 조건들을 이용할 수 있을 것이다.
질화 프로세스는 질소를 필름 내로 포함시키기 위해서 보론-함유 필름을 질소-함유 전구체에 노출시키는 단계를 포함한다. 질소-함유 전구체는 예를 들어 질소 가스 (N2), 암모니아 (NH3), 또는 히드라진 (N2H4)이 될 수 있을 것이다. 질소-함유 전구체는 아르곤, 헬륨, 수소, 또는 크세논과 같은 희석 가스로 희석될 수 있을 것이다.
산화 프로세스는 산소를 필름 내로 포함시키기 위해서 보론-함유 필름을 산소-함유 전구체에 노출시키는 단계를 포함한다. 산소-함유 전구체는 예를 들어 산소 가스 (O2), 질소 산화물 (N2O) 또는 이산화탄소 (CO2)일 수 있을 것이다.
도 6e-6f를 다시 참조하면, 텅스텐이 구조물 상에 증착되어 콘택 비아(128)를 충진한다. 이어서, 텅스텐을 화학적 기계적으로 폴리싱하여 구조물 상의 과다 텅스텐을 제거하고 콘택 비아 내에 형성된 텅스텐 플러그(132)의 상부 표면을 평탄화한다. 결과적인 구조물이 도 6f에 도시되어 있다.
이상의 내용이 본원 발명의 실시예들에 관한 것이지만, 본원 발명의 다른 또는 추가적인 실시예들도 본원 발명의 기본적인 범위 내에서 가능할 것이며, 본원 발명의 범위는 특허청구범위에 의해서 결정될 것이다.

Claims (15)

  1. 보론-함유 필름을 형성하는 방법으로서:
    보론-함유 전구체를 챔버 내로 도입하는 단계;
    플라즈마 부재하에서의 열 분해 프로세스에 의해서 상기 보론-함유 전구체로부터 상기 챔버 내의 기판 상에서 보론-보론 결합을 포함하는 네트워크를 증착하는 단계; 그리고
    상기 보론-보론 결합을 포함하는 네트워크로부터 수소를 제거하기 위해서 상기 네트워크를 후처리하는 단계; 를 포함하며,
    상기 후처리된 네트워크는 응력이 약 -10 GPa 내지 약 10 GPa인 보론-함유 필름을 형성하는
    보론-함유 필름 형성 방법.
  2. 제 1 항에 있어서,
    상기 후처리하는 단계가 플라즈마 프로세스, UV 경화 프로세스, 열적 어닐링 프로세스, 및 이들의 조합으로 이루어진 그룹으로부터 선택되는 처리를 포함하는
    보론-함유 필름 형성 방법.
  3. 제 1 항에 있어서,
    하부 층을 보론으로 도핑하기 위해서 상기 보론-함유 필름을 이용하는 단계를 더 포함하는
    보론-함유 필름 형성 방법.
  4. 제 1 항에 있어서,
    상기 보론-보론 결합을 포함하는 네트워크가 약 3 내지 약 50 원자% 수소를 포함하는
    보론-함유 필름 형성 방법.
  5. 제 1 항에 있어서,
    상기 보론-함유 필름의 조성을 변화시키기 위해서 챔버 내로 부가적인 전구체를 도입하는 단계를 더 포함하고,
    상기 부가적인 전구체가 실리콘-함유 전구체, 탄소-함유 전구체, 질소-함유 전구체, 및 이들이 조합으로 이루어진 그룹으로부터 선택되는
    보론-함유 필름 형성 방법.
  6. 제 5 항에 있어서,
    상기 부가적인 전구체가 포로젠(porogen)으로서 작용하고 그리고 후처리에 의해서 상기 네트워크로부터 실질적으로 제거되며, 상기 후처리에 의해서 상기 네트워크로부터 포로젠을 제거하는 것은 상기 보론-함유 필름 내의 인장 응력 증대를 유도하는
    보론-함유 필름 형성 방법.
  7. 제 5 항에 있어서,
    상기 부가적인 전구체는 질소를 상기 네트워크에 부가하는 질소-함유 전구체인
    보론-함유 필름 형성 방법.
  8. 보론-함유 필름을 형성하는 방법으로서:
    보론-함유 전구체를 챔버 내로 도입하는 단계; 및
    플라즈마 존재하에서 상기 보론-함유 전구체로부터 상기 챔버 내의 기판 상에서 보론-보론 결합을 포함하는 네트워크를 증착하는 단계; 를 포함하고,
    상기 네트워크는 응력이 약 -10 GPa 내지 약 10 GPa인 보론-함유 필름을 형성하는
    보론-함유 필름 형성 방법.
  9. 제 8 항에 있어서,
    플라즈마 프로세스, UV 경화 프로세스, 열적 어닐링 프로세스, 및 이들의 조합으로 이루어진 그룹으로부터 선택되는 처리를 이용하여 보론-보론 결합을 포함하는 네트워크를 후처리하는 단계를 더 포함하는
    보론-함유 필름 형성 방법.
  10. 제 8 항에 있어서,
    상기 보론-함유 필름의 조성을 변화시키기 위해서 챔버 내로 부가적인 전구체를 도입하는 단계를 더 포함하고,
    상기 부가적인 전구체가 실리콘-함유 전구체, 탄소-함유 전구체, 질소-함유 전구체, 및 이들이 조합으로 이루어진 그룹으로부터 선택되는
    보론-함유 필름 형성 방법.
  11. 기판을 프로세싱 하는 방법으로서:
    기판 상의 트랜지스터 구조물 상에 보론-함유 필름을 증착하는 단계; 그리고 이어서
    프리메탈 유전체 층을 증착하는 단계;
    상기 프리메탈 유전체 층 및 상기 보론-함유 필름을 에칭하여 트랜지스터 구조물의 게이트 스택으로의 콘택 비아를 형성하는 에칭 단계; 그리고
    상기 에칭 단계에 의해서 노출된 보론-함유 필름의 영역들을 밀봉하는 단계; 를 포함하는
    기판 프로세싱 방법.
  12. 제 11 항에 있어서,
    프리메탈 유전체 층을 증착하는 단계에 앞서서 상기 보론-함유 필름 상에 유전체 라이너 캡을 증착하는 단계를 더 포함하는
    기판 프로세싱 방법.
  13. 제 11 항에 있어서,
    상기 보론-함유 필름의 영역들을 밀봉하는 단계가 질화 또는 산화 프로세스로 상기 영역들을 처리하는 단계를 포함하는
    기판 프로세싱 방법.
  14. 제 11 항에 있어서,
    상기 보론-함유 필름을 증착하는 단계가:
    보론-함유 전구체를 챔버 내로 도입하는 단계;
    플라즈마 부재하에서의 열 분해 프로세스에 의해서 상기 보론-함유 전구체로부터 상기 챔버 내의 기판 상에서 보론-보론 결합을 포함하는 네트워크를 증착하는 단계; 그리고
    상기 보론-보론 결합을 포함하는 네트워크로부터 수소를 제거하기 위해서 상기 네트워크를 후처리하는 단계; 를 포함하며,
    상기 후처리된 네트워크는 응력이 약 -10 GPa 내지 약 10 GPa인 보론-함유 필름을 형성하는
    기판 프로세싱 방법.
  15. 제 11 항에 있어서,
    상기 보론-함유 필름을 증착하는 단계가:
    보론-함유 전구체를 챔버 내로 도입하는 단계; 및
    플라즈마 존재 또는 부재하에서의 열 분해 프로세스에 의해서 상기 보론-함유 전구체로부터 상기 챔버 내의 기판 상에서 보론-보론 결합을 포함하는 네트워크를 증착하는 단계; 를 포함하는
    기판 프로세싱 방법.
KR1020107003021A 2007-07-13 2008-07-03 보론 유도 물질 증착 방법 KR20100042644A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94979607P 2007-07-13 2007-07-13
US60/949,796 2007-07-13

Publications (1)

Publication Number Publication Date
KR20100042644A true KR20100042644A (ko) 2010-04-26

Family

ID=40253517

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107003021A KR20100042644A (ko) 2007-07-13 2008-07-03 보론 유도 물질 증착 방법

Country Status (5)

Country Link
US (1) US7704816B2 (ko)
KR (1) KR20100042644A (ko)
CN (1) CN101743631B (ko)
TW (1) TWI363385B (ko)
WO (1) WO2009012067A1 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2008117431A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置および半導体装置の製造方法
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US7998881B1 (en) * 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US8557685B2 (en) * 2008-08-07 2013-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US20100108976A1 (en) * 2008-10-30 2010-05-06 Sandisk 3D Llc Electronic devices including carbon-based films, and methods of forming such devices
KR101077157B1 (ko) 2009-04-03 2011-10-27 주식회사 하이닉스반도체 상변화 기억 소자의 제조방법 및 이를 이용한 반도체 소자의 제조방법
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
CN103383922A (zh) * 2012-05-03 2013-11-06 中芯国际集成电路制造(上海)有限公司 一种低k介质阻挡层及其形成方法
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
WO2017099701A1 (en) * 2015-12-07 2017-06-15 Intel Corporation Gapfill of etch resistant boron carbide
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
JP7191023B2 (ja) * 2016-12-22 2022-12-16 アプライド マテリアルズ インコーポレイテッド 下位構造材料に直接rf曝露しない共形の気密性誘電体封入のためのsibn膜
EP3685443A4 (en) * 2017-09-18 2021-04-21 INTEL Corporation STRESS THIN LAYER TRANSISTORS
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11018139B2 (en) * 2019-08-13 2021-05-25 Micron Technology, Inc. Integrated transistors and methods of forming integrated transistors
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
US11935751B2 (en) * 2021-05-25 2024-03-19 Applied Materials, Inc. Boron nitride for mask patterning

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558908A (en) * 1994-11-07 1996-09-24 Lanxide Technology Company, Lp Protective compositions and methods of making same
US5994762A (en) * 1996-07-26 1999-11-30 Hitachi, Ltd. Semiconductor integrated circuit device including boron-doped phospho silicate glass layer and manufacturing method thereof
US5837607A (en) * 1996-12-05 1998-11-17 Quick; Nathaniel R. Method of making a laser synthesized ceramic electronic devices and circuits
KR100268895B1 (ko) * 1997-12-27 2000-10-16 김영환 박막트랜지스터 및 이의 제조방법
US6228731B1 (en) * 1999-08-16 2001-05-08 Taiwan Semiconductor Manufacturing Company Re-etched spacer process for a self-aligned structure
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation

Also Published As

Publication number Publication date
CN101743631A (zh) 2010-06-16
TWI363385B (en) 2012-05-01
US7704816B2 (en) 2010-04-27
TW200915427A (en) 2009-04-01
WO2009012067A1 (en) 2009-01-22
US20090017640A1 (en) 2009-01-15
CN101743631B (zh) 2012-12-26

Similar Documents

Publication Publication Date Title
US7704816B2 (en) Boron derived materials deposition method
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
KR101081632B1 (ko) 질소 플라즈마 인-시튜 처리 및 엑스-시튜 uv 경화를 이용하여 실리콘 질화물 인장 스트레스를 증가시키는 방법
KR101274960B1 (ko) 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
KR101907802B1 (ko) 하드마스크 물질
KR101201402B1 (ko) 포스트 pecvd 증착 uv 경화를 이용하여 실리콘 질화물 막들의 인장 스트레스를 증가시키는 방법
KR101161098B1 (ko) 낮은 에칭 레이트 유전체 라이너들을 이용한 갭충진 향상
KR100950623B1 (ko) Pecvd 실리콘 질화물 막들의 압축 스트레스를증가시키는 방법
KR100914570B1 (ko) 스트레스가 가해진 트랜지스터 구조물을 제조하는 집적화프로세스
US8586487B2 (en) Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US10041167B2 (en) Cyclic sequential processes for forming high quality thin films
CN110776639B (zh) 用于形成热稳定有机硅聚合物膜的方法
TW202113128A (zh) 以高能量低劑量電漿後處理氮化矽基的介電膜之方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application