KR20090001196A - Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same - Google Patents

Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same Download PDF

Info

Publication number
KR20090001196A
KR20090001196A KR1020070065407A KR20070065407A KR20090001196A KR 20090001196 A KR20090001196 A KR 20090001196A KR 1020070065407 A KR1020070065407 A KR 1020070065407A KR 20070065407 A KR20070065407 A KR 20070065407A KR 20090001196 A KR20090001196 A KR 20090001196A
Authority
KR
South Korea
Prior art keywords
amorphous carbon
film
carbon film
forming
hard mask
Prior art date
Application number
KR1020070065407A
Other languages
Korean (ko)
Other versions
KR100881397B1 (en
Inventor
안현주
이종민
김찬배
정채오
이효석
민성규
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020070065407A priority Critical patent/KR100881397B1/en
Publication of KR20090001196A publication Critical patent/KR20090001196A/en
Application granted granted Critical
Publication of KR100881397B1 publication Critical patent/KR100881397B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

An amorphous carbon layer formation method and a method for forming the pattern of the semiconductor device using the same method are provided to prevent the lifting phenomenon of the hard mask in a manufacturing process of the multi-layered hard mask applying the amorphous carbon layer. A first amorphous carbon layer is formed on the top of the semiconductor substrate. The second amorphous carbon layer in which the silicon is contained is formed on the top of the first amorphous carbon layer. The first amorphous carbon layer is formed of one of C3H6, C5H8 and C2H2 as a source gas. The second amorphous carbon layer is formed of one of SiH4, C3H6, C5H8, and C2H2 as a source gas. A first amorphous carbon layer(204) is formed on the top of the semiconductor substrate(200) having an etch target layer(202). A hard mask(208) is formed by forming a second amorphous carbon layer(206) in which the silicon is contained is formed on the top of the first amorphous carbon layer.

Description

비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의 패턴 형성방법{METHOD FOR FORMING AMORPHOUS CARBON LAYER AND METHOD FOR MANUFACTURING PATTERN OF SEMICONDUCTOR DEVICE USING THE SAME}Amorphous carbon film formation method and pattern formation method of semiconductor device using the same {METHOD FOR FORMING AMORPHOUS CARBON LAYER AND METHOD FOR MANUFACTURING PATTERN OF SEMICONDUCTOR DEVICE USING THE SAME}

도 1은 종래의 문제점을 도시한 사진.1 is a photograph showing a conventional problem.

도 2a 내지 도 2i는 본 발명의 실시예에 따른 비정질 카본막 및 이를 이용한 반도체 소자의 패턴 형성방법을 설명하기 위한 공정별 단면도.2A to 2I are cross-sectional views illustrating processes of forming an amorphous carbon film and a method of forming a semiconductor device using the same according to an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

200 : 반도체 기판 202 : 식각대상층200: semiconductor substrate 202: etching target layer

204 : 제1비정질 카본막 206 : 제2비정질 카본막204: first amorphous carbon film 206: second amorphous carbon film

208 : 하드마스크 210 : 제1반사방지막208: hard mask 210: first antireflection film

212 : 희생막 214 : 제2반사방지막212: sacrificial film 214: second antireflection film

216 : 감광막패턴 218 : 스페이서216 photosensitive film pattern 218 spacer

본 발명은 비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의 패턴 형성방법에 관한 것으로, 보다 자세하게는, 하드마스크로 사용되는 비정질 카본막의 접착력을 향상시킬 수 있는 비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의 패턴 형성방법에 관한 것이다.The present invention relates to a method of forming an amorphous carbon film and a method of forming a pattern of a semiconductor device using the same, and more particularly, to a method of forming an amorphous carbon film capable of improving the adhesion of an amorphous carbon film used as a hard mask and a semiconductor device using the same. It relates to a pattern forming method.

반도체 소자를 제조함에 있어서, 콘택홀을 포함한 각종 패턴들은 포토리소그라피(Photolithography) 공정을 통해 형성된다. 이러한 포토리소그라피 공정은, 주지된 바와 같이, 감광막 패턴을 형성하는 공정과 상기 감광막 패턴을 마스크로해서 피식각층을 식각하는 공정을 포함한다.In manufacturing a semiconductor device, various patterns including contact holes are formed through a photolithography process. As is well known, such photolithography step includes a step of forming a photoresist pattern and a step of etching the etching target layer using the photoresist pattern as a mask.

또한, 상기 감광막 패턴을 형성하는 공정은 피식각층 상에 감광막을 도포하는 공정과, 특정 노광 마스크를 이용하여 상기 감광막을 선택적으로 노광하는 공정 및 소정의 화학용액으로 노광되거나 또는 노광되지 않은 감광막 부분을 제거하는 현상 공정으로 구성된다. The process of forming the photoresist pattern may include applying a photoresist on the etched layer, selectively exposing the photoresist using a specific exposure mask, and a portion of the photoresist exposed or unexposed with a predetermined chemical solution. It consists of a developing process to remove.

한편, 반도체 소자의 집적도가 증가됨에 따라 패턴 크기의 축소가 수반되고 있는 실정에서, 상기 포토리소그라피 공정에 대한 기술 개발도 활발하게 진행되고 있다. Meanwhile, as the degree of integration of semiconductor devices increases, the size of the pattern is accompanied by a decrease in the size of the pattern. Accordingly, the technology for the photolithography process is actively being developed.

여기서, 현재의 미세 패턴 형성 기술은 노광장치에서 사용되는 광원을 짧은 파장의 것을 선택하는 방법으로 진행되어 왔다. 예를들면, 기존의 노광장치는 광원으로서 G-line(λ=435㎚) 또는 I-line(λ=365㎚)을 주로 사용하여 왔으나, 이러한 광원들은 분해능 한계로 인해 고집적 소자에서 요구되는 미세 선폭의 패턴을 형성하기가 곤란하게 되었다.Here, the current fine pattern formation technique has been advanced by a method of selecting a short wavelength as the light source used in the exposure apparatus. For example, conventional exposure apparatuses have mainly used G-line (λ = 435 nm) or I-line (λ = 365 nm) as light sources, but these light sources have a fine line width that is required in high-density devices due to resolution limitations. It became difficult to form the pattern of.

그래서, 최근에는 상기 광원들보다 더 짧은 파장을 갖는 KrF(λ=248㎚) 또는 ArF(λ=193㎚) 등을 노광장치의 광원으로 이용하게 되었으며, 더 나아가, 전자빔, 이온빔 및 X-ray와 같은 비광학적 광원도 이용하게 되었다. Therefore, recently, KrF (λ = 248 nm) or ArF (λ = 193 nm) or the like having a shorter wavelength than the light sources has been used as a light source of an exposure apparatus, and furthermore, electron beams, ion beams and X-rays The same non-optical light source was used.

그러나, 상기한 방법은 그 이용이 용이하다는 잇점은 있지만, 장비에 소요되는 투자 비용이 매우 크므로, 실질적으로 그 적용에는 어려움이 있다. However, the above-mentioned method has the advantage of ease of use, but the investment cost for the equipment is very large, so that its practical application is difficult.

한편, 반도체 소자가 점점 고집적화되면서, 상기한 방법 이외에, 40㎚급의 미세 패터닝을 구현하기 위해 하드마스크인 비정질 카본막 상에 질화막 또는 폴리실리콘막과 같은 막을 추가로 적층하여 마스크 및 식각 공정을 수행하고, 상기 비정질 카본막이 제거되는 공정과 같은 미세 패터닝 방법이 사용되고 있다.On the other hand, as semiconductor devices are increasingly integrated, in addition to the above-described method, a mask or an etching process is further performed by further layering a film such as a nitride film or a polysilicon film on an amorphous carbon film, which is a hard mask, in order to realize 40 nm-class fine patterning. In addition, a fine patterning method such as a step of removing the amorphous carbon film is used.

여기서, 상기 비정질 카본막은, 세 개의 혼성궤도 함수로 평면상에서 세 개의 다른 탄소와 시그마 결합을 하여 육각형 판상 구조를 형성하고, 나머지 p 궤도함수는 다른 원자의 p 궤도함수와 파이 결합의 약한 결합을 갖는 sp2 그래파이트 구조와 유사한 구조를 갖는 막질이다.Here, the amorphous carbon film has three sigma bonds with three other carbons in a plane as a hybrid orbital function to form a hexagonal plate structure, and the remaining p orbitals have weak bonds of p orbitals and pi bonds of other atoms. sp 2 is a membrane having a structure similar to the graphite structure.

따라서, 상기와 같은 약한 파이 결합은 막 간의 접착력을 취약하게 하여 상기 질화막 및 폴리실리콘막을 적층시키고, 후속 공정에서 장시간 열을 받게 되면 접착력이 약한 상기 비정질 카본막에서 도 1에 도시된 바와 같이 리프팅(Lifting) 현상이 발생하게 된다.Therefore, the weak pie bonds weaken the adhesion between the films, thereby stacking the nitride film and the polysilicon film, and when the heat is applied for a long time in a subsequent process, the lifting is performed as shown in FIG. Lifting phenomenon occurs.

그 결과, 상기와 같은 비정질 카본막을 적용한 다층 하드마스크를 이용한 반도체 소자의 미세 패터닝 공정 수행시, 그의 공정을 용이하게 수행하는데 어려움이 발생하게 된다.As a result, when performing a fine patterning process of a semiconductor device using a multi-layer hard mask to which the amorphous carbon film is applied, it is difficult to easily perform the process.

본 발명은, 비정질 카본막을 적용한 다층 하드마스크의 형성시, 상기 하드마스크의 리프팅(Lifting) 현상을 방지할 수 있는 비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의 패턴 형성방법을 제공한다.The present invention provides a method of forming an amorphous carbon film capable of preventing a lifting phenomenon of the hard mask when forming a multilayer hard mask to which an amorphous carbon film is applied, and a method of forming a pattern of a semiconductor device using the same.

또한, 본 발명은 비정질 카본막을 적용한 다층 하드마스크의 형성시, 상기와 같이 하드마스크의 리프팅 현상을 방지하여 미세 패턴 형성공정을 용이하게 수행할 수 있는 비정질 카본막의 형성방법 및 이를 이용한 반도체 소자의 패턴 형성방법을 제공한다.In addition, the present invention, when forming a multi-layer hard mask to which an amorphous carbon film is applied, an amorphous carbon film forming method that can easily perform a fine pattern forming process by preventing the lifting of the hard mask as described above and a pattern of a semiconductor device using the same It provides a formation method.

본 발명에 따른 비정질 카본막 형성방법은, 접착력을 향상시키기 위한 비정질 카본막 형성방법에 있어서, 반도체 기판 상부에 제1비정질 카본막을 형성하는 단계; 및 상기 제1비정질 카본막 상에 실리콘이 함유된 제2비정질 카본막을 형성하는 단계;를 포함한다.In accordance with another aspect of the present invention, there is provided a method of forming an amorphous carbon film, the method comprising: forming a first amorphous carbon film on an upper surface of a semiconductor substrate; And forming a second amorphous carbon film containing silicon on the first amorphous carbon film.

상기 제1비정질 카본막은 C3H6, C5H8 및 C2H2 중 어느 하나의 가스를 소오스로 이용하여 형성한다.The first amorphous carbon film is formed using a gas of any one of C 3 H 6 , C 5 H 8, and C 2 H 2 as a source.

상기 제2비정질 카본막은 SiH4 및 C3H6, C5H8 및 C2H2 중 어느 하나의 가스의 혼합 가스를 소오스로 이용하여 형성한다.The second amorphous carbon film is formed using a mixed gas of any one of SiH 4 and C 3 H 6 , C 5 H 8 and C 2 H 2 as a source.

또한, 본 발명에 따른 비정질 카본막의 형성방법을 이용한 반도체 소자의 패턴 형성방법은, 식각대상층을 포함한 반도체 기판 상에 제1비정질 카본막을 형성하는 단계; 상기 제1비정질 카본막 상에 실리콘이 함유된 제2비정질 카본막을 형성하 여 상기 제1비정질 카본막 및 제2비정질 카본막의 적층막으로 이루어진 하드마스크를 형성하는 단계; 및 상기 하드마스크를 식각마스크로 이용하여 식각대상층을 식각하는 단계;를 포함한다.In addition, a method of forming a pattern of a semiconductor device using the method of forming an amorphous carbon film according to the present invention may include forming a first amorphous carbon film on a semiconductor substrate including an etching target layer; Forming a second amorphous carbon film containing silicon on the first amorphous carbon film to form a hard mask including a laminated film of the first amorphous carbon film and the second amorphous carbon film; And etching the etching target layer by using the hard mask as an etching mask.

상기 제1비정질 카본막은 C3H6, C5H8 및 C2H2 중 어느 하나의 가스를 소오스로 이용하여 형성한다.The first amorphous carbon film is formed using a gas of any one of C 3 H 6 , C 5 H 8, and C 2 H 2 as a source.

상기 제2비정질 카본막은 SiH4 및 C3H6, C5H8 및 C2H2 중 어느 하나의 가스의 혼합 가스를 소오스로 이용하여 형성한다.The second amorphous carbon film is formed using a mixed gas of any one of SiH 4 and C 3 H 6 , C 5 H 8 and C 2 H 2 as a source.

상기 하드마스크를 식각마스크로 이용하여 식각대상층을 식각하는 단계는, 상기 하드마스크 상에 제1반사방지막, 희생막 및 제2반사방지막을 차례로 형성하는 단계; 상기 제2반사방지막 및 희생막을 일정한 패턴을 갖도록 상기 제1반사방지막이 노출될때까지 제거하는 단계; 상기 제2반사방지막을 제거하는 단계; 상기 희생막 양 측면에 스페이서를 형성하는 단계; 상기 희생막을 제거하는 단계; 상기 희생막이 제거된 상기 제1반사방지막 상에 잔류한 스페이서를 마스크패턴으로 이용하여 제1반사방지막 및 하드마스크를 식각하는 단계; 상기 스페이서 및 제1반사방지막을 제거하는 단계; 상기 하드마스크를 마스크패턴으로 이용하여 식각대상층을 식각하는 단계; 및 상기 하드마스크를 제거하는 단계;를 더 포함한다.Etching the etching target layer using the hard mask as an etching mask may include: sequentially forming a first antireflection film, a sacrificial film, and a second antireflection film on the hard mask; Removing the second anti-reflection film and the sacrificial film until the first anti-reflection film is exposed to have a predetermined pattern; Removing the second anti-reflection film; Forming spacers on both sides of the sacrificial layer; Removing the sacrificial layer; Etching the first anti-reflection film and the hard mask using the spacers remaining on the first anti-reflection film from which the sacrificial film is removed as a mask pattern; Removing the spacer and the first anti-reflection film; Etching the etching target layer using the hard mask as a mask pattern; And removing the hard mask.

상기 희생막은 비정질 카본막, 질화막 또는 폴리실리콘 중에 어느 하나의 막 또는 적어도 두 개 이상의 적층막으로 형성한다.The sacrificial film is formed of any one of an amorphous carbon film, a nitride film, or polysilicon, or at least two or more laminated films.

상기 스페이서는 LP-Nit 또는 ALD-Oxide 방식으로 형성한다.The spacer is formed by LP-Nit or ALD-Oxide method.

(실시예)(Example)

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세하게 설명하도록 한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

본 발명은, 하드마스크로 비정질 카본막을 적용하는 40nm 급 이하의 반도체 소자의 미세 패턴 형성방법에 있어서, 상기 하드마스크를 제1비정질 카본막 및 실리콘이 함유된, 즉, SiH4가 함유된 제2비정질 카본막의 적층막으로 형성한다.The present invention relates to a method for forming a fine pattern of a semiconductor device of 40 nm or less, in which an amorphous carbon film is applied as a hard mask, wherein the hard mask includes a first amorphous carbon film and silicon, that is, a second containing SiH 4. It is formed of a laminated film of an amorphous carbon film.

이렇게 하면, 종래의 C-C/C=C/C=O/CHx 결합 구조를 갖는 비정질 카본막과 달리, 상기와 같이 하드마스크로서 제1비정질 카본막 및 실리콘이 함유된 제2비정질 카본막의 2번의 공정으로 나누어 형성하여, C-C/C=C/C=O/Si-C/CHx 결합을 형성함으로써, 상대적으로 강한 시그마 결합을 갖는 다이아몬드와 유사한 구조를 형성하기 때문에, 상기 비정질 카본막의 접착력을 향상시킬 수 있다.In this way, unlike the conventional amorphous carbon film having the CC / C = C / C = O / CH x bonding structure, the second amorphous carbon film containing the first amorphous carbon film and silicon as the hard mask as described above Formed by dividing the process, by forming a CC / C = C / C = O / Si-C / CH x bond to form a diamond-like structure having a relatively strong sigma bond, thereby improving the adhesion of the amorphous carbon film You can.

따라서, 상기 비정질 카본막 상에 형성되는 다층의 식각마스크와의 접착력을 향상시킬 수 있으므로, 비정질 카본막 상의 리프팅 현상을 방지할 수 있어, 그래서, 후속 공정에서의 안정성을 확보할 수 있다.Therefore, since the adhesion to the multilayer etching mask formed on the amorphous carbon film can be improved, the lifting phenomenon on the amorphous carbon film can be prevented, so that the stability in the subsequent process can be ensured.

또한, 상기와 같이 실리콘이 함유된, 즉, SiH4가 함유된 비정질 카본막은 산소 또는 질소와의 Si~O/Si~N 결합 구조를 형성함으로써, 종래 비정질 카본막에서의 C~O/C~N 결합보다 결합 에너지가 우수하므로, 결합 에너지 측면에서도 그 특성을 향상시킬 수 있어, 후속 공정에서의 안정성을 더욱 확보할 수 있다.In addition, as described above, the amorphous carbon film containing silicon, that is, SiH 4 , forms a Si-O / Si-N bond structure with oxygen or nitrogen, whereby C-O / C- in the conventional amorphous carbon film is formed. Since the binding energy is superior to that of the N bond, the properties can be improved in terms of the binding energy, thereby further securing stability in subsequent processes.

자세하게, 도 2a 내지 도 2i는 본 발명의 실시예에 따른 비정질 카본막의 형 성방법 및 이를 이용한 반도체 소자의 패턴 형성방법을 설명하기 위한 공정별 단면도로서, 이를 설명하면 다음과 같다.2A to 2I are cross-sectional views illustrating processes for forming an amorphous carbon film and a method for forming a pattern of a semiconductor device using the same according to an embodiment of the present invention.

도 2a를 참조하면, 식각대상층(202)을 포함한 반도체 기판(200) 상에 제1비정질 카본막(204)을 형성한다. 상기 제1비정질 카본막(204)은 CxHy 가스를 소오스로 이용하여 형성하며, 이때, 상기 CxHy 가스와 상기 제1비정질 카본막(204)이 반응하여 C-C/C=C/C=O/CHx 결합 구조를 형성한다. Referring to FIG. 2A, a first amorphous carbon film 204 is formed on the semiconductor substrate 200 including the etching target layer 202. The first amorphous carbon film 204 is formed using a C x H y gas as a source. At this time, the C x H y gas and the first amorphous carbon film 204 react to form CC / C = C / C = O / CH x To form a bonding structure.

상기 CxHy가스는 C3H6, C5H8 및 C2H2 중 어느 하나의 가스로 형성한다.The C x H y gas is formed of any one of C 3 H 6 , C 5 H 8, and C 2 H 2 .

도 2b를 참조하면, 상기 제1비정질 카본막(204) 상에 제2비정질 카본막(206)을 얇게 형성하여 상기 제1비정질 카본막(204) 및 제2비정질 카본막(206)의 적층막으로 이루어진 하드마스크(208)를 형성한다. 여기서, 상기 제2비정질 카본막(206)은 SiH4 및 CxHy의 혼합 가스를 소오스로 이용하여 형성하며, 상기 SiH4 및 C3H6, C5H8 및 C2H2 중 어느 하나의 혼합 가스와 상기 제2비정질 카본막(206)이 반응하여 C-C/C=C/C=O/Si-C/CHx 결합 구조를 형성한다.Referring to FIG. 2B, a second amorphous carbon film 206 is thinly formed on the first amorphous carbon film 204 to form a laminate film of the first amorphous carbon film 204 and the second amorphous carbon film 206. A hard mask 208 is formed. Here, the second amorphous carbon film 206 is formed using a mixed gas of SiH 4 and C x H y as a source, and any of SiH 4 and C 3 H 6 , C 5 H 8, and C 2 H 2 One mixed gas and the second amorphous carbon film 206 react to form a CC / C = C / C = O / Si-C / CH x coupling structure.

이 경우, 상기 비정질 카본막은, 상기와 같이 SiH4 및 CxHy의 혼합 가스에 의해 실리콘이 막 내에 함유될 경우, 실리콘 원자의 최 외각 전자수가 카본과 같기 때문에 카본과 함께 sp3 결합을 형성하여 상대적으로 C=C 결합의 sp2 결합 비율은 Si-C sp3 결합으로 대체되므로, 그래서, 비정질 카본막의 sp3 결합 비율을 증가시키 게 되어, 상기와 같이 C-C/C=C/C=O/Si-C/CHx 결합 구조를 형성하게 된다.In this case, when the silicon is contained in the film by the mixed gas of SiH 4 and C x H y as described above, the amorphous carbon film has sp 3 together with carbon because the outermost electron number of the silicon atoms is the same as carbon. Since the sp 2 bond ratio of the C = C bond is relatively replaced by the Si—C sp 3 bond to form a bond, the sp 3 bond ratio of the amorphous carbon film is increased, so that CC / C = C / A C = O / Si-C / CH x bond structure is formed.

따라서, 비정질 카본막은 상기와 같이 C-C/C=C/C=O/Si-C/CHx 결합 구조로 4개의 혼성 궤도 함수를 가지며, 각각 4개의 다른 원자와 시그마 결합의 강한 결합 구조를 갖는 다이아몬드와 유사한 구조를 형성함으로써, 그에 따라, 상기 비정질 카본막을 하드마스크로서의 접착력을 향상시킬 수 있다.Thus, the amorphous carbon film has four hybrid orbital functions in the CC / C = C / C = O / Si-C / CH x bond structure as described above, and each diamond has a strong bond structure of four different atoms and sigma bonds. By forming a structure similar to that, the adhesion of the amorphous carbon film as a hard mask can be improved accordingly.

도 2c를 참조하면, 상기 제1비정질 카본막(204) 및 제2비정질 카본막(206)의 적층막으로 이루어진 하드마스크(208) 상에 SiON과 같은 물질로 이루어진 제1반사방지막(210)을 형성하고, 상기 제1반사방지막(210) 상에 희생막(212)을 형성한다.Referring to FIG. 2C, a first anti-reflection film 210 made of a material such as SiON is formed on a hard mask 208 formed of a laminated film of the first amorphous carbon film 204 and the second amorphous carbon film 206. The sacrificial layer 212 is formed on the first antireflection layer 210.

여기서, 상기 희생막(212)은 비정질 카본막, 질화막 또는 폴리실리콘 중에 어느 하나의 막 또는 적어도 두 개 이상의 적층막으로 형성하는 것이 바람직하다.Here, the sacrificial film 212 is preferably formed of any one film or at least two or more laminated films of an amorphous carbon film, a nitride film or polysilicon.

도 2d를 참조하면, 상기 희생막(212) 상에 제2반사방지막(214)을 형성하고, 그런다음, 상기 제2반사방지막(214) 상에 상기 제2반사방지막(214) 및 희생막(212)을 패터닝하기 위한 감광막패턴(216)을 형성한다. Referring to FIG. 2D, a second anti-reflection film 214 is formed on the sacrificial film 212, and then the second anti-reflection film 214 and the sacrificial film (214) are formed on the second anti-reflection film 214. A photosensitive film pattern 216 for patterning 212 is formed.

도 2e를 참조하면, 상기 감광막패턴(216)을 마스크패턴으로 이용하여 상기 제2반사방지막(214) 및 희생막(212)을 상기 제1반사방지막(210)이 노출될때까지 식각한다.Referring to FIG. 2E, the second anti-reflection film 214 and the sacrificial film 212 are etched using the photoresist pattern 216 as a mask pattern until the first anti-reflection film 210 is exposed.

도 2f를 참조하면, 상기 제1반사방지막(210) 상에 형성된 감광막패턴(216) 및 제1반사방지막(210)을 제거하고, 상기 희생막(212) 양 측벽에 스페이서(218)를 형성한다. 상기 스페이서(218)는 LP-Nit 또는 ALD-Oxide 방식으로 형성하는 것이 바람직하다.Referring to FIG. 2F, the photoresist pattern 216 and the first anti-reflection film 210 formed on the first anti-reflection film 210 are removed, and spacers 218 are formed on both sidewalls of the sacrificial film 212. . The spacer 218 is preferably formed by LP-Nit or ALD-Oxide.

도 2g를 참조하면, 상기 스페이서(218)가 형성된 희생막(212)을 상기 스페이서(218)만 잔류되도록 선택적으로 제거한다.Referring to FIG. 2G, the sacrificial layer 212 on which the spacer 218 is formed is selectively removed so that only the spacer 218 remains.

도 2h를 참조하면, 상기 제1반사방지막(210) 상에 잔류한 스페이서(218)를 마스크패턴으로 이용하여 상기 제1반사방지막(210) 및 제1비정질 카본막(204) 및 제2비정질 카본막(206)의 적층막으로 이루어진 하드마스크(208)를 상기 반도체 기판(200)의 식각대상층(202)이 노출될때까지 식각한다.Referring to FIG. 2H, the first anti-reflection film 210, the first amorphous carbon film 204, and the second amorphous carbon using the spacer 218 remaining on the first anti-reflection film 210 as a mask pattern. The hard mask 208 including the stacked layer of the film 206 is etched until the etching target layer 202 of the semiconductor substrate 200 is exposed.

도 2i를 참조하면, 상기 스페이서(218) 및 제1반사방지막(210)을 제거하고, 상기 제1비정질 카본막(204) 및 제2비정질 카본막(206)의 적층막으로 이루어진 하드마스크(208)를 마스크패턴으로 이용하여 상기 식각대상층(202)을 식각한다. Referring to FIG. 2I, the spacer 218 and the first anti-reflection film 210 are removed, and the hard mask 208 is formed of a laminated film of the first amorphous carbon film 204 and the second amorphous carbon film 206. ) Is etched using the etching target layer 202.

이후, 도시하지는 않았지만, 상기 제1비정질 카본막(204) 및 제2비정질 카본막(206)의 적층막으로 이루어진 하드마스크(208)를 제거하여, 본 발명의 실시예에 따른 반도체 소자의 미세 패턴을 형성한다.Subsequently, although not illustrated, the hard mask 208 including the laminated film of the first amorphous carbon film 204 and the second amorphous carbon film 206 is removed to remove the fine pattern of the semiconductor device according to the embodiment of the present invention. To form.

전술한 바와 같이 본 발명은, 하드마스크로 비정질 카본막을 적용하는 40nm 급 이하의 반도체 소자의 미세 패턴 형성방법에 있어서, 상기 하드마스크를 제1비정질 카본막 및 실리콘이 함유된, 즉, SiH4가 함유된 제2비정질 카본막의 적층막으로 형성함으로써, 종래의 C-C/C=C/C=O/CHx 결합 구조를 갖는 비정질 카본막과 달리, C-C/C=C/C=O/Si-C/CHx 결합을 형성하여, 상대적으로 강한 시그마 결합을 갖는 다이아몬드와 유사한 구조를 형성하기 때문에, 상기 비정질 카본막의 접착력을 향상시 킬 수 있다.As described above, according to the present invention, in the method of forming a fine pattern of a semiconductor device of 40 nm or less in which an amorphous carbon film is applied as a hard mask, the hard mask includes a first amorphous carbon film and silicon, that is, SiH 4 By forming the laminated film of the contained second amorphous carbon film, unlike the conventional amorphous carbon film having a CC / C = C / C = O / CH x bonding structure, CC / C = C / C = O / Si-C Since the / CH x bond is formed to form a structure similar to diamond having a relatively strong sigma bond, the adhesion of the amorphous carbon film can be improved.

따라서, 상기 비정질 카본막 상에 형성되는 다층의 식각마스크와의 접착력을 향상시킬 수 있으므로, 비정질 카본막에서의 리프팅 현상을 방지할 수 있어, 그래서, 후속 공정에서의 안정성을 확보할 수 있다.Therefore, since the adhesive force with the multilayer etching mask formed on the amorphous carbon film can be improved, the lifting phenomenon in the amorphous carbon film can be prevented, so that the stability in the subsequent process can be ensured.

또한, 상기 SiH4가 함유된 비정질 카본막은 산소 또는 질소와의 Si~O/Si~N 결합 구조를 형성함으로써, 종래의 그것 보다 결합 에너지가 우수하므로, 결합 에너지 측면에서도 그 특성을 향상시킬 수 있다.In addition, since the SiH 4 -containing amorphous carbon film forms a Si-O / Si-N bonding structure with oxygen or nitrogen, the bonding energy is superior to that of the conventional one, and thus the characteristics can be improved in terms of bonding energy. .

이상, 전술한 본 발명의 실시예들에서는 특정 실시예에 관련하고 도시하고 설명하였지만, 본 발명이 그에 한정되는 것은 아니며, 이하의 특허청구의 범위는 본 발명의 정신과 분야를 이탈하지 않는 한도 내에서 본 발명이 다양하게 개조 및 변형될 수 있다는 것을 당 업계에서 통상의 지식을 가진 자가 용이하게 알 수 있다.In the above-described embodiments of the present invention, the present invention has been described and described with reference to specific embodiments, but the present invention is not limited thereto, and the scope of the following claims is not limited to the scope of the present invention. It will be readily apparent to those skilled in the art that the present invention may be variously modified and modified.

이상에서와 같이 본 발명은, 하드마스크로 비정질 카본막을 적용하는 40nm 급 이하의 반도체 소자의 패턴 형성방법에 있어서, 상기 하드마스크를 제1비정질 카본막 및 실리콘이 함유된 제2비정질 카본막의 2번의 공정으로 나누어 형성하여, C-C/C=C/C=O/Si-C/CHx 결합을 형성함으로써, 상대적으로 강한 시그마 결합을 갖는 다이아몬드와 유사한 구조를 형성시켜, 그에 따른 비정질 카본막의 접착력을 향상시킬 수 있다.As described above, the present invention provides a method of forming a pattern of a semiconductor device of 40 nm or less in which an amorphous carbon film is applied as a hard mask, wherein the hard mask is used as the second amorphous carbon film and the second amorphous carbon film containing silicon. Formed by dividing the process, by forming a CC / C = C / C = O / Si-C / CH x bond, to form a diamond-like structure having a relatively strong sigma bond, thereby improving the adhesion of the amorphous carbon film You can.

따라서, 본 발명은 비정질 카본막의 접착력을 향상시킬 수 있으므로, 리프팅 현상을 방지할 수 있어, 그래서, 후속 공정에서의 안정성을 확보할 수 있다.Therefore, the present invention can improve the adhesion of the amorphous carbon film, so that the lifting phenomenon can be prevented, so that the stability in the subsequent step can be ensured.

또한, 본 발명은 SiH4가 함유된 비정질 카본막이 산소 또는 질소와의 Si~O/Si~N 결합 구조를 형성함으로써, 결합 에너지 측면에서도 그 특성을 향상시킬 수 있어, 후속 공정에서의 안정성을 더욱 확보할 수 있다.In addition, according to the present invention, since the SiH 4 -containing amorphous carbon film forms a Si-O / Si-N bond structure with oxygen or nitrogen, its properties can be improved in terms of bonding energy, further improving stability in subsequent processes. It can be secured.

Claims (9)

접착력을 향상시키기 위한 비정질 카본막 형성방법에 있어서,In the amorphous carbon film forming method for improving the adhesive force, 반도체 기판 상부에 제1비정질 카본막을 형성하는 단계; 및Forming a first amorphous carbon film on the semiconductor substrate; And 상기 제1비정질 카본막 상에 실리콘이 함유된 제2비정질 카본막을 형성하는 단계; Forming a second amorphous carbon film containing silicon on the first amorphous carbon film; 를 포함하는 것을 특징으로 하는 비정질 카본막 형성방법.Amorphous carbon film forming method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 제1비정질 카본막은 C3H6, C5H8 및 C2H2 중 어느 하나의 가스를 소오스로 이용하여 형성하는 것을 특징으로 하는 비정질 카본막의 형성방법.And the first amorphous carbon film is formed using any one of C 3 H 6 , C 5 H 8 and C 2 H 2 as a source. 제 1 항에 있어서,The method of claim 1, 상기 제2비정질 카본막은 SiH4 및 C3H6, C5H8 및 C2H2 중 어느 하나의 가스의 혼합 가스를 소오스로 이용하여 형성하는 것을 특징으로 하는 비정질 카본막의 형성방법.The second amorphous carbon film is formed using a mixed gas of any one of SiH 4 and C 3 H 6 , C 5 H 8 and C 2 H 2 as a source. 식각대상층을 포함한 반도체 기판 상에 제1비정질 카본막을 형성하는 단계; Forming a first amorphous carbon film on the semiconductor substrate including the etching target layer; 상기 제1비정질 카본막 상에 실리콘이 함유된 제2비정질 카본막을 형성하여 상기 제1비정질 카본막 및 제2비정질 카본막의 적층막으로 이루어진 하드마스크를 형성하는 단계; 및Forming a second amorphous carbon film containing silicon on the first amorphous carbon film to form a hard mask including a laminated film of the first amorphous carbon film and the second amorphous carbon film; And 상기 하드마스크를 식각마스크로 이용하여 식각대상층을 식각하는 단계;Etching the etching target layer using the hard mask as an etching mask; 를 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성방법.Pattern forming method of a semiconductor device comprising a. 제 4 항에 있어서,The method of claim 4, wherein 상기 제1비정질 카본막은 C3H6, C5H8 및 C2H2 중 어느 하나의 가스를 소오스로 이용하여 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성방법.The first amorphous carbon film is a pattern forming method of a semiconductor device, characterized in that formed using any one of the gas of C 3 H 6 , C 5 H 8 and C 2 H 2 as a source. 제 4 항에 있어서,The method of claim 4, wherein 상기 제2비정질 카본막은 SiH4 및 C3H6, C5H8 및 C2H2 중 어느 하나의 가스의 혼합 가스를 소오스로 이용하여 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성방법.The second amorphous carbon film is formed using a mixed gas of any one of SiH 4 and C 3 H 6 , C 5 H 8 and C 2 H 2 as a source. 제 4 항에 있어서,The method of claim 4, wherein 상기 하드마스크를 식각마스크로 이용하여 식각대상층을 식각하는 단계는,Etching the etching target layer using the hard mask as an etching mask, 상기 하드마스크 상에 제1반사방지막, 희생막 및 제2반사방지막을 차례로 형성하는 단계;Sequentially forming a first antireflection film, a sacrificial film, and a second antireflection film on the hard mask; 상기 제2반사방지막 및 희생막을 일정한 패턴을 갖도록 상기 제1반사방지막 이 노출될때까지 제거하는 단계;Removing the second anti-reflection film and the sacrificial film until the first anti-reflection film is exposed to have a predetermined pattern; 상기 제2반사방지막을 제거하는 단계;Removing the second anti-reflection film; 상기 희생막 양 측면에 스페이서를 형성하는 단계;Forming spacers on both sides of the sacrificial layer; 상기 희생막을 제거하는 단계;Removing the sacrificial layer; 상기 희생막이 제거된 상기 제1반사방지막 상에 잔류한 스페이서를 마스크패턴으로 이용하여 제1반사방지막 및 하드마스크를 식각하는 단계; Etching the first anti-reflection film and the hard mask using the spacers remaining on the first anti-reflection film from which the sacrificial film is removed as a mask pattern; 상기 스페이서 및 제1반사방지막을 제거하는 단계;Removing the spacer and the first anti-reflection film; 상기 하드마스크를 마스크패턴으로 이용하여 식각대상층을 식각하는 단계; 및Etching the etching target layer using the hard mask as a mask pattern; And 상기 하드마스크를 제거하는 단계;Removing the hard mask; 를 더 포함하는 것을 특징으로 하는 반도체 소자의 패턴 형성방법.Pattern forming method of a semiconductor device, characterized in that it further comprises. 제 7 항에 있어서,The method of claim 7, wherein 상기 희생막은 비정질 카본막, 질화막 또는 폴리실리콘 중에 어느 하나의 막 또는 적어도 두 개 이상의 적층막으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성방법.The sacrificial film may be formed of any one of an amorphous carbon film, a nitride film, and polysilicon, or at least two or more laminated films. 제 7 항에 있어서,The method of claim 7, wherein 상기 스페이서는 LP-Nit 또는 ALD-Oxide 방식으로 형성하는 것을 특징으로 하는 반도체 소자의 패턴 형성방법.The spacer is a pattern forming method of a semiconductor device, characterized in that formed by LP-Nit or ALD-Oxide method.
KR1020070065407A 2007-06-29 2007-06-29 Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same KR100881397B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070065407A KR100881397B1 (en) 2007-06-29 2007-06-29 Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070065407A KR100881397B1 (en) 2007-06-29 2007-06-29 Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same

Publications (2)

Publication Number Publication Date
KR20090001196A true KR20090001196A (en) 2009-01-08
KR100881397B1 KR100881397B1 (en) 2009-02-05

Family

ID=40484300

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070065407A KR100881397B1 (en) 2007-06-29 2007-06-29 Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same

Country Status (1)

Country Link
KR (1) KR100881397B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120122707A (en) * 2011-04-29 2012-11-07 에스케이하이닉스 주식회사 Method for fabricating hole pattern semiconductor device
US9087789B2 (en) 2011-12-27 2015-07-21 Samsung Electronics Co., Ltd. Methods of manufacturing a semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120122707A (en) * 2011-04-29 2012-11-07 에스케이하이닉스 주식회사 Method for fabricating hole pattern semiconductor device
US9087789B2 (en) 2011-12-27 2015-07-21 Samsung Electronics Co., Ltd. Methods of manufacturing a semiconductor device

Also Published As

Publication number Publication date
KR100881397B1 (en) 2009-02-05

Similar Documents

Publication Publication Date Title
US9831117B2 (en) Self-aligned double spacer patterning process
KR100694412B1 (en) Method for forming fine patterns of semiconductor devices
KR100866735B1 (en) Method for forming fine pattern of semiconductor device
JP2007305976A (en) Method of forming fine pattern in semiconductor device
JP4104426B2 (en) Manufacturing method of semiconductor device
KR20210018548A (en) Patterning method to improve EUV resist and hard mask selectivity
KR20100110366A (en) Fine pattern mask, method for producing the same, and method for forming fine pattern using the mask
KR100835486B1 (en) Method for forming fine patterns of semiconductor devices
KR100849190B1 (en) Method for forming fine pattern in semiconductor device
CN112017946A (en) Forming method of semiconductor structure and transistor
KR101096194B1 (en) Method for Forming Pattern of Semiconductor Device
JP2007300125A (en) Method for fabricating fine pattern in semiconductor device
US8227176B2 (en) Method for forming fine pattern in semiconductor device
KR100881397B1 (en) Method for forming amorphous carbon layer and method for manufacturing pattern of semiconductor device using the same
TWI461828B (en) Method of forming etching mask
US20120211873A1 (en) Method for forming a pattern and semiconductor device
US6465360B2 (en) Method for fabricating an ultra small opening
CN113948367B (en) Structure and method for photolithography
KR20090011933A (en) Method for manufacturing semiconductor device
KR20090106884A (en) Method for forming pattern in semiconductor device using spacer
JP6346132B2 (en) Pattern formation method
KR100965774B1 (en) Method for forming fine pattern in semiconductor device
CN112670168B (en) Method for forming semiconductor structure and transistor
KR20070106277A (en) Mehtod for pitch reduction
KR20080099994A (en) Method for forming hard mask pattern in semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee