KR20080044637A - Cleaning solution composition for electronic material and cleaning method using the same - Google Patents

Cleaning solution composition for electronic material and cleaning method using the same Download PDF

Info

Publication number
KR20080044637A
KR20080044637A KR1020060113717A KR20060113717A KR20080044637A KR 20080044637 A KR20080044637 A KR 20080044637A KR 1020060113717 A KR1020060113717 A KR 1020060113717A KR 20060113717 A KR20060113717 A KR 20060113717A KR 20080044637 A KR20080044637 A KR 20080044637A
Authority
KR
South Korea
Prior art keywords
acid
group
compound
ammonium
cleaning
Prior art date
Application number
KR1020060113717A
Other languages
Korean (ko)
Other versions
KR101264436B1 (en
Inventor
김병묵
홍헌표
정진우
Original Assignee
동우 화인켐 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동우 화인켐 주식회사 filed Critical 동우 화인켐 주식회사
Priority to KR1020060113717A priority Critical patent/KR101264436B1/en
Publication of KR20080044637A publication Critical patent/KR20080044637A/en
Application granted granted Critical
Publication of KR101264436B1 publication Critical patent/KR101264436B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3942Inorganic per-compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

A cleaner composition for electronic parts is provided to inhibit corrosion of silicon and other metals than silicon, and to easily remove fine contaminant particles and deteriorated or cured photoresist and polymer residue after etching. A cleaner composition for electronic parts comprises sulfuric acid, a peroxide compound, a fluoro-compound, ammonium phosphate, a metal corrosion-preventing compound represented by the following formula 1, a silicon corrosion-preventing compound represented by the following formula 2 and deionized water. In formula 1, each of A and B represents H or NR1R2; each of R1, R2 and R3 is selected from the group consisting of H, alkyl, hydroxyalkyl, aryl, -(CH2)m-COOH, -P(=O)(OH)2 and -(CH2)n-P(=O)(OH); each of m and n is an integer of 1-6; each of R5 and R6 is a linear or branched C1-C6 alkylene, monoxyalkylene or polyoxyalkylene, which is non-substituted or substituted with at least one substituent selected from the group consisting of hydroxy, hydroxyalkyl, aryl, -(CH2)p-COOH, -P(=O)(OH)2 and -(CH2)q-P(=O)(OH)2; each of p and q is an integer of 0-6; and a' is an integer of 0-2. In formula 2, each of R1-R5 represents H, halogen atom or a functional group such as nitro, alkyl, hydroxy, hydroxyalkyl, amine, carboxyl, sulfonic acid, sulfonamide, alkoxy, aldehyde, acetoxy, phosphonic acid, mercapto, allyl, aryl, aldehyde, alkyl or amyl, an alkylated, acetylated or halogenated derivative thereof, or a salt thereof.

Description

전자 재료용 세정액 조성물 및 이를 이용한 세정 방법 {Cleaning solution composition for electronic material and cleaning method using the same} Cleaning solution composition for electronic material and cleaning method using the same

본 발명은 전자 재료용 세정액 조성물 및 이를 이용한 세정 방법에 관한 것이다. 보다 상세하게는, 전자 재료의 제조공정에 있어서, 실리콘 및 실리콘 이외의 금속의 부식을 억제하며, 전자 재료 표면에 부착되어 있는 미세한 오염 입자, 습식 또는 건식 식각 공정, 드라이 에싱 공정 후 발생하는 변질, 경화된 포토레지스트 및 폴리머 잔류물을 제거하기 위한 전자 재료용 세정액 및 이를 이용한 세정 방법에 관한 것이다. The present invention relates to a cleaning liquid composition for an electronic material and a cleaning method using the same. More specifically, in the electronic material manufacturing process, the corrosion of metals other than silicon and silicon is suppressed, and fine contaminant particles adhering to the surface of the electronic material, wet or dry etching processes, deterioration occurring after a dry ashing process, A cleaning liquid for electronic materials for removing cured photoresist and polymer residues and a cleaning method using the same.

반도체 소자의 제조 공정 중에는, 소자의 제조 시 영향을 줄 수 있는 파티클(particle)이나 오염물질을 제거하고, 표면을 다른 불순물로부터 보호하기 위한 표면 세척 공정이 있는데, 이를 통상 세정 공정이라 한다. 상기 오염물질은 탄소 및 그 계열로서, 폴리머, 포토레지스트, 왁스, 오일 등이 그 원인이 되는 유기성 잔류물과, 산화물, 질화물, 케미칼 자체에서 함유한 파티클, 기타 장비 파티클 등이 원인이 되는 무기성 잔류물, 및 대기, 수중에서 저절로 성장한 자연 산화막, 알 루미늄(Al), 바륨(Ba), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 주석(Sn), 아연(Zn) 등으로 케미칼 자체에서 함유한 경우 또는 장비 소스 등이 원인이 되는 금속 불순물로 나눌 수 있다. In the manufacturing process of the semiconductor device, there is a surface cleaning process to remove particles or contaminants that may affect the manufacturing of the device, and to protect the surface from other impurities, which is commonly referred to as a cleaning process. The contaminants are carbon and its series, and organic residues caused by polymers, photoresists, waxes, oils, etc., and inorganic matters caused by particles contained in oxides, nitrides, chemicals, and other equipment particles. Residues and natural oxide films grown naturally in air, water, aluminum (Al), barium (Ba), cadmium (Cd), calcium (Ca), chromium (Cr), cobalt (Co), copper (Cu), iron (Fe), lead (Pb), lithium (Li), magnesium (Mg), manganese (Mn), nickel (Ni), potassium (K), sodium (Na), strontium (Sr), tin (Sn), zinc (Zn) or the like can be divided into metal impurities caused by the chemical itself or the source of the equipment.

상기 오염 물질을 세척하지 않고 방치할 경우, 이는 소자 불량의 원인이 되는데, 이는 오염 물질의 종류에 따라 그 불량 내용도 달라지게 된다. 유기 물질의 경우는 결정 결함 및 핀 홀, 콘택 통전 불량의 발생 원인이고, 무기 물질의 경우는 배선 단선, 저항 증대 등에 의한 패턴 불량 및 스팟(Spot) 이상돌기의 발생 원인이며, 금속 불순물의 경우는 접합 누설전류(Junction Leakage)의 발생, 수명감소 및 문턱전압(Threshold Voltage)의 이전 등의 불량을 일으키는 원인이 되며, 또한 자연 산화막의 경우는 막의 특성을 열화시키는 불량을 야기한다. 따라서, 이러한 오염물질의 제거를 위한 세정 공정은 소자의 특성의 향상을 위하여 필수적인 공정이다. If the contaminants are left unwashed, this may cause device defects, which will vary depending on the type of contaminants. In the case of organic materials, crystal defects, pinholes, and poor contact energization are caused.Inorganic materials are causes of pattern defects and spot abnormalities due to disconnection of wires, increased resistance, and the like. It causes defects such as generation of junction leakage, reduced lifespan and transfer of threshold voltage, and in the case of natural oxide film, it causes defects that deteriorate the characteristics of the film. Therefore, the cleaning process for removing such contaminants is an essential process for improving the characteristics of the device.

종래부터, 반도체용 실리콘기판, 액정용 유리기판, 포토 마스크용 석영기판 등의 표면 세정은 주로, 과산화수소수와 황산의 혼합액(SPM), 과산화수소수와 암모니아수와 물의 혼합액(SC-1), 과산화수소수와 염산과 물의 혼합액(SC-2), 희석 불산(DHF) 등의 농후한 약액을 사용해서 고온에서 세정한 후에 초순수에 의해 헹구는, 소위 RCA(Radio Corporation of America) 세정법에 의해서 각각의 오염원인에 대해 행하여져 왔다. RCA세정법에 있어서, 황산과 과산화수소수를 1:4로 혼합하여 제조하는 SPM 약액은 유기 오염 물질의 제거에 효과적이며, SC-1, SC-2는 각각 염 기성과 산성 용액으로 산화물 내의 파티클과 금속 오염 물질의 제거에 효과적이다.Background Art [0002] Conventionally, surface cleaning of silicon substrates for semiconductors, glass substrates for liquid crystals, quartz substrates for photo masks, and the like is mainly carried out with a mixture of hydrogen peroxide and sulfuric acid (SPM), a mixture of hydrogen peroxide and ammonia and water (SC-1), and hydrogen peroxide. Each of the causes of contamination by the so-called RCA (Radio Corporation of America) cleaning method, which is washed with ultrapure water after washing at high temperature with a concentrated chemical solution such as hydrochloric acid and water (SC-2) and diluted hydrofluoric acid (DHF). Has been done. In the RCA cleaning method, SPM chemicals prepared by mixing sulfuric acid and hydrogen peroxide at 1: 4 are effective for removing organic contaminants, and SC-1 and SC-2 are basic and acidic solutions, respectively. Effective for removing contaminants

반도체, LCD, 포토마스크 등의 제조 공정 중에 사용되는 포토레지스트는 유기 고분자 화합물(Organic polymer)이고, 이를 분해하려면 강산이나 강염기 또는 강한 산화제가 필요하다. 통상 포토레지스트를 제거하기 위하여 황산과 과산화수소수가 혼합된 SPM을 사용하며, 이는 탈수소화 반응과 산화 반응을 통하여 유기 화합물을 이산화탄소와 수분으로 분해한다. 또한, 금속 성분을 제거하기 위해서는 통상 염산과 과산화수소수와 물의 혼합액인 SC-2를 사용하는데, 이는 Cl 라디칼과 금속이 반응하여 염산을 형성하여 금속 오염 물질을 제거한다. Photoresists used during the manufacturing process of semiconductors, LCDs, photomasks, and the like are organic polymers, and strong acids, strong bases, or strong oxidizing agents are required to decompose them. In order to remove photoresist, SPM, which is a mixture of sulfuric acid and hydrogen peroxide water, is used, which decomposes organic compounds into carbon dioxide and water through dehydrogenation and oxidation. In addition, SC-2, which is a mixture of hydrochloric acid, hydrogen peroxide and water, is generally used to remove metal components, which react with Cl radicals and metals to form hydrochloric acid to remove metal contaminants.

그러나, 상기의 RCA세정법은 피식각층 패턴이 금속 패턴인 경우에는 무기용매의 조성에 의해 금속이 심하게 손상되고, 부식되어 패턴 프로파일이 불량하게 되고, 또한, 불순물을 제거하는 과정이 섭씨 50 내지 120도 정도의 고온에서 이루어져야 하므로, 불산, 암모니아수, 황산 및 과산화수소수 등과 같은 물질을 세정액으로 사용하는 경우에는 많은 주의가 필요하다. However, in the above RCA cleaning method, when the etched layer pattern is a metal pattern, the metal is severely damaged and corroded by the composition of the inorganic solvent, so that the pattern profile is poor, and the process of removing impurities is 50 to 120 degrees Celsius. Since it should be made at a high temperature, much care must be taken when using substances such as hydrofluoric acid, aqueous ammonia, sulfuric acid, and hydrogen peroxide as the cleaning liquid.

이상에 대한 해결책을 제안하고 있는 문헌들의 예는 다음과 같다. Examples of documents suggesting a solution to the above are as follows.

한국 특허공개공보 제 2003-0041092호는 (A) 치환기를 가질 수도 있는 탄화수소기와, 폴리옥시에틸렌기를 동일 분자 구조 내에 갖는 에틸렌옥사이드 부가형 계면활성제로, 이 탄화수소기에 함유되는 탄소수(m)와 폴리옥시에틸렌기 중의 옥시에틸렌기의 수(n)의 비율(m/n)이 m/n=1.5인 것을 특징으로 하는 것, (B) 알칼리성분, (C) 과산화수소, (D) 물의 조성을 갖고, pH가 9이상, (C)의 함유량이 0.01중 량% 이상 4중량% 이하인 것을 특징으로 하는 기판표면 세정액을 개시한다. Korean Patent Laid-Open Publication No. 2003-0041092 is an ethylene oxide addition type surfactant having (A) a hydrocarbon group which may have a substituent and a polyoxyethylene group in the same molecular structure, wherein the carbon number (m) and polyoxyethylene contained in the hydrocarbon group The ratio (m / n) of the number (n) of the oxyethylene groups in the group is m / n = 1.5, characterized by the composition of (B) an alkali component, (C) hydrogen peroxide, and (D) water, Disclosed is a substrate surface cleaning liquid, characterized in that the content of 9 or more and (C) is 0.01% by weight or more and 4% by weight or less.

한국 특허공개공보 제 2000-0023187호는 염산-과산화수소계(HPM) 또는 황산-과산화수소계(SPM)의 용액에 미량의 불산을 혼합한 HPFM또는 SPFM으로 구성되어 있어, 상기 실리콘계 절연막 상에 부착하는 백금족 금속(예컨대, Pt 또는 Ir) 오염물질을 1×1010atoms/cm2미만으로 저감시킬 수 있는 세정액 조성물을 개시한다. Korean Patent Laid-Open Publication No. 2000-0023187 is composed of HPFM or SPFM in which a small amount of hydrofluoric acid is mixed with a solution of hydrochloric acid-hydrogen peroxide (HPM) or sulfuric acid-hydrogen peroxide (SPM), and is deposited on the silicon-based insulating film. Disclosed is a cleaning liquid composition capable of reducing metal (eg, Pt or Ir) contaminants to less than 1 × 10 10 atoms / cm 2 .

한국 특허공개공보 제 1999-0067948호는 불화수소 및 수소가스, 불화수소 및 산소가스, 불화수소, 염화수소 또는 질산 및 수소가스, 또는 불화수소, 염화수소 또는 질산 및 산소가스, 또는 불화수소, 과산화수소 및 산소가스를 용해한 수용액으로 이루어진 것을 특징으로 하는 전자 재료용 세정수와 이들 전자 재료용 세정수에 초음파 진동을 전달하면서 세정을 행하는 것을 특징으로 하는 세정 방법을 개시한다. Korean Patent Publication No. 1999-0067948 discloses hydrogen fluoride and hydrogen gas, hydrogen fluoride and oxygen gas, hydrogen fluoride, hydrogen chloride or nitric acid and hydrogen gas, or hydrogen fluoride, hydrogen chloride or nitric acid and oxygen gas, or hydrogen fluoride, hydrogen peroxide and oxygen Disclosed is a cleaning method comprising washing with electronic ultrasonic cleaning to an electronic material cleaning water characterized by consisting of an aqueous solution in which a gas is dissolved, and ultrasonic vibration to these cleaning materials for electronic materials.

한국 특허공개공보 제 1998-048608호는 웨이퍼 상에 발생된 유기물, 자연 산화막 및 불순물 입자를 황산, 암모니아, 과산화수소수 및 순수로 이루어진 암모니아 혼합 용액을 사용하여 세정하여, 웨이퍼 표면의 청결을 유지하는 방법을 개시한다. Korean Patent Laid-Open Publication No. 1998-048608 discloses a method for cleaning organic surface, natural oxide film, and impurity particles generated on a wafer by using ammonia mixed solution composed of sulfuric acid, ammonia, hydrogen peroxide, and pure water to maintain clean wafer surface. Initiate.

한국 등록특허공보 제 10-0255168호는 5℃ 내지 10℃ 정도의 저온 오존수를 이용하여 금속적 불순물을 완전히 제거한 후, 저함량 불산 계열 화학약품으로 자연 산화막을 효과적으로 제거하여, 측벽의 스페이서 산화막 손실을 최소화 할 수 있는 세정 방법을 개시한다. Korean Patent Publication No. 10-0255168 completely removes metallic impurities using low temperature ozone water of about 5 ° C to 10 ° C, and then effectively removes the natural oxide film with a low-fluoric acid chemical, thereby minimizing the loss of spacer oxide on the sidewalls. The cleaning method which can be performed is disclosed.

유럽 특허공보 제 0742282호는 0.1 내지 4%의 불산과 RfCOONH4(Rf는 불소가 포함된 탄소수가 5 내지 9인 탄화수소기)가 50 내지 1500ppm 또는 Rf'O(CH2CH2O)nR 혹은 Rf'(CH2CH2O)nR(Rf'는 불소가 포함된 탄소수가 5 내지 15인 탄화수소기, R은 수소 혹은 탄소수가 1 내지 4인 탄화수소기, n은 5 내지 20)가 50 내지 100000ppm로 구성된 실리콘 표면을 세정하는 세정액을 개시한다. EP 0742282 discloses 0.1 to 4% of hydrofluoric acid and RfCOONH 4 (Rf is a hydrocarbon group having 5 to 9 carbon atoms containing fluorine) 50 to 1500 ppm or Rf'O (CH 2 CH 2 O) n R or Rf '(CH 2 CH 2 O) n R (Rf' is a hydrocarbon group having 5 to 15 carbon atoms containing fluorine, R is hydrogen or a hydrocarbon group having 1 to 4 carbon atoms, n is 5 to 20) 50 to A cleaning liquid for cleaning a silicon surface composed of 100000 ppm is disclosed.

미국 특허공보 제 5705089호는 포스폰산계의 킬레이트제와 HOCH2-(CHOH)n-CH2OH(n=0 내지 10)의 다가 알코올을 포함하는 산성 혹은 알칼리성의 과산화수소 세정액을 개시한다. US Patent No. 5705089 discloses an acidic or alkaline hydrogen peroxide cleaning liquid comprising a phosphonic acid chelating agent and a polyhydric alcohol of HOCH 2- (CHOH) n -CH 2 OH (n = 0 to 10).

미국 특허공보 제 4857225호는 0.005 내지 10g/L의 과산화산 또는 과산화물과, 황산, 인산, 질산 중 하나 이상을 포함하는 산성계의 알루미늄 표면 세정액을 개시한다. US Patent No. 4857225 discloses an acidic aluminum surface cleaning liquid comprising from 0.005 to 10 g / L peroxide or peroxide and at least one of sulfuric acid, phosphoric acid and nitric acid.

일본 공개특허공보 제 2002-176022호는 실리콘 기판 등의 기판에 부착된 오염 물질, 특히 구리 혹은 구리 합금 등의 미립자 또는 원자, 이온 등을 충분히 제거할 수 있는, 5 내지 20%의 황산, 20 내지 50%의 과산화수소수(30% 수용액), 0.5 내지 5%의 불산 혹은 질산을 포함한 세정액과 세정법을 개시한다.Japanese Laid-Open Patent Publication No. 2002-176022 discloses 5 to 20% sulfuric acid, 20 to 20, which can sufficiently remove contaminants attached to a substrate such as a silicon substrate, particularly fine particles or atoms, ions, etc., such as copper or a copper alloy. A cleaning solution containing 50% hydrogen peroxide solution (30% aqueous solution), 0.5 to 5% hydrofluoric acid or nitric acid and a cleaning method are disclosed.

일본 공개특허공보 제 2001-207281호는 디아릴알킬렌디아민 공중합체 0.1 내지 50000mg/L를 포함하는 산성계 세정액을 개시한다. Japanese Laid-Open Patent Publication No. 2001-207281 discloses an acidic cleaning liquid containing 0.1 to 50000 mg / L of a diarylalkylenediamine copolymer.

일본 공개특허공보 평9-279189호는 암모니아, 염산, 황산 또는 불산으로 이루어진 군에서 선택되어지는 물질 0.01 내지 85%와, 차아염소산, 아질산, 퍼옥소질산, 질산암모늄 또는 일산화이질소로 이루어진 군에서 선택되어지는 하나의 물질 0.01 내지 15%로 이루어진 반도체 기판용 세정액을 개시한다. Japanese Patent Application Laid-Open No. 9-279189 is selected from the group consisting of 0.01 to 85% of a substance selected from the group consisting of ammonia, hydrochloric acid, sulfuric acid, or hydrofluoric acid, and hypochlorous acid, nitrous acid, peroxonitrate, ammonium nitrate or dinitrogen monoxide. Disclosed is a cleaning liquid for a semiconductor substrate, which is composed of 0.01 to 15% of a single substance.

일본 공개특허공보 평7-115077호는 실리콘 표면 불순물 금속 및 부착 미립자를 제거하는, 0.005중량% 이상 0.05중량% 미만의 불산과 0.3중량% 이상 20.0중량% 이하의 과산화수소수를 포함하며, pH가 1이상 5미만인 산성 세정액을 개시한다. Japanese Patent Application Laid-Open No. 7-115077 includes at least 0.005% by weight and less than 0.05% by weight of hydrofluoric acid and at least 0.3% by weight and at least 20.0% by weight of hydrogen peroxide, which removes silicon surface impurity metal and adhered fine particles. The acidic washing liquid which is less than 5 is started.

그러나, 이러한 선행기술에서 제안된 세정액을 사용한 경우, 실리콘 및 실리콘 이외의 금속을 부식을 효과적으로 방지하지 못하는 문제가 있으며, 포토레지스 트 및 폴리머 잔류물에 대한 박리 능력이 충분하지 못하고, 포토레지스트를 이루는 고분자물질에 대한 용해력이 충분하지 못하여 박리된 포토레지스트 잔류물이 반도체기판 또는 유리기판 등에 재부착하거나, 부가적인 용제 부산물을 생성할 뿐만 아니라, 공정 조건이 고온이어서 환경적 측면과 처리 비용 면에서 유리하지 않는 문제점이 있다.  또한, 상기 종래의 박리액 조성물에서는, 금속 배선과 무기재료가 형성된 기판의 부식 방지와, 포토레지스트 및 변질된 포토레지스트에 대한 박리성 모두를 균형 있게 달성하지 못하였다. However, when the cleaning solution proposed in the prior art is used, there is a problem in that it does not effectively prevent the corrosion of silicon and metals other than silicon, and the peeling ability of the photoresist and the polymer residue is insufficient, and the photoresist is formed. Insufficient dissolvability to the polymer material causes the photoresist residue to be re-adhered to a semiconductor substrate or a glass substrate, or to generate additional solvent by-products. There is a problem that does not. In addition, in the above conventional peeling liquid composition, the corrosion prevention of the substrate on which the metal wiring and the inorganic material are formed and the peelability to the photoresist and the altered photoresist have not been achieved in a balanced manner.

따라서, 본 발명의 목적은 실리콘 및 실리콘 이외의 금속의 부식을 억제하며, 반도체소자 표면에 부착되어 있는 미세한 오염 입자, 습식 또는 건식 식각공정, 드라이 에싱 공정 후 발생하는 변질, 경화된 포토레지스트 및 폴리머 잔류물을 용이하게 제거할 수 있는 세정액 조성물을 제공하는 것이다. Accordingly, it is an object of the present invention to suppress the corrosion of silicon and metals other than silicon, and to remove fine contaminant particles, wet or dry etching processes, dry alteration processes, and cured photoresists and polymers adhered to the surface of semiconductor devices. It is to provide a cleaning liquid composition that can easily remove the residue.

본 발명의 또 다른 목적은 상기 세정액을 사용하여, 변질, 경화된 포토레지스트 및 폴리머 잔류물을 제거하는 방법을 제공하는 것이다. It is another object of the present invention to provide a method for removing altered, cured photoresist and polymer residues using the cleaning solution.

상기 목적을 달성하기 위해서, 본 발명은 황산, 과산화 화합물, 불소화합물, 인산암모늄염, 하기 구조식 1로 표시되는 금속 부식 방지 화합물, 하기 구조식 2로 표시되는 실리콘 부식 방지 화합물 및 탈이온수를 포함하는 전자 재료용 세정제 조 성물을 제공한다. In order to achieve the above object, the present invention is an electronic material comprising sulfuric acid, peroxide compound, fluorine compound, ammonium phosphate salt, metal corrosion protection compound represented by the following structural formula 1, silicon corrosion preventing compound represented by the following structural formula 2 and deionized water Provide a detergent composition.

[구조식 1] [Formula 1]

Figure 112006084194040-PAT00001
Figure 112006084194040-PAT00001

상기 구조식 1에서, A 및 B는 각각 독립적으로 수소 또는 NR1R2이며, 상기 식의 R1, R2 및 R3는 각각 독립적으로 수소, 알킬, 히드록시알킬, 아릴, -(CH2)m-COOH, -P(=O)(OH)2, 및 -(CH2)n-P(=O)(OH)2 (식 중, m과 n은 각각 독립적으로 1 내지 6의 정수이다)로 이루어진 군에서 선택될 수 있으며, R5 및 R6는 각각 독립적으로 직쇄 또는 분지된 탄소수 1 내지 6의 알킬렌, 모노옥시알킬렌, 또는 폴리옥시알킬렌이며, 이는 비치환이거나, 히드록실, 히드록시알킬, 아릴, -(CH2)p-COOH, -P(=O)(OH)2, 및 -(CH2)q-P(=O)(OH)2 로 이루어진 군에서 선택된 적어도 하나의 치환기로 치환될 수 있고, 이 경우에, p 및 q는 각각 독립적으로 0 내지 6의 정수이고, a'는 0내지 2의 정수이다. In Formula 1, A and B are each independently hydrogen or NR 1 R 2 , wherein R 1 , R 2 And R 3 are each independently hydrogen, alkyl, hydroxyalkyl, aryl,-(CH 2 ) m -COOH, -P (= 0) (OH) 2 , and-(CH 2 ) n -P (= 0) (OH) 2 (wherein m and n are each independently an integer from 1 to 6), and R 5 and R 6 are each independently straight or branched alkyl having 1 to 6 carbon atoms Ethylene, monooxyalkylene, or polyoxyalkylene, which is unsubstituted or hydroxyl, hydroxyalkyl, aryl,-(CH 2 ) p -COOH, -P (= 0) (OH) 2 , and- (CH 2 ) q -P (= O) (OH) 2 , and may be substituted with at least one substituent selected from the group consisting of p, q in this case, each independently, an integer of 0 to 6, a ' Is an integer between 0 and 2.

[구조식 2] [Formula 2]

Figure 112006084194040-PAT00002
Figure 112006084194040-PAT00002

상기 구조식 2에서, R1 내지 R5는 각각 독립적으로, 수소원자, 할로겐원자, 니트로기, 알킬기, 수산기, 히드록시알킬기, 아민기, 카르복실기, 술폰산기, 술폰아미드기, 알콕실기, 알데히드기, 아세톡실기, 포스폰산기, 머캅토기, 알릴기, 아릴기, 알데히드기, 아밀기 등의 관능기, 또는 이러한 관능기의 알킬화 유도체, 아세틸화 유도체, 할로겐화 유도체, 또는 이것들의 염을 나타낸다.In Formula 2, R1 to R5 are each independently a hydrogen atom, a halogen atom, a nitro group, an alkyl group, a hydroxyl group, a hydroxyalkyl group, an amine group, a carboxyl group, a sulfonic acid group, a sulfonamide group, an alkoxyl group, an aldehyde group, an acetoxyl group And functional groups such as phosphonic acid group, mercapto group, allyl group, aryl group, aldehyde group and amyl group, or alkylated derivatives, acetylated derivatives, halogenated derivatives of these functional groups, or salts thereof.

상기 조성물은 황산 0.5 내지 20중량%, 과산화 화합물 1 내지 20중량%, 불소화합물 0.01 내지 0.1중량%, 인산암모늄염 0.01 내지 30중량%, 상기 구조식 1로 표시되는 금속 부식 방지 화합물 0.0005 내지 0.1중량%, 상기 구조식 2로 표시되는 실리콘 부식 방지 화합물 0.0001 내지 5중량% 및 전체 세정제 조성물이 100중량%가 되도록 하는 잔량의 탈이온수를 포함한다.The composition is 0.5 to 20% by weight sulfuric acid, 1 to 20% by weight peroxide compound, 0.01 to 0.1% by weight fluorine compound, 0.01 to 30% by weight ammonium phosphate salt, 0.0005 to 0.1% by weight of the metal corrosion protection compound represented by the formula (1), 0.0001 to 5% by weight of the silicon corrosion protection compound represented by the formula 2 and the remaining amount of deionized water to 100% by weight of the total detergent composition.

또한, 본 발명은 반도체 디바이스 및 액정표시장치를 포함하는 전자 재료 제조 시 상기한 세정제 조성물을 이용하여 세정을 실시하는 것을 특징으로 하는 세정 방법을 제공한다. In addition, the present invention provides a cleaning method characterized in that the cleaning is performed using the above-described cleaning composition in the manufacture of an electronic material including a semiconductor device and a liquid crystal display device.

이하에서 본 발명을 자세히 설명한다.  Hereinafter, the present invention will be described in detail.

본 발명은 황산, 과산화 화합물, 불소화합물, 인산암모늄염, 금속 부식 방지 화합물, 실리콘 부식 방지 화합물 및 탈이온수를 포함하는 전자 재료용 세정액 조성물을 제공한다. The present invention provides a cleaning liquid composition for an electronic material containing sulfuric acid, a peroxide compound, a fluorine compound, an ammonium phosphate salt, a metal corrosion preventing compound, a silicon corrosion preventing compound, and deionized water.

본 발명의 황산, 과산화 화합물 및 불소화합물은 폴리머들을 효과적으로 제거하는 역할을 한다. Sulfuric acid, peroxide compounds and fluorine compounds of the present invention serve to effectively remove polymers.

상기 황산, 과산화 화합물 및 불소화합물을 포함하는 세정액 조성물의 pH가 0.1 미만이면 잔류하는 폴리머들의 세정능력은 우수하나 실리콘 및 실리콘 이외의 금속이 부식되는 문제점이 발생한다. 또한, 상기 세정액 조성물의 pH가 4를 초과하면, 잔류하는 폴리머들의 세정능력이 저하된다. 따라서, 상기 세정액 조성물의 pH는 0.1 내지 4인 것이 바람직하고, 보다 바람직하게는 약 0.5 내지 2의 pH를 갖는다. If the pH of the cleaning liquid composition including sulfuric acid, peroxide compound and fluorine compound is less than 0.1, the remaining polymers have excellent cleaning ability, but silicon and non-silicon metals are corroded. In addition, when the pH of the cleaning liquid composition exceeds 4, the cleaning ability of the remaining polymers is lowered. Therefore, the pH of the cleaning liquid composition is preferably 0.1 to 4, more preferably has a pH of about 0.5 to 2.

본 발명의 조성물에 있어서, 상기 황산은 산성 수용액의 산도 (pH)를 증가시켜 상기 세정액 조성물 중에 포함되어 있는 불소화합물이 세정액 조성물 내에서 빨리 해리될 수 있도록 하여 폴리머들이 보다 용이하게 제거될 수 있도록 하는 촉매 역할을 한다. In the composition of the present invention, the sulfuric acid increases the acidity (pH) of the acidic aqueous solution so that fluorine compounds contained in the cleaning liquid composition can be quickly dissociated in the cleaning liquid composition so that the polymers can be more easily removed. It acts as a catalyst.

본 발명의 조성물 중 황산의 함량은 조성물 총 중량에 대하여 0.5 내지 20중량%로 포함되는 것이 바람직하며, 더욱 바람직하게는 1 내지 10 중량%이다. 황산의 함량이 0.5중량% 미만이면, 폴리머 잔류물이 제거되지 않는 문제가 발생하며, 20중 량%를 초과하면, 실리콘 및 실리콘 이외의 금속이 부식되는 문제점이 발생한다. 상기 사용되는 황산은 그 농도에 따라서 상기 세정액 조성물에 포함되는 황산의 함량이 달라질 수 있으며, 바람직하게는 상기 세정액 조성물은 황산(100%로 환산한 것)을 0.5 내지 20중량%를 함유한다. The content of sulfuric acid in the composition of the present invention is preferably included in 0.5 to 20% by weight, more preferably 1 to 10% by weight relative to the total weight of the composition. If the content of sulfuric acid is less than 0.5% by weight, there is a problem that the polymer residue is not removed, if more than 20% by weight, the problem of corrosion of metals other than silicon and silicon. The sulfuric acid used may vary in the content of sulfuric acid included in the cleaning liquid composition according to its concentration, and preferably, the cleaning liquid composition contains 0.5 to 20% by weight of sulfuric acid (converted to 100%).

본 발명의 조성물 중 과산화 화합물의 함량은 조성물 총 중량에 대하여 1 내지 20중량%로 포함되는 것이 바람직하다. 과산화 화합물의 함량이 1중량% 미만이면, 폴리머 잔류물이 제거되지 않는 문제가 발생하며, 20중량%를 초과하면, 실리콘 및 실리콘 이외의 금속이 부식되는 문제점이 발생한다. 상기 사용되는 과산화 화합물은 그 농도에 따라서 상기 세정액 조성물에 포함되는 과산화 화합물의 함량이 달라질 수 있다.The content of the peroxide compound in the composition of the present invention is preferably included in 1 to 20% by weight based on the total weight of the composition. If the content of the peroxide compound is less than 1% by weight, there is a problem that the polymer residues are not removed, and if it exceeds 20% by weight, silicon and non-silicon metals are corroded. The peroxide compound used may vary in content of the peroxide compound included in the cleaning liquid composition according to its concentration.

상기 과산화 화합물의 구체적인 예로는 과산화수소수, 오존, 과산화황산, 과산화붕산, 과산화인산, 과산화아세트산, 과산화벤조산, 과산화프탈산 및 이들의 염으로 이루어진 군으로부터 1종 이상 선택된 화합물을 들 수 있다. Specific examples of the peroxide compound include at least one compound selected from the group consisting of hydrogen peroxide, ozone, sulfur peroxide, boric peroxide, phosphoric acid peroxide, acetic acid peroxide, benzoic peroxide, phthalic peroxide and salts thereof.

본 발명의 조성물 중 불소화합물의 함량은 조성물 총 중량에 대하여 0.01 내지 0.1중량%로 포함되는 것이 바람직하다. 불소화합물의 함량이 0.01중량% 미만이면, 폴리머 잔류물이 제거되지 않는 문제가 발생하며, 0.1중량%를 초과하면, 실리콘 및 실리콘 이외의 금속이 부식되는 문제점이 발생한다. The content of the fluorine compound in the composition of the present invention is preferably included in 0.01 to 0.1% by weight based on the total weight of the composition. If the content of the fluorine compound is less than 0.01% by weight, there is a problem that the polymer residue is not removed. If the content of the fluorine compound exceeds 0.1% by weight, silicon and non-silicon metals are corroded.

상기 불소화합물의 구체적인 예로는 불산(Fluoric acid), 불화 암모늄(Ammonium fluoride), 테트라메틸암모늄 플루오르화물(Tetramethylammonium fluoride), 불화수소 암모늄(Ammonium hydrogen fluoride), 불화붕소 산(Fluoboric acid) 및 테트라메틸암모늄 테트라플루오로붕산염(Tetramethylammonium tetrafluoroborate)으로 이루어진 군으로부터 1종 이상 선택된 화합물을 들 수 있다. Specific examples of the fluorine compound include fluoric acid, ammonium fluoride, tetramethylammonium fluoride, ammonium hydrogen fluoride, fluoroboric acid, and tetramethylammonium tetra And at least one compound selected from the group consisting of fluoroborate (Tetramethylammonium tetrafluoroborate).

본 발명의 조성물에 있어서, 상기 인산암모늄염은 인산 이온 또는 폴리(poly) 인산 이온과 암모늄 이온염 화합물을 의미하고, 상기 염 화합물에서 인산 성분은 알루미늄 혹은 알루미늄 합금에 대한 부식 방지에 우수한 효과가 있다. 또한 암모늄 성분은 기판 위의 유기오염물이나 파티클 제거에 우수한 효과가 있다.  In the composition of the present invention, the ammonium phosphate salt means a phosphate ion or a poly (phosphate) ion and an ammonium ion salt compound, and the phosphoric acid component in the salt compound has an excellent effect on corrosion protection against aluminum or an aluminum alloy. In addition, the ammonium component is excellent in removing organic contaminants and particles on the substrate.

본 발명의 조성물 중 인산암모늄염의 함량은 조성물 총 중량에 대하여 바람직하게는 0.01 내지 30중량%, 더욱 바람직하게는 0.1 내지 20중량%이다. 인산암모늄염의 함량이 0.01중량% 미만이면, 세정액 조성물의 금속에 대한 부식 방지력이 저하되고, 30중량%를 초과하면, 부식 방지효과는 더 이상 비례하여 증가하지 않고 인산염이 기판 표면에 잔존할 수 있다. The content of ammonium phosphate salt in the composition of the present invention is preferably 0.01 to 30% by weight, more preferably 0.1 to 20% by weight based on the total weight of the composition. If the content of ammonium phosphate salt is less than 0.01% by weight, the corrosion protection of the cleaning liquid composition against the metal is lowered, and if it exceeds 30% by weight, the corrosion protection effect no longer increases proportionally and phosphate may remain on the substrate surface. have.

상기 인산암모늄염의 구체적인 예로는 인산 일수소 암모늄, 인산 이수소 암모늄, 인산 삼암모늄, 차인산 암모늄, 오르쏘인산 암모늄, 오르쏘인산 이수소 암모늄, 오르쏘인산 일수소 암모늄, 차아인산 암모늄, 오르쏘아인산 이수소 암모늄 및 Wn +2PnO3n +1(W=NH4, n=2이상의 정수)로 표시되는 폴리인산암모늄염 및 이들의 혼합물로 이루어진 군으로부터 1종 이상 선택되는 화합물을 사용하는 것이 바람직하다. 특히 이들 가운데 인산 이수소 암모늄을 포함하는 것이 보다 바람직하다.      Specific examples of the ammonium phosphate salt include ammonium dihydrogen phosphate, ammonium dihydrogen phosphate, triammonium phosphate, ammonium hypophosphate, ammonium orthophosphate, ammonium orthophosphate, ammonium orthophosphate, ammonium monophosphate, ammonium hypophosphite, ortho Using at least one compound selected from the group consisting of ammonium dihydrogen phosphate and poly ammonium phosphate represented by W n +2 P n O 3n +1 (W = NH 4 , n = 2 integer) and mixtures thereof It is preferable. Among these, it is more preferable to include ammonium dihydrogen phosphate.

본 발명의 조성물에 있어서, 금속 부식 방지 화합물은 세정액 조성물 내에 존재하다가 전자 재료의 금속과 흡착반응 (킬레이트 반응)하여 상기 금속의 부식을 억제하는 부식 방지막을 형성하고, 상기 부식 방지막은 상기 세정액 조성물에 포함된 산성 수용액이 금속 반응하는 속도를 늦추는 역할을 하여 세정 공정 동안에 금속의 부식을 최소화하는 역할을 한다. 상기 본 발명의 세정액 조성물에 포함된 금속 부식 방지 화합물은 바람직하게는 하기 구조식 1로 나타낸다. In the composition of the present invention, the metal corrosion protection compound is present in the cleaning liquid composition and then adsorbed with the metal of the electronic material (chelating reaction) to form a corrosion prevention film that suppresses corrosion of the metal, and the corrosion protection film is applied to the cleaning liquid composition. The acidic aqueous solution contained serves to slow down the rate at which the metal reacts, thereby minimizing the corrosion of the metal during the cleaning process. The metal corrosion prevention compound contained in the cleaning liquid composition of the present invention is preferably represented by the following structural formula (1).

[구조식 1] [Formula 1]

Figure 112006084194040-PAT00003
Figure 112006084194040-PAT00003

상기 구조식 1에서, A 및 B는 각각 독립적으로 수소 또는 NR1R2이며, 상기 식의 R1, R2 및 R3는 각각 독립적으로 수소, 알킬, 히드록시알킬, 아릴, -(CH2)m-COOH, -P(=O)(OH)2, 및 -(CH2)n-P(=O)(OH)2 (식 중, m과 n은 각각 독립적으로 1 내지 6의 정수이다)로 이루어진 군에서 선택될 수 있으며, R5 및 R6는 각각 독립적으로 직쇄 또는 분지된 탄소수 1 내지 6의 알킬렌, 모노옥시알킬렌, 또는 폴리옥시알킬렌이며, 이는 비치환이거나, 히드록실, 히드록시알킬, 아릴, -(CH2)p-COOH, -P(=O)(OH)2, 및 -(CH2)q-P(=O)(OH)2 로 이루어진 군에서 선택된 적어도 하나의 치환기로 치환될 수 있고, 이 경우에, p 및 q는 각각 독립적으로 0 내지 6의 정수이고, a'는 0내지 2의 정수이다. In Formula 1, A and B are each independently hydrogen or NR 1 R 2 , wherein R 1 , R 2 and R 3 are each independently hydrogen, alkyl, hydroxyalkyl, aryl,-(CH 2 ) m- COOH, -P (= O) (OH) 2 , and-(CH 2 ) n -P (= O) (OH) 2 , wherein m and n are each independently an integer from 1 to 6 It may be selected from the group consisting of, R 5 and R 6 are each independently straight or branched alkylene, monooxyalkylene, or polyoxyalkylene having 1 to 6 carbon atoms, which is unsubstituted, hydroxyl, At least one selected from the group consisting of hydroxyalkyl, aryl,-(CH 2 ) p -COOH, -P (= 0) (OH) 2 , and-(CH 2 ) q -P (= 0) (OH) 2 It may be substituted with the substituent of, In this case, p and q are each independently an integer of 0 to 6, a 'is an integer of 0 to 2.

본 발명의 조성물 중 금속 부식 방지 화합물의 함량은 조성물 총 중량에 대하여 바람직하게는 0.0005 내지 0.1중량%이다. 금속 부식 방지 화합물의 함량이 0.0005중량% 미만이면, 실리콘 및 실리콘 이외의 금속이 부식되는 문제점이 발생하며, 0.1중량%를 초과하면, 잔류하는 폴리머들의 세정능력이 저하된다. The content of the metal corrosion preventing compound in the composition of the present invention is preferably 0.0005 to 0.1% by weight based on the total weight of the composition. If the content of the metal corrosion preventing compound is less than 0.0005% by weight, the problem of corrosion of metals other than silicon and silicon occurs, and if it exceeds 0.1% by weight, the cleaning ability of the remaining polymers is lowered.

본 발명의 실리콘 이외의 금속은 바람직하게는 알루미늄 또는 텅스텐을 포함한다.Metals other than silicon of the invention preferably comprise aluminum or tungsten.

상기 구조식 1로 표기되는 화합물의 구체적인 예로는 펜타메틸디에틸렌트리아민(PMDETA), 테트라메틸에틸렌디아민(TMEDA), 에틸렌디아민테트라아세트산(EDTA), 디에틸렌트리아민펜타아세트산(DTPA), 히드록시에틸에틸렌디아민아세트산(HEDTA), 글리콜에테르디아민테트라아세트산(GEDTA), 트리에틸렌테트라아민헥사아세트산(TTHA), 1,3-프로판디아민테트라아세트산(PDTA), 1,3-디아미노-2-히드록시프로판테트라아세트산(DPTA-OH), 아미노트리메틸렌포스폰산(ATMPA), 에틸렌디아민테트라메틸렌포스폰산(EDTMPA), 디에틸렌트리아민펜타메틸렌포스폰산(DTPMPA), 헥사메틸렌디아민테트라메틸렌포스폰산(HDTMPA), 니트릴로트리메틸렌포스폰산(NTMP) 및 그 염으로 이루어진 군으로부터 1종 이상 선택되는 화합물을 사용하는 것이 바 람직하다. Specific examples of the compound represented by Structural Formula 1 include pentamethyldiethylenetriamine (PMDETA), tetramethylethylenediamine (TMEDA), ethylenediaminetetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTPA), hydroxyethyl Ethylenediamineacetic acid (HEDTA), glycol etherdiaminetetraacetic acid (GEDTA), triethylenetetraaminehexaacetic acid (TTHA), 1,3-propanediaminetetraacetic acid (PDTA), 1,3-diamino-2-hydroxypropane Tetraacetic acid (DPTA-OH), aminotrimethylenephosphonic acid (ATMPA), ethylenediaminetetramethylenephosphonic acid (EDTMPA), diethylenetriaminepentamethylenephosphonic acid (DTPMPA), hexamethylenediaminetetramethylenephosphonic acid (HDTMPA), It is preferred to use a compound selected from the group consisting of nitrilotrimethylenephosphonic acid (NTMP) and salts thereof.

상기 구조식 1로 표기되는 화합물은 구입하여 사용할 수 있다. 구입할 수 있는 구체적인 제품의 상품명으로는, Chelest PH-210, Chelest PH-212, Chelest PH-214, Chelest PH-320, Chelest PH-325(이상 CHELEST CORPORATION, Japan), DequestTM 2040, DequestTM 2041, DequestTM 2046, DequestTM 2050, DequestTM 2054, DequestTM 2060, DequestTM 2060S, DequestTM 2066, DequestTM 2066A (이상 Solutia, Inc., USA) 등을 들 수 있다. The compound represented by Structural Formula 1 can be purchased and used. Specific names of specific products that can be purchased include Chelest PH-210, Chelest PH-212, Chelest PH-214, Chelest PH-320, Chelest PH-325 (above CHELEST CORPORATION, Japan), Dequest TM 2040, Dequest TM 2041, Dequest 2046, Dequest 2050, Dequest 2054, Dequest 2060, Dequest 2060S, Dequest 2066, Dequest 2066A (above Solutia, Inc., USA) and the like.

본 발명의 조성물에 있어서, 실리콘 부식 방지 화합물은 세정액 조성물에 함유되어 세정 공정 동안 실리콘의 부식을 최소화하는 역할을 한다. 상기 본 발명의 세정액 조성물에 포함된 실리콘 부식 방지 화합물은, 분자 중에 적어도 하나 이상의 니트로기를 포함하는 화합물이며, 바람직하게는 하기 구조식 2로 나타낸다. In the composition of the present invention, the silicon corrosion preventing compound is contained in the cleaning liquid composition and serves to minimize the corrosion of the silicon during the cleaning process. The silicon corrosion protection compound contained in the cleaning liquid composition of the present invention is a compound containing at least one nitro group in a molecule, preferably represented by the following structural formula (2).

[구조식 2] [Formula 2]

Figure 112006084194040-PAT00004
Figure 112006084194040-PAT00004

상기 구조식 2에서, R1 내지 R5는 각각 독립적으로, 수소원자, 할로겐원자, 또는 니트로기, 알킬기, 수산기, 히드록시알킬기, 아민기, 카르복실기, 술폰산기, 술폰아미드기, 알콕실기, 알데히드기, 아세톡실기, 포스폰산기, 머캅토기, 알릴기, 아릴기, 알데히드기, 아밀기 등의 관능기, 또는 이러한 관능기의 알킬화 유도체, 아세틸화 유도체, 할로겐화 유도체, 또는 이것들의 염을 나타낸다.In Formula 2, R1 to R5 are each independently a hydrogen atom, a halogen atom, or a nitro group, an alkyl group, a hydroxyl group, a hydroxyalkyl group, an amine group, a carboxyl group, a sulfonic acid group, a sulfonamide group, an alkoxyl group, an aldehyde group, an acetok Functional groups, such as a real group, a phosphonic acid group, a mercapto group, an allyl group, an aryl group, an aldehyde group, an amyl group, or an alkylated derivative, an acetylated derivative, a halogenated derivative of these functional groups, or salts thereof are shown.

본 발명의 조성물 중 실리콘 부식 방지 화합물의 함량은 조성물 총 중량에 대하여 바람직하게는 0.0001 내지 5중량%, 더욱 바람직하게는 0.001 내지 1중량%이다. 실리콘 부식 방지 화합물의 함량이 0.0001중량% 미만이면, 실리콘 및 실리콘 이외의 금속이 부식되는 문제점이 발생하며, 5중량%를 초과하면, 세정액 중 실리콘 부식 방지 화합물의 용해도가 낮아지는 결과를 초래한다. The content of the silicone corrosion preventing compound in the composition of the present invention is preferably 0.0001 to 5% by weight, more preferably 0.001 to 1% by weight based on the total weight of the composition. If the content of the silicon anticorrosion compound is less than 0.0001% by weight, the problem of corrosion of metals other than silicon and silicon occurs, and if it exceeds 5% by weight, the solubility of the silicon anticorrosion compound in the cleaning solution is lowered.

상기 구조식 2로 표기되는 구체적인 화합물로서는, 니트로벤젠, 디니트로벤젠, 트리니트로벤젠, 니트로클로로벤젠, 디니트로클로로벤젠, 트리니트로클로로벤젠, 니트로톨루엔, 디니트로톨루엔, 트리니트로톨루엔, 니트로클로로톨루엔, 디니트로클로로톨루엔, 트리니트로클로로톨루엔, 니트로자일렌, 이소프로필니트로벤젠, 니트로페놀, 디니트로페놀, 트리니트로페놀, 니트로카테콜, 디니트로카테콜, 니트로레졸시놀, 니트로클로로페놀, 디니트로클로로페놀, 트리니트로클로로페놀, 니트로살리실산, 니트로벤질알코올, 디니트로벤질알콜, 트리니트로벤질알콜, 니트로아닐린, 디니트로아닐린, 트리니트로아닐린, 니트로클로로아닐린, 디니트로클로로아닐린, 트리니트로클로로아닐린, 니트로프로폭시아닐린, 니트로아세트아닐리드, 니트로페니렌디아민, 니트로안식향산, 디니트로안식향산, 트리니트로안식향산, 니트로안식향산메틸, 니트로안식향산에틸, 아미노니트로안식향산, 니트로프탈산, 니트 로벤젠술폰산, 디니트로벤젠술폰산, 트리니트로벤젠술폰산, 니트로벤젠술폰아미드, 디니트로벤젠술폰아미드, 트리니트로벤젠술폰아미드, 니트로아니솔, 디니트로아니솔, 트리니트로아니솔, 아미노니트로아니솔, 디메톡시니트로벤젠, 니트로벤조알데히드, 디니트로벤조알데히드, 트리니트로벤조알데히드, 초산니트로페닐, 니트로톨루이디엔 및 니트로벤조일클로라이드로 이루어진 군으로부터 1종 이상 선택되는 화합물을 사용하는 것이 바람직하다. 한편, 이러한 화합물에 있어서, 니트로기에 대한 관능기 등의 위치 관계는 오르쏘(O-), 메타(m-), 파라(p-)의 어느 위치에 존재하고 있어도 좋다. Specific examples of the compound represented by Structural Formula 2 include nitrobenzene, dinitrobenzene, trinitrobenzene, nitrochlorobenzene, dinitrochlorobenzene, trinitrochlorobenzene, nitrotoluene, dinitrotoluene, trinitrotoluene, nitrochlorotoluene, and dinitrochloro Toluene, trinitrochlorotoluene, nitroxylene, isopropylnitrobenzene, nitrophenol, dinitrophenol, trinitrophenol, nitrocatechol, dinitrocatechol, nitroresorcinol, nitrochlorophenol, dinitrochlorophenol, trinitrochloro Phenol, nitrosalicylic acid, nitrobenzyl alcohol, dinitrobenzyl alcohol, trinitrobenzyl alcohol, nitroaniline, dinitroaniline, trinitroaniline, nitrochloroaniline, dinitrochloroaniline, trinitrochloroaniline, nitropropoxyaniline, nitroacenitrile, Nitrophenyrendi Min, nitro benzoic acid, dinitro benzoic acid, trinitro benzoic acid, methyl nitro benzoic acid, ethyl nitro benzoic acid, amino nitro benzoic acid, nitrophthalic acid, nitrobenzene sulfonic acid, dinitrobenzene sulfonic acid, trinitrobenzene sulfonic acid, nitrobenzene sulfonamide, dinitrobenzene sulfone Amide, trinitrobenzenesulfonamide, nitroanisole, dinitroanisole, trinitroanisole, aminonitroanisole, dimethoxynitrobenzene, nitrobenzoaldehyde, dinitrobenzoaldehyde, trinitrobenzoaldehyde, nitrophenyl acetate, nitrotoluyi Preference is given to using at least one compound selected from the group consisting of dienes and nitrobenzoyl chlorides. In addition, in such a compound, the positional relationship, such as a functional group, with respect to a nitro group may exist in any position of ortho (O-), meta (m-), and para (p-).

상기 니트로기 함유 화합물 중 보다 바람직한 구체 예로서는, 니트로벤젠, 니트로아닐린, 니트로톨루엔, 니트로아니솔, 니트로벤질알콜 및 니트로아세트아닐리드 등을 들 수 있다. As a more preferable specific example among the said nitro group containing compounds, nitrobenzene, nitroaniline, nitrotoluene, nitroanisole, nitrobenzyl alcohol, nitroacetanilide, etc. are mentioned.

본 발명의 세정액 조성물에서 사용할 수 있는 실리콘 부식 방지 화합물은, 세정액에 함유시킴으로써, 실리콘에 대한 부식성이 없는 세정액을 제공할 수 있다. By containing in the washing | cleaning liquid the silicone corrosion prevention compound which can be used by the washing | cleaning liquid composition of this invention can provide the washing | cleaning liquid which is not corrosive to silicone.

본 발명의 세정액 조성물에 함유되는 상기 실리콘 부식 방지 화합물은, 단일화합물이라도 좋고, 복수의 화합물을 함유시켜도 좋다. The said silicon corrosion prevention compound contained in the washing | cleaning liquid composition of this invention may be a single compound, and may contain a some compound.

또한, 본 발명의 전자 재료용 세정액 조성물은 본 발명의 효과에 악영향을 미치지 않는 한, 당 업계에서 통상적으로 사용되는 첨가제를 함유할 수 있다. Moreover, the cleaning liquid composition for electronic materials of this invention may contain the additive normally used in the art, as long as it does not adversely affect the effect of this invention.

본 발명의 전자 재료용 세정액 조성물을 수득하기 위하여, 상술한 화합물은 소정의 양으로 유리하게 혼합될 수 있으며, 혼합 방법은 특별히 제한되는 것이 아니며, 여러 가지 공지 방법을 적용할 수 있다. In order to obtain the cleaning liquid composition for an electronic material of the present invention, the above-mentioned compounds can be advantageously mixed in a predetermined amount, and the mixing method is not particularly limited, and various known methods can be applied.

본 발명에 따른 전자 재료용 세정액 조성물을 사용할 경우에는, 후속의 린스공정에서 이소프로필 알코올, 디메틸 설폭사이드와 같은 유기용제를 사용할 필요 없이, 물만으로 린스가 가능하다. In the case of using the cleaning liquid composition for an electronic material according to the present invention, it is possible to rinse only with water without using organic solvents such as isopropyl alcohol and dimethyl sulfoxide in the subsequent rinsing step.

또한, 본 발명의 전자 재료용 세정액 조성물은 단결정 실리콘, 비결정(amorphous) 실리콘, 다결정 실리콘 등의 실리콘 및 산화실리콘 절연막, 또한 실리콘 이외의 금속, 예를 들어 알루미늄, 텅스텐 등에 대한 부식성을 억제한 세정액이므로, 액정디스플레이, 실리콘기판을 사용한 집적회로 디바이스 등의 전자부품의 세정공정에 적용이 가능하다. In addition, the cleaning liquid composition for an electronic material of the present invention is a cleaning liquid that suppresses the corrosiveness to silicon and silicon oxide insulating films, such as monocrystalline silicon, amorphous silicon, polycrystalline silicon, and metals other than silicon such as aluminum, tungsten, and the like. It is applicable to the cleaning process of electronic components, such as a liquid crystal display and an integrated circuit device using a silicon substrate.

본 발명의 전자 재료용 세정액 조성물은 실리콘 및 실리콘 이외의 금속, 예를 들어 알루미늄, 텅스텐, 티타늄 등과 같은 물질에 대하여 매우 낮은 부식성을 가지며, 특히 알루미늄, 텅스텐을 포함하는 금속 막질에 우수한 방식성을 가진다. 또한, 반도체소자 표면에 부착되어 있는 미세한 오염 입자, 습식 또는 건식 식각공정, 드라이 에싱 공정 후 발생하는 변질, 경화된 포토레지스트 및 폴리머 잔류물에 대하여 침적, 분무법, 매엽 방식에 의하여 저온에서, 단시간 내에 용이하게 세정하여 제거할 수 있으며, 반도체소자 및 액정표시소자를 구성하고 있는 금속막, 산화막과 같은 무기재료 물질에 대하여 부식성이 매우 낮으며, 결과적으로 반도체소자 및 액정표시소자의 제조 공정 중 세정 공정에서 사용될 수 있다. The cleaning liquid composition for an electronic material of the present invention has very low corrosiveness to silicon and metals other than silicon, such as aluminum, tungsten, titanium, and the like, and particularly has excellent anticorrosive property to metal films including aluminum and tungsten. . In addition, in a short time at low temperature by depositing, spraying, or sheeting method on fine contaminant particles, wet or dry etching process, dry de-essing process, and dried photoresist and polymer residue adhering to the surface of semiconductor device. It can be easily cleaned and removed, and it has very low corrosiveness to inorganic material materials such as metal films and oxide films constituting semiconductor devices and liquid crystal display devices, and as a result, cleaning process during the manufacturing process of semiconductor devices and liquid crystal display devices. Can be used in

        

또한, 본 발명은 본 발명의 세정제 조성물을 이용하여 반도체 디바이스 및 액정표시장치를 포함하는 전자 재료 제조 시 세정을 실시하는 것을 특징으로 하는 세정 방법을 제공한다. In addition, the present invention provides a cleaning method using the cleaning composition of the present invention for cleaning the electronic material including the semiconductor device and the liquid crystal display device.

본 발명의 세정 방법은 바람직하게는 실리콘 및 실리콘 이외의 금속, 바람직하게는 알루미늄, 텅스텐 금속의 부식을 억제하며, 반도체소자 표면에 부착되어 있는 미세한 오염 입자, 습식 또는 건식 식각공정, 드라이 에싱 공정 후 발생하는 변질, 경화된 포토레지스트 및 폴리머 잔류물을 제거하는데 사용할 수 있다. The cleaning method of the present invention preferably suppresses corrosion of metals other than silicon and silicon, preferably aluminum and tungsten metals, and after fine contaminating particles, wet or dry etching processes, and dry ashing processes adhered to the surface of the semiconductor device. It can be used to remove the resulting deterioration, cured photoresist and polymer residues.

세정 방법은 당 업계에 통상적으로 알려진 세정 방법에 의하여 수행할 수 있으며, 세정액 조성물과, 포토레지스트 및 폴리머 잔류물이 형성되어 있는 기판이 접촉할 수 있는 방법이면, 양호한 결과를 얻을 수 있다.  본 발명에 따른 세정 방법으로는, 침적, 분무법, 매엽 방식 및 에어 나이프 방식을 이용한 방법 등이 적용된다.  침적, 분무법, 매엽 방식 및 에어 나이프 방식에 의하여 세정하는 경우, 세정 조건으로서 온도는 대개 10 내지 100℃, 바람직하게는 20 내지 50℃이고, 침적 및 분무시간은 대개 10초 내지 30분, 바람직하게는 30초 내지 10분이지만, 본 발명에 있어서 엄밀하지 않으며, 당업자에 의해 용이하게 적합화 될 수 있다. The cleaning method can be carried out by cleaning methods commonly known in the art, and good results can be obtained as long as the cleaning liquid composition and the substrate on which the photoresist and the polymer residue are formed can contact each other. As a washing | cleaning method which concerns on this invention, the method using the immersion, the spraying method, the sheet | leaf method, the air knife system, etc. are applied. In the case of cleaning by dip, spray, sheetfed and air knife methods, the temperature is usually 10 to 100 ° C., preferably 20 to 50 ° C., and the deposition and spraying time is usually 10 seconds to 30 minutes, preferably Is 30 seconds to 10 minutes, but is not exact in the present invention and can be easily adapted by those skilled in the art.

이하, 본 발명을 실시예를 통해 더욱 상세히 설명하고자 한다. 그러나 하기 실시예는 본 발명을 예시하는 것일 뿐, 본 발명이 하기 실시예에 의해 한정되는 것은 아니다. Hereinafter, the present invention will be described in more detail with reference to Examples. However, the following examples are merely to illustrate the invention, the present invention is not limited by the following examples.

세정액 조성물의 제조Preparation of Cleaning Liquid Composition

실시예Example 1 One

세정액 조성물 100중량%에 대하여 황산 1중량%, 과산화수소수 5중량%, 불산(HF) 0.05중량%, 인산이수소암모늄 10중량%, 디에틸렌트리아민펜타메틸렌포스폰산 0.05중량%, 니트로벤젠 0.05중량% 및 잔량의 탈이온수를 혼합하여 세정액 조성물을 제조하였다. 이때, 상기 황산, 과산화수소수 및 불산은 100% 순수한 물질로 환산하여 첨가하였다.1% by weight sulfuric acid, 5% by weight hydrogen peroxide, 0.05% by weight hydrofluoric acid (HF), 10% by weight ammonium dihydrogen phosphate, 0.05% by weight diethylenetriaminepentamethylenephosphonic acid, 0.05% by weight nitrobenzene % And the remaining amount of deionized water were mixed to prepare a cleaning liquid composition. At this time, the sulfuric acid, hydrogen peroxide and hydrofluoric acid were added in terms of 100% pure material.

실시예Example 2~19 2 ~ 19

상기 실시예 1과 동일한 방법으로 세정액 조성물을 제조하되, 그 조성비를 하기 표 1에 도시된 바와 같은 조성 (단위: 중량%)으로 변경하여 제조하였다. To prepare a cleaning solution composition in the same manner as in Example 1, was prepared by changing the composition ratio of the composition (unit: weight%) as shown in Table 1 below.

비교예Comparative example 1~3 1 to 3

상기 실시예 1과 동일한 방법으로 세정액 조성물을 제조하되, 그 조성비를 하기 표 1에 도시된 바와 같은 조성 (단위: 중량%)으로 변경하여 제조하였다. 구체적으로, 비교예 1의 경우 인산암모늄염, 금속부식 방지 화합물 및 실리콘 부식 방지 화합물을 모두 첨가하지 않았고, 비교예 2 및 3의 경우 인산암모늄염은 모두 첨가하지 않았으며, 금속부식 방지 화합물 또는 실리콘 부식 방지 화합물을 선택적으로 첨가하지 않았다. To prepare a cleaning solution composition in the same manner as in Example 1, was prepared by changing the composition ratio of the composition (unit: weight%) as shown in Table 1 below. Specifically, in the case of Comparative Example 1, all of the ammonium phosphate salt, the metal corrosion preventing compound and the silicon corrosion preventing compound were not added, and in Comparative Examples 2 and 3, all the ammonium phosphate salt was not added, and the metal corrosion preventing compound or the silicon corrosion preventing No compound was added selectively.

  황산Sulfuric acid 과산화 수소수Hydrogen peroxide 불산Foshan 인산 암모늄염Ammonium Phosphate 금속부식 방지화합물Metal Corrosion Prevention Compound 실리콘 부식방지 화합물Silicone anticorrosive compounds 탈이온수 Deionized water   함량 (중량%)Content (% by weight) 함량 (중량%)Content (% by weight) 함량 (중량%)Content (% by weight) 인산이수소 암모늄Ammonium Dihydrogen Phosphate 디에틸렌 트리아민 펜타메틸렌 포스폰산Diethylene triamine pentamethylene phosphonic acid 니트로벤젠Nitrobenzene 실시예 1Example 1 1One 55 0.050.05 1010 0.050.05 0.050.05 잔량Remaining amount 실시예 2Example 2 33 55 0.050.05 55 0.050.05 0.050.05 잔량Remaining amount 실시예 3Example 3 55 55 0.050.05 1One 0.050.05 0.050.05 잔량Remaining amount 실시예 4Example 4 77 55 0.050.05 0.10.1 0.050.05 0.050.05 잔량Remaining amount 실시예 5Example 5 1010 55 0.050.05 2020 0.050.05 0.050.05 잔량Remaining amount 실시예 6Example 6 1010 1One 0.050.05 1010 0.050.05 0.050.05 잔량Remaining amount 실시예 7Example 7 1010 33 0.050.05 55 0.050.05 0.050.05 잔량Remaining amount 실시예 8Example 8 1010 55 0.050.05 1One 0.050.05 0.050.05 잔량Remaining amount 실시예 9Example 9 1010 77 0.050.05 0.10.1 0.050.05 0.050.05 잔량Remaining amount 실시예10Example 10 1010 1010 0.050.05 2020 0.050.05 0.050.05 잔량Remaining amount 실시예11Example 11 1010 33 0.010.01 1010 0.050.05 0.050.05 잔량Remaining amount 실시예12Example 12 1010 77 0.010.01 55 0.050.05 0.050.05 잔량Remaining amount 실시예13Example 13 1010 33 0.10.1 1One 0.050.05 0.050.05 잔량Remaining amount 실시예14Example 14 1010 77 0.10.1 0.10.1 0.050.05 0.050.05 잔량Remaining amount 실시예15Example 15 1010 33 0.050.05 2020 0.0010.001 0.050.05 잔량Remaining amount 실시예16Example 16 1010 33 0.050.05 1010 0.010.01 0.050.05 잔량Remaining amount 실시예17Example 17 1010 33 0.050.05 55 0.050.05 0.010.01 잔량Remaining amount 실시예18Example 18 1010 33 0.050.05 1One 0.050.05 0.10.1 잔량Remaining amount 실시예19Example 19 1010 33 0.050.05 0.10.1 0.050.05 1One 잔량Remaining amount 비교예 1Comparative Example 1 55 22 0.050.05 -- -- -- 잔량Remaining amount 비교예 2Comparative Example 2 55 22 0.050.05 -- 0.050.05 -- 잔량Remaining amount 비교예 3Comparative Example 3 55 22 0.050.05 -- -- 0.050.05 잔량Remaining amount

실험예Experimental Example . 방식효과 및 . Anticorrosion effect and 폴리머Polymer 제거력Removal 평가  evaluation

텅스텐(W) 또는 알루미늄 (Al) 금속막이 형성된 기판, 보로포스포실리케이트 글라스 (borophosphatesilicate glass, BPSG) 또는 다결정실리콘 (poly-Si) 하부층이 각각 형성된 기판을 상온의 상기 실시예 1 내지 19 및 비교예 1 내지 3의 세정액 조성물에 5분간 침지하고 탈이온수로 린스 한 후, 주사전자현미경(SEM, HITACHI, S-4700)으로 관찰하고, 금속층 및 하부층에 대한 부식 방지 능력과 폴리머 제거능을 평가하여, 표 2에 나타내었다. SEM 관찰결과의 평가 기준은 하기와 같다.    A substrate on which a tungsten (W) or aluminum (Al) metal film was formed, a borophosphatesilicate glass (BPSG) or a polycrystalline silicon (poly-Si) lower layer, respectively, was formed. After immersing for 5 minutes in the cleaning solution composition of 1 to 3 and rinsed with deionized water, observed with a scanning electron microscope (SEM, HITACHI, S-4700), and evaluated the anti-corrosion ability and the polymer removal ability to the metal layer and the lower layer, 2 is shown. Evaluation criteria of SEM observation results are as follows.

○ : 우수 ○: excellent

X : 불량 X: bad

  처리조건Treatment condition 방식성Anticorrosive 폴리머 제거성Polymer removal   온도 (℃)Temperature (℃) 시간 (분)Time (min) WW AlAl BPSGBPSG Poly-SiPoly-Si   실시예 1Example 1 RTRT 55 실시예 2Example 2 RTRT 55 실시예 3Example 3 RTRT 55 실시예 4Example 4 RTRT 55 실시예 5Example 5 RTRT 55 실시예 6Example 6 RTRT 55 실시예 7Example 7 RTRT 55 실시예 8Example 8 RTRT 55 실시예 9Example 9 RTRT 55 실시예10Example 10 RTRT 55 실시예11Example 11 RTRT 55 실시예12Example 12 RTRT 55 실시예13Example 13 RTRT 55 실시예14Example 14 RTRT 55 실시예15Example 15 RTRT 55 실시예16Example 16 RTRT 55 실시예17Example 17 RTRT 55 실시예18Example 18 RTRT 55 실시예19Example 19 RTRT 55 비교예 1Comparative Example 1 RTRT 55 XX XX XX XX 비교예 2Comparative Example 2 RTRT 55 XX XX XX 비교예 3Comparative Example 3 RTRT 55 XX XX

표 2에 나타난 바와 같이, 실시예 1 내지 19의 세정액 조성물은 금속막 및 하부층의 부식이 없고, 폴리머 제거 효율이 양호한 세정 결과를 나타내었다. As shown in Table 2, the cleaning liquid compositions of Examples 1 to 19 were free from corrosion of the metal film and the lower layer, and showed good cleaning results with good polymer removal efficiency.

그러나, 비교예 1의 세정액 조성물을 이용하여 기판의 세정 공정을 수행한 경우, 금속막 및 하부층 모두 부식이 발생하였다. 비교예 2의 세정액 조성물을 이용하여 기판의 세정 공정을 수행한 경우, 텅스텐 막을 제외한 알루미늄, BPSG 및 다결정실리콘막에 부식이 발생하였다. 또한, 비교예 3의 세정액 조성물을 이용하여 기판의 세정 공정을 수행한 경우, BPSG막 및 다결정실리콘막을 제외한 알루미늄, 텅스텐 막에 부식이 발생하였다.However, when the cleaning process of the substrate was performed using the cleaning liquid composition of Comparative Example 1, corrosion occurred in both the metal film and the lower layer. When the substrate cleaning process was performed using the cleaning liquid composition of Comparative Example 2, corrosion occurred in the aluminum, BPSG, and polycrystalline silicon films except for the tungsten film. In addition, when the substrate cleaning process was performed using the cleaning liquid composition of Comparative Example 3, corrosion occurred in the aluminum and tungsten films except for the BPSG film and the polycrystalline silicon film.

이상에서 설명한 바와 같이, 본 발명의 전자 재료용 세정액 조성물은 실리콘 및 실리콘 이외의 금속의 부식을 억제하며, 반도체소자 표면에 부착되어 있는 미세한 오염 입자, 습식 또는 건식 식각공정, 드라이 에싱 공정 후 발생하는 변질, 경화된 포토레지스트 및 폴리머 잔류물의 제거성능이 우수하고, 반도체소자 및 액정표시소자를 구성하고 있는 금속막, 산화막과 같은 무기재료 물질에 대하여 부식성이 매우 낮으며, 결과적으로 반도체소자 및 액정표시소자의 제조 공정 중 세정 공정에서 사용될 수 있다. As described above, the cleaning liquid composition for an electronic material of the present invention suppresses corrosion of silicon and metals other than silicon, and is generated after fine contaminating particles, wet or dry etching, and dry ashing processes adhered to the surface of a semiconductor device. Excellent removal performance of deteriorated, cured photoresist and polymer residue, very low corrosiveness to inorganic materials such as metal film and oxide film constituting semiconductor device and liquid crystal display device, and consequently, semiconductor device and liquid crystal display It can be used in the cleaning process of the device manufacturing process.

Claims (8)

황산, 과산화 화합물, 불소화합물, 인산암모늄염, 하기 구조식 1로 표시되는 금속 부식 방지 화합물, 하기 구조식 2로 표시되는 실리콘 부식 방지 화합물 및 탈이온수를 포함하는 전자 재료용 세정제 조성물. A cleaning composition for an electronic material comprising sulfuric acid, a peroxide compound, a fluorine compound, an ammonium phosphate salt, a metal corrosion preventing compound represented by the following structural formula 1, a silicon corrosion preventing compound represented by the following structural formula 2, and deionized water. [구조식 1] [Formula 1]
Figure 112006084194040-PAT00005
Figure 112006084194040-PAT00005
상기 구조식 1에서, A 및 B는 각각 독립적으로 수소 또는 NR1R2이며, 상기 식의 R1, R2 및 R3는 각각 독립적으로 수소, 알킬, 히드록시알킬, 아릴, -(CH2)m-COOH, -P(=O)(OH)2, 및 -(CH2)n-P(=O)(OH)2 (식 중, m과 n은 각각 독립적으로 1 내지 6의 정수이다)로 이루어진 군에서 선택될 수 있으며, R5 및 R6는 각각 독립적으로 직쇄 또는 분지된 탄소수 1 내지 6의 알킬렌, 모노옥시알킬렌, 또는 폴리옥시알킬렌이며, 이는 비치환이거나, 히드록실, 히드록시알킬, 아릴, -(CH2)p-COOH, -P(=O)(OH)2, 및 -(CH2)q-P(=O)(OH)2 로 이루어진 군에서 선택된 적어도 하나의 치환기로 치환될 수 있고, 이 경우에, p 및 q는 각각 독립적으로 0 내지 6의 정수이고, a'는 0내지 2의 정수이다. In Formula 1, A and B are each independently hydrogen or NR 1 R 2 , wherein R 1 , R 2 And R 3 are each independently hydrogen, alkyl, hydroxyalkyl, aryl,-(CH 2 ) m -COOH, -P (= 0) (OH) 2 , and-(CH 2 ) n -P (= 0) (OH) 2 (wherein m and n are each independently an integer from 1 to 6), and R 5 and R 6 are each independently straight or branched alkyl having 1 to 6 carbon atoms Ethylene, monooxyalkylene, or polyoxyalkylene, which is unsubstituted or hydroxyl, hydroxyalkyl, aryl,-(CH 2 ) p -COOH, -P (= 0) (OH) 2 , and- (CH 2 ) q -P (= O) (OH) 2 , and may be substituted with at least one substituent selected from the group consisting of p, q in this case, each independently, an integer of 0 to 6, a ' Is an integer between 0 and 2. [구조식 2] [Formula 2]
Figure 112006084194040-PAT00006
Figure 112006084194040-PAT00006
상기 구조식 2에서, R1 내지 R5는 각각 독립적으로, 수소원자, 할로겐원자, 또는 니트로기, 알킬기, 수산기, 히드록시알킬기, 아민기, 카르복실기, 술폰산기, 술폰아미드기, 알콕실기, 알데히드기, 아세톡실기, 포스폰산기, 머캅토기, 알릴기, 아릴기, 알데히드기, 알킬기, 아밀기 등의 관능기, 또는 이러한 관능기의 알킬화 유도체, 아세틸화 유도체, 할로겐화 유도체, 또는 이것들의 염을 나타낸다. In Formula 2, R1 to R5 are each independently a hydrogen atom, a halogen atom, or a nitro group, an alkyl group, a hydroxyl group, a hydroxyalkyl group, an amine group, a carboxyl group, a sulfonic acid group, a sulfonamide group, an alkoxyl group, an aldehyde group, an acetok Functional groups, such as a real group, a phosphonic acid group, a mercapto group, an allyl group, an aryl group, an aldehyde group, an alkyl group, an amyl group, or alkylated derivatives, acetylated derivatives, halogenated derivatives, or salts of these functional groups are shown.
청구항 1에 있어서, 상기 조성물은 황산 0.5 내지 20중량%, 과산화 화합물 1 내지 20중량%, 불소화합물 0.01 내지 0.1중량%, 인산암모늄염 0.01 내지 30중량%, 상기 구조식 1로 표시되는 금속 부식 방지 화합물 0.0005 내지 0.1중량%, 상기 구조식 2로 표시되는 실리콘 부식 방지 화합물 0.0001 내지 5중량% 및 전체 세정제 조성물이 100중량%가 되도록 하는 잔량의 탈이온수를 포함하는 전자 재료용 세정제 조성물. The method according to claim 1, wherein the composition is 0.5 to 20% by weight sulfuric acid, 1 to 20% by weight peroxide compound, 0.01 to 0.1% by weight fluorine compound, 0.01 to 30% by weight ammonium phosphate salt, metal corrosion protection compound represented by the formula 1 0.0005 To 0.1% by weight, 0.0001 to 5% by weight of the silicone corrosion protection compound represented by the formula 2 and the remaining amount of deionized water to the total detergent composition to 100% by weight of the detergent composition for electronic materials.          청구항 1 또는 2에 있어서, 상기 과산화 화합물이 과산화수소수, 오존, 과산화황산, 과산화붕산, 과산화인산, 과산화아세트산, 과산화벤조산, 과산화프탈산 및 이들의 염으로 이루어진 군으로부터 1종 이상 선택된 화합물인 것을 특징으로 하는 전자 재료용 세정액 조성물. The method according to claim 1 or 2, characterized in that the peroxide compound is a compound selected from the group consisting of hydrogen peroxide, ozone, peroxide sulfuric acid, boric peroxide, phosphoric acid peroxide, peracetic acid, benzoic acid peroxide, phthalic acid peroxide and salts thereof. Cleaning liquid composition for electronic materials. 청구항 1 또는 2에 있어서,  상기 불소 화합물이 불산(Fluoric acid), 불화 암모늄(Ammonium fluoride), 테트라메틸암모늄 플루오르화물(Tetramethylammonium fluoride), 불화수소 암모늄(Ammonium hydrogen fluoride), 불화붕소 산(Fluoboric acid) 및 테트라메틸암모늄 테트라플루오로붕산염(Tetramethylammonium tetrafluoroborate)으로 이루어진 군으로부터 1종 이상 선택된 화합물인 것을 특징으로 하는 전자 재료용 세정액 조성물. The fluorine compound according to claim 1 or 2, wherein the fluorine compound is fluoric acid, ammonium fluoride, tetramethylammonium fluoride, ammonium hydrogen fluoride, fluoroboric acid and the like. A cleaning liquid composition for an electronic material, characterized in that at least one compound selected from the group consisting of tetramethylammonium tetrafluoroborate. 청구항 1 또는 2에 있어서,  상기 인산암모늄염 화합물이 인산 일수소 암모늄, 인산 이수소 암모늄, 인산 삼암모늄, 차인산 암모늄, 오르쏘인산 암모늄, 오르쏘인산 이수소 암모늄, 오르쏘인산 일수소 암모늄, 차아인산 암모늄, 오르쏘아인산 이수소 암모늄 및 Wn +2PnO3n +1(W=NH4, n=2이상의 정수)로 표시되는 폴리인산암모늄염 및 이들의 혼합물로 이루어진 군으로부터 1종 이상 선택되는 화합물인 것을 특징으로 하는 전자 재료용 세정액 조성물. The method of claim 1 or 2, wherein the ammonium phosphate compound is ammonium monohydrogen phosphate, ammonium dihydrogen phosphate, triammonium phosphate, ammonium phosphate, ammonium orthophosphate, ammonium orthophosphate, ammonium orthophosphate, ammonium monophosphate At least one member selected from the group consisting of ammonium phosphite, ammonium orthophosphoric acid dihydrogen and ammonium polyphosphate represented by W n +2 P n O 3n +1 (W = NH 4 , n = 2 integer) and mixtures thereof The cleaning liquid composition for electronic materials characterized by the above-mentioned. 청구항 1 또는 2에 있어서, 상기 금속 부식 방지 화합물이 펜타메틸디에틸렌트리아민(PMDETA), 테트라메틸에틸렌디아민(TMEDA), 에틸렌디아민테트라아세트산(EDTA), 디에틸렌트리아민펜타아세트산(DTPA), 히드록시에틸에틸렌디아민아세트산(HEDTA), 글리콜에테르디아민테트라아세트산(GEDTA), 트리에틸렌테트라아민헥사아세트산(TTHA), 1,3-프로판디아민테트라아세트산(PDTA), 1,3-디아미노-2-히드록시프로판테트라아세트산(DPTA-OH), 아미노트리메틸렌포스폰산(ATMPA), 에틸렌디아민테트라메틸렌포스폰산(EDTMPA), 디에틸렌트리아민펜타메틸렌포스폰산(DTPMPA), 헥사메틸렌디아민테트라메틸렌포스폰산(HDTMPA), 니트릴로트리메틸렌포스폰산(NTMP) 및 그 염으로 이루어진 군으로부터 1종 이상 선택되는 화합물인 것을 특징으로 하는 전자 재료용 세정액 조성물. The method of claim 1 or 2, wherein the metal corrosion protection compound is pentamethyldiethylenetriamine (PMDETA), tetramethylethylenediamine (TMEDA), ethylenediaminetetraacetic acid (EDTA), diethylenetriaminepentaacetic acid (DTPA), hydroxide Oxyethylethylenediamineacetic acid (HEDTA), glycol etherdiaminetetraacetic acid (GEDTA), triethylenetetraaminehexaacetic acid (TTHA), 1,3-propanediaminetetraacetic acid (PDTA), 1,3-diamino-2-hydride Roxypropane tetraacetic acid (DPTA-OH), aminotrimethylenephosphonic acid (ATMPA), ethylenediaminetetramethylenephosphonic acid (EDTMPA), diethylenetriaminepentamethylenephosphonic acid (DTPMPA), hexamethylenediaminetetramethylenephosphonic acid (HDTMPA And nitrilotrimethylenephosphonic acid (NTMP) and salts thereof, the compound comprising at least one compound selected from the group consisting of. 청구항 1 또는 2에 있어서, 상기 실리콘 부식 방지 화합물이 니트로벤젠, 디니트로벤젠, 트리니트로벤젠, 니트로클로로벤젠, 디니트로클로로벤젠, 트리니트로 클로로벤젠, 니트로톨루엔, 디니트로톨루엔, 트리니트로톨루엔, 니트로클로로톨루엔, 디니트로클로로톨루엔, 트리니트로클로로톨루엔, 니트로자일렌, 이소프로필니트로벤젠, 니트로페놀, 디니트로페놀, 트리니트로페놀, 니트로카테콜, 디니트로카테콜, 니트로레졸시놀, 니트로클로로페놀, 디니트로클로로페놀, 트리니트로클로로페놀, 니트로살리실산, 니트로벤질알코올, 디니트로벤질알콜, 트리니트로벤질알콜, 니트로아닐린, 디니트로아닐린, 트리니트로아닐린, 니트로클로로아닐린, 디니트로클로로아닐린, 트리니트로클로로아닐린, 니트로프로폭시아닐린, 니트로아세트아닐리드, 니트로페니렌디아민, 니트로안식향산, 디니트로안식향산, 트리니트로안식향산, 니트로안식향산메틸, 니트로안식향산에틸, 아미노니트로안식향산, 니트로프탈산, 니트로벤젠술폰산, 디니트로벤젠술폰산, 트리니트로벤젠술폰산, 니트로벤젠술폰아미드, 디니트로벤젠술폰아미드, 트리니트로벤젠술폰아미드, 니트로아니솔, 디니트로아니솔, 트리니트로아니솔, 아미노니트로아니솔, 디메톡시니트로벤젠, 니트로벤조알데히드, 디니트로벤조알데히드, 트리니트로벤조알데히드, 초산니트로페닐, 니트로톨루이디엔 및 니트로벤조일클로라이드로 이루어진 군으로부터 1종 이상 선택되는 화합물인 것을 특징으로 하는 전자 재료용 세정액 조성물. The method of claim 1 or 2, wherein the silicon corrosion preventing compound is nitrobenzene, dinitrobenzene, trinitrobenzene, nitrochlorobenzene, dinitrochlorobenzene, trinitro chlorobenzene, nitrotoluene, dinitrotoluene, trinitrotoluene, nitrochlorotoluene, Dinitrochlorotoluene, trinitrochlorotoluene, nitroxylene, isopropylnitrobenzene, nitrophenol, dinitrophenol, trinitrophenol, nitrocatechol, dinitrocatechol, nitroresolcinol, nitrochlorophenol, dinitrochlorophenol , Trinitrochlorophenol, nitrosalicylic acid, nitrobenzyl alcohol, dinitrobenzyl alcohol, trinitrobenzyl alcohol, nitroaniline, dinitroaniline, trinitroaniline, nitrochloroaniline, dinitrochloroaniline, trinitrochloroaniline, nitropropoxyaniline Acetanilide, nitro Nirendiamine, nitro benzoic acid, dinitro benzoic acid, trinitro benzoic acid, methyl nitro benzoic acid, ethyl nitro benzoic acid, amino nitro benzoic acid, nitrophthalic acid, nitrobenzenesulfonic acid, dinitrobenzenesulfonic acid, trinitrobenzenesulfonic acid, nitrobenzenesulfonamide, dinitrobenzenesulfone Amide, trinitrobenzenesulfonamide, nitroanisole, dinitroanisole, trinitroanisole, aminonitroanisole, dimethoxynitrobenzene, nitrobenzoaldehyde, dinitrobenzoaldehyde, trinitrobenzoaldehyde, nitrophenyl acetate, nitrotoluyi A cleaning liquid composition for an electronic material, characterized in that at least one compound selected from the group consisting of dienes and nitrobenzoyl chlorides. 반도체 디바이스 및 액정표시장치를 포함하는 전자 재료 제조 시 청구항 1의 세정제 조성물을 이용하여 세정을 실시하는 것을 특징으로 하는 전자 재료의 세정 방법. A method for cleaning an electronic material, characterized in that the cleaning is performed using the cleaning composition of claim 1 in the manufacture of an electronic material including a semiconductor device and a liquid crystal display device.
KR1020060113717A 2006-11-17 2006-11-17 Cleaning solution composition for electronic material and cleaning method using the same KR101264436B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060113717A KR101264436B1 (en) 2006-11-17 2006-11-17 Cleaning solution composition for electronic material and cleaning method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060113717A KR101264436B1 (en) 2006-11-17 2006-11-17 Cleaning solution composition for electronic material and cleaning method using the same

Publications (2)

Publication Number Publication Date
KR20080044637A true KR20080044637A (en) 2008-05-21
KR101264436B1 KR101264436B1 (en) 2013-05-14

Family

ID=39662460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060113717A KR101264436B1 (en) 2006-11-17 2006-11-17 Cleaning solution composition for electronic material and cleaning method using the same

Country Status (1)

Country Link
KR (1) KR101264436B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160062410A (en) 2014-11-25 2016-06-02 이호규 Battery cleaner composition
JP2019057524A (en) * 2017-09-19 2019-04-11 株式会社フジミインコーポレーテッド Surface treatment composition, method for producing surface treatment composition, method for surface treatment, and method for producing semiconductor substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160062410A (en) 2014-11-25 2016-06-02 이호규 Battery cleaner composition
JP2019057524A (en) * 2017-09-19 2019-04-11 株式会社フジミインコーポレーテッド Surface treatment composition, method for producing surface treatment composition, method for surface treatment, and method for producing semiconductor substrate
TWI775910B (en) * 2017-09-19 2022-09-01 日商福吉米股份有限公司 Surface treatment composition, method for producing surface treatment composition, method for surface treatment, and method for producing semiconductor substrate

Also Published As

Publication number Publication date
KR101264436B1 (en) 2013-05-14

Similar Documents

Publication Publication Date Title
KR102266832B1 (en) TiN HARD MASK AND ETCH RESIDUE REMOVAL
EP1664935B1 (en) Stripping and cleaning compositions for microelectronics
US7479474B2 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
US7399365B2 (en) Aqueous fluoride compositions for cleaning semiconductor devices
EP1679361B1 (en) Cleaning agent for substrate and cleaning method
US7718590B2 (en) Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
US5989353A (en) Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
US7825079B2 (en) Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
US8105998B2 (en) Liquid composition for removing photoresist residue and polymer residue
US20150344826A1 (en) Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
KR101392629B1 (en) Resist stripper composition and a method of stripping resist using the same
JP2020017732A (en) COMPOSITION FOR TiN HARD MASK REMOVAL AND ETCH RESIDUE CLEANING
KR101983202B1 (en) Semi-aqueous polymer removal compositions with enhanced compatibility to copper, tungsten, and porous low-k dielectrics
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US7354890B2 (en) Cleaning composition and method
KR19980070759A (en) Surface treatment composition and surface treatment method of substrate using same
PL199501B1 (en) Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
KR101264436B1 (en) Cleaning solution composition for electronic material and cleaning method using the same
KR20030093274A (en) Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
KR101264439B1 (en) Cleaning solution composition for electronic material and cleaning method using the same
KR101880297B1 (en) A detergent composition for flat panel display device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160304

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170308

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180319

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190311

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200309

Year of fee payment: 8