KR20080039197A - 후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및에칭 분포의 다중 주파수 제어 - Google Patents

후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및에칭 분포의 다중 주파수 제어 Download PDF

Info

Publication number
KR20080039197A
KR20080039197A KR1020070072659A KR20070072659A KR20080039197A KR 20080039197 A KR20080039197 A KR 20080039197A KR 1020070072659 A KR1020070072659 A KR 1020070072659A KR 20070072659 A KR20070072659 A KR 20070072659A KR 20080039197 A KR20080039197 A KR 20080039197A
Authority
KR
South Korea
Prior art keywords
power
plasma
optical
coupled
chamber
Prior art date
Application number
KR1020070072659A
Other languages
English (en)
Inventor
리차드 류윙턴
알렉산더 엠. 패터슨
마이클 엔. 그림베르겐
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080039197A publication Critical patent/KR20080039197A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

에칭 파라미터의 다중 주파수 제어를 위한 플라즈마 반응기가 제공된다. 반응기는 반응기 챔버 및 챔버 내의 제품 지지체 - 상기 챔버는 제품 지지체를 면하는 실링을 가짐 -, 및 유도적으로 결합된 소스 전력 애플리케이터 및 용량성 결합된 플라즈마 소스 애플리케이터를 포함한다. 광섬유들의 어레이는 제품의 관찰을 위해 제품 지지체의지지 표면을 통해 그의 하부 표면으로부터 연장된다. 광학 센서들은 광섬유들의 출력 단부에 결합된다. 또한 반응기는 유도적으로 결합된 플라즈마 소스 전력 애플리케이터와 용량성 결합된 플라즈마 소스 전력 애플리케이터에 의해 챔버에서 플라즈마와 동시적으로 결합되는 상대적인 전력 양들을 조절하는 광학 센서에 응답하는 제어기를 포함한다.

Description

후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및 에칭 분포의 다중 주파수 제어{MASK ETCH PLASMA REACTOR WITH BACKSIDE OPTICAL SENSORS AND MULTIPLE FREQUENCY CONTROL OF ETCH DISTRIBUTION}
본 발명은 후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및 에칭 분포의 다중 주파수 제어에 관한 것이다.
초고밀도집적(ULSI)을 위한 포토리소그래피 마스크 제조는 반도체 웨이퍼 프로세싱보다 더욱 높은 에칭 균일도를 요구한다. 일반적으로 단일 마스크 패턴은 석영 마스크 상에 4인치 제곱 면적을 점유한다. 마스크 패턴의 이미지는 웨이퍼 상의 단일 다이(1인치 제곱)의 면적으로 초점이 맞춰진 다음, 웨이퍼에 대해 스텝핑되어, 각각의 다이에 대한 단일 이미지를 형성한다. 마스크 패턴을 석영 마스크로 에칭하기 이전에, 마스크 패턴은 스캐닝 전자 빔에 의해 기록되며, 이는 시간을 소모하는 프로세스로 단일 마스크의 비용을 극도로 높인다. 마스크 에칭 프로세스는 마스크 표면에 대해 균일하지 않다. 또한, e-빔 기록된 포토레지스트 패턴은 자체 불균형하고, 웨이퍼 상에서 45nm 피쳐 크기인 경우, 전체 마스크에 대해 임계치수(예를 들어, 라인 폭)에서 2-3nm의 큰 편차를 나타낸다. (이러한 편차는 예를 들어, 측정된 모든 라인 폭들의 3σ 편차이다.) 포토레지스트 임계치수에서의 이러한 불균일성은 상이한 마스크 소스 또는 커스토머 사이에서 변할 수 있다. 마스크 에칭 프로세스는 1nm 이상으로 이러한 편차를 증가시키지 않아, 에칭된 마스크 패턴에서의 편차는 3-4nm를 초과하지 않는다. 이러한 엄격한 요구조건은 웨이퍼 상에 선명한 이미지를 달성하기 위한 석영 마스크 패턴에서의 회절 영향의 이용으로부터 이루어진다. 현재의 기술로는 이러한 조건을 충족시키기 어렵다. 또한, 22nm 웨이퍼 피쳐 크기를 수반할 수 있는 미래의 기술에서는 이러한 요구조건을 충족시키는 것이 더욱 어려울 것이다. 이러한 문제는 에칭 바이어스 현상에 의해 해결되며, 마스크 에칭 동안 포토레지스트 패턴의 소모는 석영 마스크 상의 에칭된 패턴에 선폭(임계치수)의 감소를 야기한다. 이러한 문제점들은 마스크 에칭 프로세스에서는 보편적인 것으로, 이는 포토레지스트에 대한 통상적 에칭 물질(예를 들어, 석영, 크롬, 몰리브덴 실리사이드)의 에칭 선택도가 통상적으로 1 미만이고, 마스크 포토레지스트 패턴이 마스크 에칭 프로세스 동안 에칭되기 때문이다.
소정의 마스크 패턴들은 마스크를 통한 웨이퍼의 노출 동안 간섭 광빔의 극도로 미세한 위상 정렬을 달성하는데 중요한, 정확하게 한정된 깊이에 의해 석영 마스크 속으로의 주기적인 에칭 개구부를 요구한다. 예를 들어, 한 형태의 위상 이동 마스크에서, 각각의 라인은 크롬 라인 각각의 측면 상에 노출되는 얇은 석영 라인과 크롬 라인에 의해 한정되며, 단지 한쪽 측면 상의 석영 라인만이 에칭되지 않은 석영 라인을 통과하는 광과 관련하여 광의 180도 위상 이동을 제공하는 정확한 깊이로 에칭된다. 석영의 에칭 깊이를 정확하게 제어하기 위해, 에칭 프로세스 는 석영의 에칭 깊이를 측정하도록 주기적으로 에칭 프로세스를 중단함으로써 엄격히 모니터링되어야 한다. 각각의 이러한 검사는 마스크 에칭 반응기 챔버로부터 마스크를 제거하고, 포토레지스트를 제거하고, 에칭 깊이를 측정한 다음, 경과된 에칭 프로세스 시간에 기초한 목표 깊이에 도달하도록 남아있는 에칭 프로세스 시간을 추정하고, 해로운 포토레지스트를 증착하고, 레지스트 상에 마스크 패턴을 e-빔 기록하고, 마스크 에칭 챔버로 마스크를 다시 도입시키고 에칭 프로세스를 다시 시작할 것을 요구한다. 원하는 깊이로 도달하기 위한 남아있는 에칭 시간의 추정은 에칭 속도가 안정하고, 균일하게 유지된다는 것으로 가정한 것이기 때문에 신뢰성이 없다. 이러한 성가신 과정의 문제에는 낮은 생산성 및 높은 비용뿐만 아니라, 포토레지스트 패턴의 오염 또는 손상 가능성의 증가가 포함된다. 그러나 정확하게 제어된 에칭 깊이에 대한 요구조건으로 인해, 이러한 문제점은 해결되지 않은 것으로 여겨진다.
임계치수 편차에서 작은 톨러런스는 마스크 표면에 대한 에칭 속도의 극도로 균일한 분산을 요구한다. 석영 물질에서 정확한 에칭 깊이를 요구하는 마스크에서는, 2개의 임계치수(critical dimensions)가 제공되며, 하나는 선폭(line width)이고 다른 하나는 에칭 깊이이며, 2가지 형태의 임계치수에 대한 균일성은 마스크에 대한 균일한 에칭 속도 분포를 요구한다. 에칭 속도 분포에서의 불균일성은 웨이퍼 위에 놓여있는 내부 및 외부 코일 안테나들로 구성되는 유도 소스 전력 애플리케이터와 같이, 플라즈마 이온 밀도의 방사상 분포를 변화시킬 수 있는 소스 전력 애플리케이터를 사용함으로써 소정 범위로 감소될 수 있다. 그러나 이러한 방안은 대칭인 불균일성, 즉 중심-하이(center-high) 또는 중심-로우(center-low) 에칭 속도 분포에 대해서만 문제를 해결할 수 있다. 특히, 에칭 속도 분포의 불균일성은 예를 들어, 마스크의 한쪽 코너에서 높은 에칭 속도와 같이 비대칭일 수 있다. 보다 근본적인 한계점은 마스크 에칭 프로세스는 내부 코일 및 외부 코일을 가지는 유도 전력 애플리케이터와 같은, 동조가능한 피쳐가 중심-로우 범위로부터 에칭 속도 분포를 변형할 수 없는 극단적인 중심-로우 분포의 에칭 속도를 갖는 경향이 있다는 것이다.
불균일한 에칭 속도 분포가 갖는 또 다른 문제점은 에칭 속도 분포가 동일한 설계의 상이한 반응기들 사이에서 넓은 범위로 변하는 경향이 있어 캐소드 교체와 같이, 중요 부품 또는 소모성 부품들이 교체될 때마다 동일한 반응기 내에서 에칭 속도 분포가 넓은 범위로 변할 수 있다는 것이다. 에칭 속도 분포는 소모품 교체시 예상치 못한 변화로, 교체되는 부품의 작은 특성 변화에 크게 반응하는 것으로 나타났다.
플라즈마 반응기는 에칭 파라미터의 다중 주파수 제어를 제공한다. 반응기는 반응기 챔버 및 챔버 내의 제품 지지체를 포함하며, 챔버는 제품 지지체를 면하는 실링(ceiling)을 포함한다. 유도 결합된 플라즈마 소스 애플리케이터는 실링 위에 놓이며, RF 전력 발생기는 유도 결합된 소스 전력 애플리케이터에 결합된다. 유도 결합된 플라즈마 소스 전력 애플리케이터는 실링 또는 제품 지지체에 소스 전력 전극을 포함한다. 통로 어레이는 하부에서 제품 지지 페데스탈을 통해 연장되 며 제품 지지체의 지지 표면에 개구부 어레이를 형성한다. 광섬유 어레이는 통로들을 통해 연장되며, 각각의 섬유는 (a) 지지체 표면의 개구부를 통한 시야각(field of view)을 갖는 시야 단부(viewing end), 및 (b) 챔버 외측의 출력 단부를 갖는다. 광학 센서들이 광섬유의 출력 단부에 결합된다. 반응기는 유도 결합된 플라즈마 소스 전력 애플리케이터와 용량성 결합된 플라즈마 소스 전력 애플리케이터에 의해 챔버내의 플라즈마와 동시적으로 결합된 상대적인 전력 양을 조절하기 위해 광학 센서에 응답하는 제어기를 더 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다.
본 발명의 이해를 돕기 위해, 도면에서 공통되는 동일한 부재를 표현할 때 가능한 동일한 참조 부호를 이용했다. 일 실시예에서의 부재들 및 특징들은 추가 언급 없이도 다른 실시예에 유용하게 통합될 수 있다. 그러나 첨부되는 도면은 본 발명의 예시적인 실시예를 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명의 다른 등가적인 유효 실시예들이 허용될 수 있다.
강화된 RF 균일성을 갖는 캐소드 :
본 발명자들은 마스크 에칭 프로세스에서 불균일한 에칭 속도 분포의 원인 중 하나는 마스크 에칭 프로세스가 수행되는 플라즈마 반응기에서 마스크를 보유하는 지지 페데스탈 또는 캐소드에 불균일한 RF 전기가 존재하기 때문이라는 것을 발견했다. RF 바이어스 전력은 마스크 표면에서 플라즈마 이온 에너지를 제어하기 위해 페데스탈에 인가되는 반면, RF 소스 전력은 예를 들어, 플라즈마 이온을 생성하기 위해 오버헤드 코일 안테나에 인가된다. RF 바이어스 소스는 마스크 표면에서 이온 에너지에 영향을 미치는 전기장을 제어한다. 마스크 표면에서 이온 에너지는 에칭 속도에 영향을 미치기 때문에, 페데스탈에서 불균일한 RF 전기는 마스크 표면에 대해 불균일한 에칭 속도의 분포를 생성한다. 본 발명자들은 페데스탈에서의 RF 불균일성의 몇 가지 원인을 발견했다. 이중 한 원인은 알루미늄 페데스탈(캐소드)와 알루미늄 설비 플레이트를 고정하는 티타늄 나사에 있다. 상기 나사는 페데스탈의 표면에 대해(및 마스크 표면에 대해) 전기장 패턴에 노드를 생성하며, 이는 이들의 전기적 특성이 알루미늄 캐소드의 전기적 특성과 상이하기 때문이다. 또 다른 원인은 캐소드와 설비 플레이트 사이의 도전율의 불균일한 분포에 있다. 설비 플레이트와 캐소드 간의 전기적 전도는 플레이트의 주변부와 캐소드로 주로 제한된다. 이는 적어도 부분적으로는 플라즈마 프로세싱 동안 진공 압력에 의해 유도된 캐소드의 휨(bowing)으로 인한 것일 수 있다. 상기 주변부 부근의 전도는 티타늄 나사의 불귤일한 조임 및/또는 플레이트 또는 페데스탈의 주변부 부근에서 표면 마무리 변화와 같은, 다양한 요인으로 인해 불균일할 수 있다. 본 발명자들은 페데스탈에 대한 RF 전기 균일성을 강화시는 몇 가지 피쳐를 도입함으로써 이러한 문제를 해결했다. 먼저, 알루미늄 캐소드에서 티타늄 나사의 존재로 인해 야기 되는 RF 필드의 불균일성 또는 불연속성은 모든 티타늄 나사의 헤드를 둘러싸며 캐소드의 상부 표면 주변부 부근으로 연장하는 연속적인 티타늄 링을 제공함으로써 해결된다. 티타늄 나사의 표면 차 또는 불균일한 조임으로 인한 전도성 변화는 설비 플레이트의 외장 주변 표면과 캐소드 상에 도전성이 높은 니켈 도금을 제공하고, 설비 플레이트와 캐소드 사이에 이들의 주변부에서 이들을 압축하는 RF 가스켓을 제공함으로써 해결된다.
도 1을 참조로, 마스크의 에칭 패턴을 위한 플라즈마 반응기는 측벽(12) 및 상부 실링(14)에 의해 밀폐되는 진공 챔버(10)를 포함하며 챔버 압력을 제어하는 진공 펌프(15)에 의해 배기된다. 챔버(10) 내부에 있는 마스크 지지 페데스탈(16)은 마스크(18)를 지지한다. 본 명세서에서 이후 개시되는 바와 같이, 통상적으로 마스크는 석영 기판으로 구성되며 크롬 및 몰리브덴 실리사이드와 같이 추가의 마스크 박막을 석영 기판 상부 표면에 더 포함할 수 있다. 또한, 패터닝이 정의된(pattern-defining)층이 제공되며, 이는 크롬층으로 형성된 하드마스크 또는 포토레지스트일 수 있다. 다른 형태의 마스크에서, 석영 기판은 포터레지스트 패턴을 제외하고 상부층들을 갖지 않는다.
플라즈마 소스 전력은 각각 RF 매치 회로(28, 30)를 통해 각각의 RF 소스 전력 발생기(24, 26)에 의해 구동되는 상부 내부 및 외부 코일 안테나(20, 22)에 의해 인가된다. 측벽(12)은 알루미늄 또는 접지와 결합되는 다른 금속일 수 있지만, 통상적으로 실링(14)은 코일 안테나(20, 22)로부터 챔버(10) 속으로 RF 전력의 유도 결합이 허용되는 절연 물질이다. 프로세스 가스는 가스 패널(36)로부터 가스 매니폴드(34)를 통해 측벽(12)의 상부에 있는 균일하게 이격된 주입 노즐(32)을 통해 주입된다. 가스 패널(36)은 각각의 밸브 또는 질량 흐름 제어기(40)를 통해 출력 밸브와 결합된 상이한 가스 공급부(38) 또는 매니폴드(34)에 결합된 질량 흐름 제어기(42)로 구성된다.
마스크 지지 페데스탈(16)은 금속(예를 들어 알루미늄) 설비 플레이트(46) 상에서 지지되는 금속(예를 들어, 알루미늄) 캐소드(44)로 구성된다. 캐소드(44)는 설비 플레이트(46)에 있는 공급 및 배출 포트(미도시)에 의해 공급 및 배기되는 내부 냉각제 또는 가열 유체 흐름 통로(미도시)를 포함한다. RF 바이어스 전력은 RF 임피던스 매치 회로(50)를 통해 RF 바이어스 전력 발생기(48)에 의해 설비 플레이트에 인가된다. RF 바이어스 전력은 설비 플레이트(46)와 캐소드(44) 사이의 인터페이스에 대해 캐소드(44)의 상부 표면으로 전도된다. 캐소드(44)는 사각 석영 마스크 또는 기판(18)이 지지되는 중앙 플레토(central plateau)(44a)를 갖는다. 하기 도시되는 바와 같이, 플레토(44a)는 마스크 주변부의 작은 부분 또는 립(18a)이 지대(44a) 너머로 짧은 간격 연장되도록 약간 작지만, 플레토 치수는 통상적으로 마스크(18)의 치수와 매칭된다. 플레토(44a)를 둘러싸는 페데스탈 링(52)은 링(52)의 약 2/5를 형성하는 커버 링(52a)과 링(52)의 나머지 3/5을 형성하는 캡쳐(capture) 링(52b)으로(도 2B 또는 도 7에 도시된 웨지 또는 파이 섹션 형태로) 나뉜다. 캡쳐 링(52b)은 마스크(18)의 립(18a)이 위치되는 선반(54)을 포함한다. 3개의 리프트 핀(56)(도 1에서는 이중 단지 하나만을 볼 수 있다)이 캡쳐 링(52b)을 상승시켜, 지지 페데스탈(16)로부터 마스크(18) 제거가 요구될 때마다 립(18a) 에 의해 마스크(18)가 상승된다. 페데스탈 링(52)은 바이어스 전력 발생기(48)의 주파수에서, 석영 마스크(18)와 알루미늄 플레토(44a)의 조합으로 제공되는 RF 임피던스가 매칭되도록 선택된 상이한 전기적 특성을 가지는 층들(53, 55)로 구성된다. (커버 및 캡쳐 링(52a, 52b)은 상이한 층(53, 55)으로 구성된다.) 또한, 캡쳐 링(52)의 상부 표면은 마스크(18)의 상부 표면과 동일 평면을 이루어, 마스크(18)의 에지 너머로 연장되는 큰 균일한 표면이 플라즈마 프로세싱 동안 마스크(18)의 표면에 대한 균일한 전기장 및 시쓰 전압을 조장한다. 통상적으로, 이러한 조건은 하부 링 층(55)이 석영이고 상부 링 층(53)이 알루미나와 같은 세라믹인 경우 달성된다. 프로세스 제어기(60)는 가스 패널(36), RF 발생기(24, 26, 48) 및 처리 장치(61)를 제어한다. 웨이퍼 처리 장치는 리프트 핀(56)에 결합된 리프트 서보(62), 로봇 블레이드 암(63) 및 챔버(10)의 측벽(12)에 있는 슬릿 밸브(64)를 포함할 수 있다.
균일하게 이격된 일련의 티타늄 나사(70)는 캐소드(44)와 설비 플레이트(46)를 이들의 주변부를 따라 서로 고정한다. 알루미늄 캐소드/설비 플레이트(44, 46)와 티타늄 나사(70) 간에 전기적 차이점으로 인해, 나사(70)는 캐소드(44)의 상부 표면에서 RF 전기장에 이산 불균일성을 도입한다. 캐소드(44)와 설비 플레이트(46)의 마주하는 표면에서의 변화는 캐소드(44)와 설비 플레이트(46)의 주변부를 따라 이들 사이에 불균일한 도전율을 생성하여, RF 전기장에 상응하는 불균일성을 도입한다. 캐소드(44)는 플라즈마 프로세싱 동안 (챔버 진공으로 인해) 중심부가 위로 휘는 경향이 있기 때문에, 캐소드(44)와 설비 플레이트(46) 사이의 주요한 전 기적 콘택은 이들 주변부를 따른다. (a) 다수의 티타늄 나사(70)의 강도(tightness) 변화 및 (b) 표면 특성의 변화에 대한 캐소드(44)와 설비 플레이트(46) 사이의 전기적 도전률의 민감성을 감소시키기 위해, 니켈과 같이 전도성이 높은 물질의 환형 박막(72)이 캐소드(44)의 하부 표면(44b)의 주변부 상에 증착되며, (예를 들어) 니켈의 매칭되는 환형 박막(74)이 설비 플레이트(46)의 상부 표면(46a)의 주변부 상에 증착된다. 니켈 막들(72, 74)이 서로 배열되어, 2개의 환형 니켈 박막(72, 74)은 페데스탈(44)과 설비 플레이트(46)의 대향하는 접촉 표면을 구성하며, 이들 사이에 매우 일정한 전기적 도전율의 분포를 제공한다. 또한, 균일한 전기적 도전율의 개선은 캐소드(44)의 하부 표면의 주변부를 따라 환형 그루브(76)를 제공하고 그루브(76) 내에 전도성 RF 가스켓(80)을 위치시킴으로써 구현된다. 선택적으로, 설비 플레이트(46)의 상부 표면에서 유사한 환형 그루브(78)는 그루브(76) 내에 정렬되어 제공될 수 있다. RF 가스켓(80)은 캐소드(44)와 설비 플레이트(46)가 서로 압축되고 나사(70)로 조여짐에 따라 압착되는 금속 나선과 같이 적절한 종래의 변형물일 수 있다. 티타늄 나사(70)의 헤드에서 발생되는 경향이 있는 전기장 분포의 불균일한 지점을 감소 또는 소거시키기 위해, 연속하는 티타늄 링(82)은 캐소드(44) 상부 표면의 주변부에 있는 환형 그루브(84)에 위치된다.
도 2A는 마스크 지지 페데스탈(16) 및 그 하부에 놓이는 리프트 어셈블리(90)를 나타낸다. 리프트 어셈블리(90)는 공기압 액추에이터 또는 리프트 서보(94)에 의해 구동되는 리프트 스파이더(92) 및 리프트 스파이더(92) 상에 위치되 는 3개의 리프트 핀(56)을 포함한다. 리프트 핀(56)은 (마모로 인해 야기되는 오염을 감소시키기 위해) 극도로 평탄하고 마찰이 거의 없는 모션에 대한 볼 베어링(98)을 포함하는 리프트 벨로즈(96)로 유도된다. 도 2B는 캡쳐 링(52b)과 융기된 위치에 마스크(18)를 가지는 캐소드(44)를 나타낸다. 마스크(18)가 상승될 때 커버 및 캡쳐 링(52a, 52b)의 분리에 의해 형성되는 보이드는 로봇 블레이드에 의해 마스크(18)로의 액세스가 허용된다.
마스크(18)의 표면에 대한 극단적인 중심-로우(center-low) 에칭 속도 분포의 문제는 캐소드 플레토(44a)의 전기적 특성(예를 들어, 전기적 유전율)의 분포를 변화시킴으로써 해결된다. 이는 플레토(44a)의 상부 표면상에 중심 삽입물(102) 및 주변 외부 삽입물(104)을 제공함으로써 일 실시예에서 달성되며, 2개의 삽입물은 페데스탈 링(52)과 연속적인 평면 표면을 형성하며 전기적으로 상이한 물질들이다. 예를 들어, 극단적으로 중심-로우가 되는 에칭 속도 분포의 경향을 감소시키기 위해, 중심 삽입물(102)은 종래의 물질(예를 들어, 알루미늄)일 수 있으며 외부 삽입물(104)은 절연 물질(예를 들어, 알루미나와 같은 세라믹)일 수 있다. 중심 삽입물(102)의 이러한 전도성 버전은 RF 전류에 대해 상당히 낮은 임피던스 경로를 제공하여 마스크(18)의 중심부에서 이온 에너지 및 에칭 속도를 상승시켜며, 절연 외부 삽입물(104)은 보다 높은 임피던스를 나타내어 마스크(18)의 주변부에서 에칭 속도를 감소시킨다. 이러한 조합은 에칭 속도 분포를 개선시켜, 에칭 속도 분포가 보다 균일해지게 한다. 이러한 특징으로, 에칭 속도 분포의 미세한 조절은 내부 및 외부 코일 안테나(20, 22)에 인가되는 상대적인 RF 전력 레벨을 조절함으로써 수행될 수 있다. 균일한 에칭 속도 분포를 달성하기 위해 요구되는 플라즈마 이온 밀도의 방사상 분포 변화는 균일한 에칭 속도 분포를 얻기 위해 내부 및 외부 코일(20, 22) 사이의 RF 전력 분배 능력 내에서 보다 작은 양으로 감소된다. 도 3은 내부 및 외부 삽입물(102, 104)의 상부도이다. 선택적 실시예에서, 삽입물(102, 104)은 상이한 유전 상수(전기적 유전율)를 가지는 절연체들이다. 도 4 및 도 5는 점진적인 상이한 전기적 특성의 4개의 동심 링(102, 104, 106, 108)이 에칭 속도 분포를 보다 균일하게 하는데 이용되는 본 발명의 개념에 따른 설명을 나타낸다. 도 6 및 도 7은 캐소드(44)의 RF 전기적 특성 분포의 실시간 조정력(tunability)을 제공하는 또 다른 실시예를 나타낸다. 플런저(110)는 캐소드(44) 내부의 중심부의 중공형 실린더(114) 내부에서 이동가능한 알루미늄 플레이트(112)의 측방 위치를 제어한다. 알루미늄 플레이트(112)는 알루미늄 플레토(44a)의 나머지 부분과 전기적으로 접촉된다. 절연체(예를 들어, 세라믹) 상부막(116)은 캐소드(44)의 상부를 커버할 수 있다. 알루미늄 플레이트(112)가 실린더(114)의 상부와 가깝게 가압됨에 따라, 캐소드(44)의 중심 영역을 거치는 전기적 임피던스는 감소되어, 마스크(18)의 중심부에서 에칭 속도가 상승하게 된다. 반대로, 알루미늄 플레이트(112)가 마스크(18)로부터 실린더(114)에서 하향 이동함에 따라, 마스크 중심부에서 에칭 속도는 감소된다. 플런저(110)의 축방향 이동을 제어하는 액추에이터(118)는 불균일성을 보상하기 위해 또는 균일성을 최대화시키기 위해 에칭 속도 분포를 조절하도록 프로세스 제어기(60)(도 1)에 의해 조절될 수 있다.
마스크 후방을 통한 에칭 속도 모니터링 엔드포인트 검출 :
마스크의 에칭 깊이 또는 임계치수를 측정하기 위해 에칭 프로세스의 주기적 중단으로 인한 높은 제조 비용은 마스크 또는 기판(18)의 후방 및 캐소드(44)를 통해 감지되는 광학기를 사용하여 감소 또는 소거된다. 이러한 주기적 측정을 수행하기 위해서는 에칭 프로세스를 중단시키는 것이 요구되며, 이는 포토레지스트에 대한 에칭 선택도가 낮기 때문이다: 일반적으로 마스크 물질 에칭은 포토레지스트 보다 상당히 느리다. 이러한 문제는 통상적으로 마스크 상에 두꺼운 포토레지스트를 증착함으로써 해결되나, 레지스트의 높은 에칭 속도는 포토레지스트 표면을 임의적으로 불균일하게 또는 거칠게 한다. 이러한 거칠기(roughness)는 포토레지스트를 통과하는 광에 영향을 미쳐 임계치수 또는 에칭 깊이의 임의의 광학적 측정시 노이즈가 주입될 수 있다. 따라서, 포토레지스트는 노이즈가 없는 광학 측정을 위해 각각의 주기적인 측정을 위해 일시적으로 제거되며, 이는 중단된 마스크 에칭 프로세스를 다시 시작하기 이전에 포토레지스트를 다시 증착하고 레티클 패턴에 대한 재기록이 요구한다.
도 8에 도시된 마스크 에칭 플라즈마 반응기는 이러한 문제점들을 해결하며 전체 에칭 프로세스 동안 임계치수의 지속적인 관찰 또는 에칭 깊이의 측정을 허용하며, 마스크 또는 기판(18)은 캐소드(44) 내에 제공되는 후방 광학 측정 장치를 이용하여 마스크 지지 페데스탈(16) 상에 위치된다. 후방 측정 장치는 통상적으로는 석영인 마스크 기판(18)의 광학적 투과성의 장점을 취한다. 증착될 수 있는 박막들(크롬 또는 몰리브덴 실리사이드)은 불투명하나, 마스크(18)의 레티클 패턴을 한정하는 패터닝된 개구부들의 형성은 광학적으로 감지될 수 있다. 이러한 층들에 의해 반사된 또는 이러한 층들을 통해 투과된 광 세기의 변화는 캐소드(44)를 통해 마스크 후방에서 관찰할 수 있다. 이러한 관찰은 에칭 프로세스 엔드포인트 검출을 수행하기 위해 사용될 수 있다. 석영 물질을 에칭할 때, 캐소드(44)를 통해 마스크 후방에서 관찰되는 광학적 간섭은 에칭 프로세스 동안 실시간 에칭 깊이 측정을 수행하도록 감지될 수 있다. 한 가지 장점은 마스크 후방으로부터 감지된 이미지들 또는 광 신호들은 포토레지스트 노이즈에 의해 영향을 받지 않거나, 또는 마스크(18)의 상부 표면(포토레지스트 측)으로부터 이러한 측정을 수행하기 위한 시도와 비교할 때 적어도 거의 영향을 받지 않는다.
이러한 목적을 위해, 도 8의 반응기는 광학축이 마스크 또는 기판(18)의 후방을 면하는 렌즈(122)를 수용하는 캐소드(44)의 상부 표면 내에 리세스(120)를 포함한다. 직경이 렌즈(122)에 비해 작은 한 쌍의 광섬유(124, 126)는 렌즈(122)와 근사하거나 또는 렌즈(122)와 접촉하는 단부(124a, 126a)를 가지며 렌즈(122)의 광학축에서 서로의 옆에 배열된다. 도 8에 도시된 광섬유(124, 126) 각각은 실질적으로 작은 광섬유 다발일 수 있다. 광섬유(124)는 광원(128)에 결합되는 다른 단부(124b)를 갖는다. 광원은 마스크(18)가 투과되는 파장, 통상적으로는 석영 마스크에 대해 가시 파장의 광을 방출한다. 간섭 깊이 측정의 경우, 광원(128)의 파장 스펙트럼은 마스크(18)의 레티클 패턴에서 국부적 응집성(local coherence)을 조장하도록 선택된다. 약 45nm 정도의 에칭된 마스크 구조물에서의 주기적 피쳐에 대해(또는 1 미크론 이하의 주기적 피쳐 크기에 대해), 광원(128)이 가시광 스펙트럼 을 방사하는 경우 이러한 조건이 충족된다. 광섬유(126)는 광 수신기(130)에 결합되는 다른 단부(126b)를 갖는다. 간단한 엔드포인트 검출시, 광 수신기(130)는 간단한 광 세기를 검출할 수 있다. 임계치수(예를 들어, 선폭) 측정시, 광 수신기(130)는 선폭을 검출할 수 있는 렌즈(122)의 시야각 내에서 에칭된 라인들의 이미지를 감지할 수 있다. 에칭 깊이 측정의 경우, 광 수신기(130)는 에칭 깊이가 검출될 수 있는(즉, 간섭 또는 회절 패턴으로부터 추론되고 간섭 프린지의 카운팅으로부터 계산될 수 있는) 간섭 패턴 또는 간섭 프린지를 검출할 수 있다. 다른 실시예에서, 광 수신기(130)는 에칭 속도가 추론되거나 계산될 수 있는 다중 파장 간섭 측정을 수행하는 분광계를 포함할 수 있다. 이러한 검출을 위해, 프로세스 제어기(60)는 광 수신기로부터의 광학 신호를 처리할 수 있는 광학 신호 프로세서(132)를 포함한다. 이러한 광학 신호 프로세싱은, 대기 광 세기 변화로부터 에칭 프로세스 엔드포인트 검출 수행; 광 수신기(130)에 의해 감지된 2차원 이미지로부터 임계치수 측정; 간섭 프린지를 카운팅함으로써 에칭 깊이 계산; 광 수신기(130)가 분광계로 구성되는 경우, 다중 파장 간섭 스펙트럼으로부터 에칭 깊이 계산중 하나를 (특정한 구현예에 따라) 수반할 수 있다. 선택적으로, 이러한 분광계는 광원(128)이 사용되지 않는 경우, 투명 마스크(18)를 통해 투과되고 플라즈마에 의해 방출되는 광을 사용하여, 웨이퍼 후방으로부터 광 방출 분광계에 의해 에칭 프로세스 엔드포인트 검출을 수행하는데 이용될 수 있다.
프로세스 제어기(60)는 RF 발생기(24, 26, 28) 및 웨이퍼-처리 장치(61)를 포함하는 플라즈마 반응기의 다양한 부재를 제어하기 위해 광학 신호 프로세 서(132)로부터 프로세스 엔드포인트 검출 정보(또는 에칭 깊이 측정 정보)와 반응한다. 통상적으로, 프로세스 제어기(60)는 에칭 프로세스 엔드포인트에 도달되면 에칭 프로세스를 중단시키며 페데스탈(16)로부터 마스크를 제거한다.
도 9는 (석영 마스크 표면상의 크롬 박막이 마스크 레티클 패턴에 따라 에칭되는) 크롬 에칭 프로세스 동안 시간의 함수로서 마스크의 상부(포토레지스트-코팅)로부터 감지된 대기의 반사된 광 세기를 나타내는 그래프이다. 도 9의 그래프에 도시된 세기에서 큰 스윙은 포토레지스트층의 상부 표면에서의 거칠기에 의해 유도된 노이즈를 나타낸다. 점선은 노이즈 내에 숨겨진 스텝 함수(step function) 신호를 나타내며, 스텝 함수는 크롬 에칭 프로세스 엔드포인트와 일치한다. 도 10은 도 8의 반응기에 있는 캐소드(44)를 통해 웨이퍼 후방에서 취한 동일한 측정에 대한 그래프로, 광 수신기(130)는 반사된 광 레벨을 감지한다. 포토레지스트-유도 노이즈는 크게 감소되어, 엔드포인트 한정 스텝 함수가 광학 데이터에서 명확히 나타난다. 스텝 함수의 에지는 크롬 박막의 하부에 도달하는 에칭 프로세스에 따라 반사된 광 세기를 하락시키는 변이 지점을 나타내며, 이 지점에서 크롬의 반사 표면적은 갑자기 감소된다.
도 11 및 도 12는 시간에 따른(또는 등가적으로 공간에 따른) 광 세기 그래프이며, 도 12에서, 광 수신기(130)에 의해 감지됨에 따라, 광 세기에서 주기적 피크는 그의 간격이 에칭 깊이, 또는 투명한 석영 마스크 기판(18)에 에칭된 주기적으로 근접하게 이격된 피쳐들의 상이한 표면들 간의 두께 차를 결정하는 간섭 프린지에 해당한다. 도 11은 마스크의 상부 측면으로부터 포토레지스트를 통해 감지된 강도를 나타내며, 중량의 포토레지스트-유도 노이즈 성분은 간섭 프린지 검출을 방해한다. 도 12는 포토레지스트-유도 노이즈가 사실상 없는, 도 8의 광 수신기(130)에 의해 마스크 후방을 통해 감지된 세기를 나타낸다.
도 13은 광 수신기(130)가 분광계로 구성되고 광원(128)이 파장의 스펙트럼을 생성하는 경우에 대한 파장의 함수의 광 세기를 나타내는 그래프이다. 도 13의 그래프의 스펙트럼 세기의 특성은 투명 마스크(18)에 주기적으로 이격된 서브-미크론 피쳐들에서 상이한 깊이의 표면으로부터 반사된 광 사이에 간섭 작용이 야기되는 전형적인 상태를 나타낸다. 파장이 낮을수록, 피는 보다 주기적으로 보다 균일하게 이격되며, 현저한 광학 작용이 방지된다. 파장이 높을수록, 마스크(18)에서 주기적 피쳐들에 대한 국부적 응집성은 회절 작용이 파장 증가에 따라 크게 증가할 정도로 강하지 않아, 높은 파장에서 세기 특성은 도 13에 도시된 것처럼, 덜 고르게 이격되며 더 복잡하다. 도 13에서 특히 낮은 파장에서 피크 간격은 에칭 깊이의 함수로, 피크-대-피크 간격으로 추론될 수 있다.
도 14는, 광 수신기(130)가 대기 광 세기 검출기이고 광학 신호 프로세서(132)가 도 10의 엔드포인트 검출 그래프에 해당하는 전체 반사된 광 세기에서의 큰 변화(스텝 함수)를 검사하도록 프로그램된, 도 8의 반응기의 실시예를 나타낸다. 본 실시예에서 광원(128)은 임의의 적절한 광원일 수 있다. 선택적으로, 광원(128)은 생략될 수 있으며, 광 센서(130)는 투명 마스크 또는 기판(18)을 통해 투과된 플라즈마로부터의 광에 응답한다.
도 15는, 광 수신기(130)가 간섭 프린지를 분해하도록 렌즈(122)에 의해 충 분히 포커싱되는 간섭 프린지 검출기이고, 광학 신호 프로세서(132)가 투명 석영 마스크(18)의 에칭 깊이를 계산하기 위해 (예를 들어, 도 12에 도시된 형태의 시간 데이터 대 세기로부터) 간섭 프린지를 카운팅하도록 프로그램되는, 도 8의 반응기의 실시예를 나타낸다. 이러한 계산은, 메모리(202)에 저장된 사용자-지정 목표 깊이와 로직(200)에 의해 비교되는 실질적으로 순간적인 에칭 깊이를 산출한다. 로직(200)은 저장된 깊이 값 및 측정된 깊이 값 간의 매치를 검사하기 위해 통상적인 숫자 매치 또는 최소화 루틴을 이용할 수 있다. 매치는 로직(200)이 프로세스 제어기(60)로 에칭 엔드포인트를 알리게 한다.
도 16은, 투명 석영 마스크 또는 기판(18)의 에칭 깊이를 측정 또는 검출하기 위해 도 13의 간섭 분광 기술을 이용하는, 도 8의 반응기의 실시예를 나타낸다. 이 경우, 광원(128)은 (수백 나노미터 또는 그 이하 정도의 주기적 마스크 피쳐 크기에 대해) 가시 범위에 있는 스펙트럼 또는 다중 파장을 방출한다. 광 수신기(130)는 분광계이다. 조합 신호 조절기(conditioner)와 아날로그-대-디지탈 변환기(220)는 분광계(130)에 의해 수집된 스펙트럼 정보를 광학 신호 프로세서(132)가 처리할 수 있는 디지털 데이터로 변환시킨다. 엔드포인트 검출을 수행할 수 있는 모드는, 앞서 언급된 바와 같이, 도 13에 의해 표시된 데이터의 낮은 파장 범위의 주기적 피크들 사이의 간격으로부터 에칭 깊이를 계산하도록 수행된다. 비교 로직(200)은 에칭 프로세스 엔드포인트가 달성되었는지를 결정하기 위해 메모리(202)에 저장된 사용자-지정 목표 깊이와 순간적으로 측정된 에칭 깊이를 비교할 수 있다. 또 다른 모드에서, 비교 로직(200)은 원하는 에칭 깊이에 해당하는 공 지된 스펙트럼과 분광계(130)의 순간적 출력을 나타내는 디지털방식으로 표시된 파장 스펙트럼(도 13의 그래프에 해당)을 비교하도록 충분히 강건하다. 공지된 스펙트럼은 메모리(202)에 저장된다. 측정된 스펙트럼과 저장된 스펙트럼 간의 매치 또는 비교 로직(200)에 의해 검출되는 대략적 매치는 에칭 프로세스 엔드포인트가 프로세스 제어기(60)로 전송되게 한다.
도 17은, 광 수신기(130)가 광 방출 분광계(OES)가 작동하도록 챔버에서 플라즈마에 의해 방출된 광학적 방사선과 방출 선들을 구별할 수 있는 광 방출 분광계인, 도 8의 반응기의 실시예를 나타낸다. 프로세서(132)는 에칭될 층의 물질을 나타내는 화학 종에 해당하는 선택된 광학 선들의 세기를 추적(또는 소실 검출)하도록 프로그램된 OES 프로세서이다. 예정된 변화에 따라(예를 들어, 크롬 에칭 프로세스 동안 OES 스펙트럼에서 크롬 파장선의 소실), 프로세서(132)는 프로세스 제어기(60)로 에칭 프로세스 엔드포인트 검출 신호를 전송한다.
도 18은, 본 발명자들이 캐소드(44) 표면의 각각 이격된 리세스(231, 233)에 한 쌍의 렌즈(230, 232)를 갖도록 구성한 실시예를 나타내며, 렌즈(230, 232)는 간섭 프린지가 분해되도록 포커싱되며, 포커싱된 광은 각각의 렌즈(230, 232)를 면하거나 접촉하는 각각의 광섬유(234, 236)에 의해 전달된다. 광섬유(234, 236)는 (프린지 검출기 또는 분광계일 수 있는) 간섭 검출기(238)와 결합되며, 검출기(238)는 프로세스 제어기(60)와 결합되는 출력을 갖는다. 렌즈(230, 232)는 광섬유(242, 244)를 통해 광원(240)으로부터 광을 수신한다. 이러한 광은 마스크(18)의 상부 표면으로부터 렌즈(230, 232) 후방으로 반사되며 검출기(238)로 광 섬유(234, 236)에 의해 전달된다. 또한, 도 18의 실시예는 광섬유(252)를 통해 OES 분광계(254)의 입력에 결합되는 제 3 렌즈(250)를 수용하는 캐소드 표면에 제 3 리세스(249)를 갖는다. OES 프로세서(256)는 엔드포인트 검출을 수행하기 위해 OES 분광계(254)의 출력을 처리하며 결과를 프로세스 제어기(60)로 전송한다. 도 18 실시예의 캐소드(44)는 각각의 렌즈(230, 232, 250)를 수용하는 3개의 리세스(231, 233, 249)를 나타내는 도 19에 도시된다. 도 20은 설비 플레이트(46) 내에 렌즈(230, 232, 250)를 지지하는 광학 장치(미도시)를 수용하도록 대응되는 홀(260, 261, 262)을 나타낸다. 도 21은 페데스탈 내부의 렌즈와 광섬유의 결합을 나타내는 단면도이다.
도 16, 도 17 및 도 18은 분광계(130(도 16 및 도17) 및 254(도 18))를 이용하는 것으로 개시되었지만, 분광계(130 또는 254)는 예정된 파장으로 동조되는 하나 이상의 광파장 필터로 대체될 수 있다. 이러한 각각의 광파장 필터는 신호 진폭을 강화시키기 위해 포토멀티플라이어와 조합될 수 있다.
후방 엔드포인트 -검출 마스크 에칭 프로세스 :
도 22A 및 도 22B는 마스크의 석영 물질에 레티클 패턴을 에칭하는 프로세스를 나타낸다. 도 22A에서, 석영 마스크 기판(210)은 포토레지스트층(212)에 한정된 개구부(216) 및 이격된 라인(214)의 주기적 구조물을 가지는 포토레지스트층(212)으로 커버된다. 도 15 또는 도 16의 반응기에서, CHF3+CF4+Ar의 석영-에칭 프로세스 가스가 챔버(10)로 주입되며, RF 발생기(24, 26, 48)에 의해 전력이 인가 되며 석영 물질이 포토레지스트층(212)에 형성된 개구부(216) 내에서 에칭된다. 석영의 에칭 깊이는 에칭된 상부 표면에서 반사된 광(218)과 석영 기판(210)의 에칭되지 않은 상부 표면에서 반사된 광(219) 사이의 간섭에 의해 연속적으로 측정된다. 에칭 프로세스는 원하는 에칭 깊이가 도달되자 마자 중단된다(도 22A). 다음 원하는 마스크가 생성되도록 포토레지스트가 제거된다(도 22B).
도 23A 내지 도 23E는 하부 석영 마스크 기판(210), 몰리브덴 실리사이드층(260)(몰리브덴 옥시-실리콘 질화물 함유), 크롬층(262), 크롬 산화물 비반사 코팅(264) 및 포토레지스트층(266)으로 구성되며 개구부(268)가 포토레지스트층(264)에 형성된 3층 마스크 구조물을 에칭하는 프로세스를 나타낸다(도 23A). 도 23B의 단계에서, 크롬층(262) 및 비반사 코팅(264)은 Cl2+O2+CF4와 같은 크롬 에칭 프로세스 가스를 사용하여 OES 엔드포인트 검출(도 17의 챔버)을 수행하거나 또는 간단한 반사 엔드포인트 검출(도 14의 챔버)을 수행하는 플라즈마 반응기 챔버에서 에칭된다. 포토레지스트층(266)은 제거된다(도 23C). 다음 몰리브덴 실리사이드층(260)이 도 23D에 도시된 것처럼, SF6+Cl2와 같은 몰리브덴 실리사이드의 에천트인 프로세스 가스, 및 하드 마스크와 같은 크롬층(262)을 사용하여 에칭된다. 이 단계는 도 14 또는 도 17의 챔버와 같이, 간단한 대기 반사에 의해 또는 OES 엔드포인트 검출에 의한 엔드포인트 검출을 수행하는 플라즈마 반응기에서 이루어진다. 도 23E에서, 크롬층(262) 및 크롬 산화물 비반사 코팅(264)은 CH3+CF4+Ar과 같은 크롬 에칭 프로세스 가스를 사용하여 제거된다. 이 단계는 에칭 깊이 측정 없이 간단한 엔드포인트 검출을 수행하는 도 14 또는 도 17의 반응기를 사용하여 이루어 질 수 있다. 이는 레티클 패턴을 한정하는 몰리브덴 실리사이드의 상부에 놓인 층을 갖는 석영 마스크 기판을 남긴다.
도 24A 내지 도 24E는 노출된 석영의 주기적 공간에 인접한 투명 석영 마스크 상에 주기적인 크롬 라인으로 이루어진 2성분 마스크를 제조하는 프로세스를 나타내며, 노출된 석영 공간중 하나는 원하는 각도(예를 들어, 180도)로 투과된 광의 위상을 이동시키는 깊이로 에칭된다. 도 24A는 석영 마스크 기판(300), 크롬층(302), 크롬 산화물 비반사 코팅(304) 및 포토레지스트층(306)으로 이루어진 초기 구조물을 나타낸다. 도 24B의 단계에서, 크롬 및 크롬 산화물층(302, 304)은 도 14 또는 도 17의 챔버와 같은 반응기 챔버에서 Cl2+O2+CF4의 프로세스 가스로 에칭된다. 도 24C의 단계에서, 석영 마스크 기판(300)의 노출된 부분이 도 24D에 도시된 것처럼 CHF3+CF4+Ar의 석영-에칭 프로세스 가스에서 에칭된 후, 포토레지스트층(306)이 제거된다. 도 24D의 석영 에칭 단계는 도 15 또는 도 16의 챔버와 같이, 석영 마스크 기판(300)의 에칭 깊이를 감지 또는 모니터링할 수 있는 반응기 챔버에서 수행된다. 에칭 프로세스 동안, 순간적인 에칭 깊이가 연속적으로 모니터링되고, 에칭 프로세스는 목표 에칭 깊이가 마스크(300) 상에 도달하자 마자 중단된다. 최종 결과가 도 24E에 도시된다.
마스크 표면에 대한 에칭 속도 분포의 연속적인 모니터링 :
도 25 및 도 26은 캐소드(44) 상부 표면에서 후방 에칭 깊이 감지 부재(렌즈 및 광섬유)의 매트릭스를 갖춘 도 1의 웨이퍼 지지 페데스탈(16)의 실시예를 나타 내며, 이는 에칭 프로세스를 중단시키거나 또는 마스크 기판을 방해하지 않고, 에칭 프로세스 동안 마스크 또는 기판의 전체 표면에 대해 에칭 속도 분포 또는 에칭 깊이 분포의 순간적 이미지 또는 샘플을 연속적으로 제공한다. 알루미늄 플레토(44a)는 그의 상부 표면에 개구부(320) 매트릭스를 가지며, 각각의 개구부는 마스크 기판(300)의 후방을 면하는 렌즈(322)를 보유한다. 광원(324)은 각각의 렌즈(322)에 결합된 출력 광섬유(326)를 통해 광을 제공한다. 렌즈(322)는 간섭 프린지를 분해하도록 충분한 포커싱을 제공한다. 프린지 카운팅을 용이하게 하는 센서 도는 분광계일 수 있는 간섭 검출기(328)는 각각의 렌즈(322)에 결합된 입력 광섬유(330)와 결합된다. 스위치 또는 멀티플렉서(332)는 각각의 입력 광섬유(330)로부터 순차적으로 검출기(328)로 광을 허용한다. 여기에는 도 25 및 도 26의 장치에서 동작할 수 있는 3가지 모드가 제공된다. 제 1 모드에서, 주어진 하나의 렌즈(322)의 시야각에서 에칭 깊이는 간섭 프린지들 사이의 간격으로부터 계산된다. 제 2 모드에서, 검출기(328)는 분광계이며 주어진 하나의 렌즈(322)의 시야각에서 에칭 깊이는 다중 파장 간섭 스펙트럼(도 13에 해당)의 하부 파장 피크 간격으로부터 계산된다. 제 3 모드에서, 다중 파장 간섭 스펙트럼은 주어진 순간적 시간에 검출되며 해당 에칭 깊이를 알고 있는 스펙트럼들의 라이브러리(340)와 비교된다. 에칭 속도 분포는 에칭 깊이 및 경과된 시간으로부터 계산된다. 이러한 분포는 프로세스의 에칭 불균일성을 기록하며 프로세스 제어기(132)로 공급된다. 제어기(132)는 반응기의 동조가능한 피쳐들을 조절함으로써 에칭 속도 분포의 불균일성을 감소시키도록 응답할 수 있다.
도 25 및 도 26의 실시예는 플레토(44a)의 상부 표면에 에칭 깊이 센서 또는 렌즈(322)의 3×3 매트릭스를 갖는 것으로 도시되었으나, 이러한 센서들의 매트릭스에서 임의의 수의 로우 및 컬럼들이 사용되어 매트릭스는 n×m 매트릭스가 될 수 있으며, 여기서 m 및 n은 적절한 정수이다.
일 실시예에서, 프로세스 제어기(132)는 에칭 속도 분포가 중심 하이 또는 중심 로우인지를 (분광계 또는 센서(130)에 의해 공급되는 에칭 속도 분포 정보로부터) 추론하도록 프로그램될 수 있다. 프로세스 제어기(60)는 불균일성을 감소시키기 위해 반응기의 소정의 동조가능한 피쳐를 조절함으로써 상기 정보에 응답할 수 있다. 예를 들어, 프로세스 제어기(60)는 내부 코일(20)과 외부 코일(22) 사이에서의 RF 전력 할당을 변경할 수 있다. 선택적으로 또는 부가적으로, 프로세스 제어기(60)는 도 6 및 도 7의 반응기에서 이동식 알루미늄 플레이트(112)의 높이를 변경할 수 있다. 플레토(44a)의 에칭 깊이 감지 부재 어레이 또는 매트릭스로부터의 피드백은 반응기 동조가능 부재의 에러 조절 및 연속적인 시험에 의해 프로세스 제어기(60)가 에칭 속도 분포의 균일성을 개선하도록 허용한다.
실시간 구성가능 프로세스 가스 분포 :
도 27 및 도 29는 개별적으로 제어가능한 가스 주입 오리피스 또는 노즐(32)의 어레이를 가지는 도 1의 플라즈마 반응기의 실시예를 나타낸다. 상이한 노즐(32)을 개별적으로 제어함으로써, 챔버(10) 내의 가스 분포는 제품 또는 마스크(18)에 대한 에칭 속도의 불균일한 분포를 교정하도록 변경될 수 있다. 도시된 실시예에서, 가스 노즐(32)의 어레이는 실링(14) 부근의 측벽(12) 상에 위치된다. 이를 위해, 반응기는 실링(14)을 구성하는 하부 표면을 가지는 제거가능한 리드(342)와 측벽(12)의 상부 사이에 고정되는 상부 링(338)을 포함한다. 상부 링(338)의 하부 표면에 있는 외부 숄더(344)는 측벽(12)의 상부 표면에 위치된다. 링의 상부 표면에 있는 내부 숄더(346)는 리드(342)의 에지를 수용한다. 외부 숄더(348)는 링(338)의 내부 숄더(346)에 위치되는 리드(342)의 하부 표면에 제공된다. 가스 주입 오리피스 또는 노즐(32)은 링(338)의 수직 내부 표면(349)에 형성된다. 주입 노즐(32) 각각으로의 가스 흐름은 개별 밸브(350)에 의해 개별적으로 제어되며, 노즐(32) 각각에 대해 하나의 밸브(350)가 제공된다. 가스 패널(36)로부터 공급되는 프로세스 가스는 링(338)에 형성된 입력 포트(354)와 결합되는 가스 공급 라인(352)을 통해 흐른다. 링(338) 상에 형성된 가스 공급 출구(356-1, 356-2)는 입력 포트(354)에서 수신되는 프로세스 가스를 출력한다. 일련의 분리가능한 가스 흐름 라인(358)은 각각의 가스 공급 출구 또는 포트(356)로부터 해당하는 밸브(350) 세트로 프로세스 가스를 연통하는 링(338)의 주변부 외측에 일련의 접속부를 형성한다.
바람직한 실시예에서, 각각의 밸브(350)는 공기식으로(pneumatically) 제어되며, 입력 플로우-쓰로우(flow-through) 포트(350a) 및 출력 플로우-쓰로우 포트(350b), 제어된 가스 출구 포트(350c) 및 공기압 제어 입력 포트(350d)를 갖는다. 출구 포트(350c)는 해당하는 하나의 노즐(32)로 제어된 프로세스 가스를 공급한다. 프로세스 가스는 입력 플로우 쓰로우 포트(350a)로부터 출력 플로우-쓰로우 포트(350b)로 자유롭게 흐른다. 제어 입력 포트(350d)에서 압축 공기압은 플로우-쓰로우 포트들(350a, 350b)를 통과하는 임의의 프로세스 가스가 가스 출구 포트(350c)로 전환되었는지를 결정한다. 이러한 공기압식 제어 밸브는 공지되어 있어, 이들 내부 구조를 본 명세서에서 개시할 필요는 없다. 가스 흐름 라인들(358-1, 358-2)은 가스 공급 출구들(356-1, 356-2)로부터 밸브들(350-1, 350-2)의 입력 플로우-쓰로우 포트(350a)에 접속된다. 나머지 가스 공급 라인들(358) 각각은 하나의 밸브(350)의 출력 플로우-쓰로우 포트(350a)로부터 연속하는 밸브(350)의 입력 플로우-쓰로우 포트(350b)와 접속된다. 따라서, 도 28 도면의 좌측에 있는 일련의 밸브(350)를 통한 가스 흐름은 시계바늘과 반대방향인 반면, 도 28 도면의 우측에 있는 일련의 밸브(350)를 통한 가스 흐름은 시계바늘방향이다.
각각의 출력 포트(356)로부터 일련의 밸브(350)로의 가스 흐름은 일련의 임의의 중간 밸브(350)에 의해 차단되지 않는다. 각각의 밸브(350)는 해당 가스 주입 오리피스(32)로 가스 흐름을 제공하기 위해 임의의 다른 밸브(350)를 턴온 또는 턴오프시키지 않고도 턴 "온" 될 수 있고, 주입 오리피스로의 가스 흐름을 중단시키기 위해 턴 "오프"될 수 있다. 밸브 구성 프로세서(360)는 모든 밸브(350)를 제어하며 밸브 제어 링크(362)를 통해 임의의 조합의 밸브(350)를 턴온 또는 턴오프시킬 수 있다. 앞서 언급된 바와 같이, 바람직한 실시예에서, 밸브(350)는 공기압 밸브이며 제어 링크(362)는 코일 안테나(20, 22) 부근에 전기 도체가 존재하는 것을 방지하기 위한 공기압(공기) 튜브이다. 도 28의 실시예에서, 압축기(364)는 각각의 공기압 밸브(350)의 공기압 제어 입력(350a)에 대해 가압 공기의 인가를 제어 하는 솔레노이드(즉, 전기적으로 제어되는) 밸브(365) 어레이로 압력하에서 공기를 공급한다. 밸브 구성 프로세서(360)는 코일 안테나(20, 22)로부터 떨어져 있는 전기적 링크들을 통해 솔레노이드 밸브(365)를 제어한다.
도 29는 밸브들(350)이 공기압식으로 제어되기 보다는 각각 전기적으로 제어되는 도 28 실시예의 변형을 나타낸다. 도 29에서, 각각의 제어 링크(362)는 제어기(360)로부터 해당하는 하나의 밸브(350)로 직접 연장되는 전기 라인이며, 공기 압축기(364) 및 압축 공기 솔레노이드 밸브(365) 어레이는 소거되었다.
다시 도 27 및 도 28을 참조하여, 각각의 오리피스(32)는 링(338)을 통해 방사상 실린더형 통로(366)로 형성된다. 중공의 실린더형 슬리브(368)는 통로(366) 내에, 가스 주입 오리피스를 형성하는 슬리브(368)의 팁(368a)을 수용한다. 팁(368a)에서 주입 오리피스 직경은 예를 들어, .030 정도의 직경을 갖는다. 각각의 슬리브(368)는 세라믹 물질로 구성되며 제거가능하다. 각각의 밸브(350)의 제어된 가스 출력 포트(350c)는 짧은 가스 공급 라인(370)을 통해 해당하는 방사상 통로(366)의 출력 단부와 접속된다. 전체 가스 분포 어셈블리는 모듈러형이며, 각각의 출구 가스 공급 라인(358) 및 짧은 가스 공급 라인(370)의 접속(또는 분리)에 의해 신속하게 해체되며, 슬리브(368)는 홀(366)로부터 개별적으로 제거가능하다. 이런 방식으로, 가스 분배 부품 및 링(338) 상의 어셈블리 지지체는 예를 들어, 링(338)과 같은, 반응기의 비싼 부품들의 제거 또는 교체를 요구하지 않고도, 각각의 원리에 따라 쉽게 교체된다.
도 30A 내지 도 30D는 상이한 밸브 구성에 대해 도 27 및 도 28 반응기에서 수행되는 에칭 프로세스의 고정된 시간 주기에 얻어지는 마스크(18)에 대한 에칭 깊이 분포의 그래프들이다. 도 30A의 에칭 분포는 모든 밸브(350)가 개방되었을 때 얻어지는 것이며, 일반적으로 마스크 표면에 대해 0.51%의 편차 또는 높은 불균일성을 가지는 중심 로우 에칭 분포이다. 도 30B의 분포는 한 쌍의 인접한 밸브(350a, 350b)가 폐쇄되고, 나머지 밸브들(350)이 개방된 경우 얻어진 것으로, 단지 0.38%의 편차 또는 불균일성을 가지는 거의 균일한 분포이다. 도 30C는 모든 밸브들(350)이 개방된 상태로 다시 밸브 구성을 재조정함으로써 얻어진 것이다. 도 30C의 분포는 보다 중심 로우가 된다. 도 30D의 분포는 상이한 쌍의 인접한 밸브(350c, 350d)를 폐쇄시킴으로써 얻어진 것이다. 결과 분포는 단지 0.40%의 편차를 갖는 보다 균일하고 보다 약한 중심 로우가 된다.
도 31은 가스 주입 노즐(32)이 링(338) 내에서 지그-재그로 또는 "W" 패턴으로 배치되는 선택적 실시예를 나타낸다. 각각의 노즐은 이전 실시예들에서 개시된 것처럼 독립적으로 제어된다. 주입 패턴은 노즐의 하부 로우(32b)만을 또는 상부 로우(32a) 만을 활성화시킴으로써 실링에 대해 이동할 수 있다. 노즐들 간의 간격은 단지 선택된 노즐(32)만(예를 들어, 세번째 노즐마다 또는 네번째 노즐마다)을 활성화시킴으로써 변경될 수 있다. 도 32는 노즐(32)이 상이한 방향으로 분사되도록 어떻게 배열되는지를 나타내는 링(338)의 일부분의 단면도이다. 가스 분포의 큰 변화는 예를 들어, 특정 방향으로 배향된 노즐들(32) 만을 턴온시키는 밸브 구성 제어기(360)에 의해 얻어질 수 있다. 예를 들어, 도 32의 도면에서 우측을 향해 각진 모든 노즐(32c)은 다른 모든 부분들이 제외되도록 동시적으로 턴온될 수 있다. 큰 변화 또는 교정은 예를 들어, 우측으로 각진 모든 노즐(32c)을 포함하는 다른 모든 부분들을 턴오프시키면서 좌측을 향해 각긴 모든 노즐(32d)을 턴온 시킴으로써 달성된다.
후방 에칭 깊이 측정 센서 어레이로부터의 피드백으로 동조가능한 반응기 부재 제어 :
도 33 및 도 34를 참조로, 마스크 에칭 플라즈마 반응기의 동조가능한 부재의 피드백 제어는 도 25 및 도 26의 후방 에칭 깊이 센서의 2차원 어레이의 출력을 이용하여 제공된다. 동조가능한 부재 또는 부재들은 도 27 및 도 28의 개별적으로 제어되는 가스 주입 노즐(32) 어레이를 포함할 수 있다. 선택적으로, 또는 부가적으로, 이러한 피드백 루프에서 제어되는 동조가능한 부재는 도 6 및 도 7의 반응기에서 이동식 알루미늄 플레이트(112)의 높이 또는 내부 및 외부 코일들(20, 22) 간의 RF 전력 할당을 포함할 수 있다.
도 25 및 도 26의 에칭 깊이 감지 부재(130)의 어레이 또는 매트릭스로부터의 피드백은 프로세스 제어기(60)가 반응기 동조가능 부재의 에러 조절 및 연속적 시험에 의해 에칭 속도 분포의 균일성을 개선하도록 허용한다. 도 33에서, 피드백 루프는 도 25 및 도 26의 후방 에칭 깊이 센서(130)의 어레이(400)로 시작된다. 프로세스 제어기(60)는 마스크(18) 상에서의 에칭 속도에서 불균일성의 크기 및 위치를 추리하고 이러한 불균일성을 감소 또는 제거할 수 있는 반응기의 특정한 동조가능한 부재의 최상 변화를 추론하기 위해 마스크(18)에 대한 순간적인 에칭 깊이 측정 이미지를 이용하도록 프로그램된다. 이러한 정보는 프로세서(60)에 의해 반응기의 동조가능한 부재들 중 임의의 하나 또는 일부 또는 전체로 전송되는 명령(또는 명령들)로 변환된다. 따라서, 도 33은 프로세스 제어기(60)로부터 동조가능한 부재들로의 출력 신호 경로를 나타내며, 임의의 하나 또는 전체 반응기에 존재할 수 있는 동조가능한 부재들은 내부 및 외부 안테나 RF 전력 발생기(24, 26)(내부 및 외부 RF 전력 할당을 위해), 이동식 알루미늄 플레이트(112)를 위한 액추에이터(118), 제어가능한 노즐(32) 어레이의 노즐 어레이 제어기(360)를 포함한다.
피드백 루프는 마스크(18)에 대한 에칭 속도 분포의 "이미지"로부터 프로세서(60)에 의해 감지되는 불균일성을 감소시킴으로써 마스크(18)에 대한 에칭 속도 분포 불균일성이 개선되도록 전체 마스크 에칭 프로세스 동안 연속적으로 동작할 수 있다. 피드백은 시험 및 에러 교정을 수행하기 위해 프로세서(60)에 있는 소프트웨어에 의해 조절될 수 있다. 선택적으로, 프로세서(60)에 있는 소프트웨어는 에칭 속도 분포의 불균일성을 감지하도록 프로세서(60)가 보다 지능적으로 응답게 할 수 있는 피드백 학습 기술 및 신경 훈련 기술을 상업적으로 이용할 수 있도록 통합될 수 있다. 이러한 소프트웨어 기술이 본 발명의 구성을 형성하는 것은 아니다.
일 실시예에서, 동조가능한 부재(또는 부재들)로의 피드백 명령은 에칭 깊이 센서 어레이의 편차가 감소되도록 생성될 수 있다. 또 다른 실시예에서, 피드백은 특정한 불균일성을 해결하도록 선택될 수 있다. 예를 들어, 밸브 구성 프로세서가 제한된(시험) 양에 의해 일사분면(one quadrant)에서 가스 흐름을 감소시키도록 명 령되는 경우, 센서(130) 어레이에 의해 감지되는 에칭 속도 분포는 일사분면에서 또는 마스크(18)의 코너에서 매우 높을 수 있다. 이러한 방식이 후방 센서(130)의 어레이로부터 얻어진 에칭 속도 분포의 순차적 이미지에 따른 제한된 성취결과와 대항될 경우, 가스 흐름 분포의 조절은 증가될 수 있다. 이러한 조절 및 교정 주기는 에칭 속도 분포 균일성이 보다 개선되지 않을 때까지 지속될 수 있다.
또 다른 불균일성은 첫 번째 것이 교정된 이후 유사한 방식으로 처리될 수 있다. 예를 들어, 상이한 위치에서 에칭 속도는 극도로 높을 수 있으며, 이는 상기 위치로의 가스 흐름이 후방 센서(130)의 어레이로부터 에칭 속도 분포 "이미지"의 다수의 샘플들에 대한 불균일성에서 소정 감소 결과가 나타나는 동안 감소되는 경우이다.
에칭 속도 불균일성이 대칭(예를 들어, 중심-하이 또는 중심-로우 분포)인 경우, 알루미늄 플레이트(112)의 높이 또는 내부와 외부 코일(20, 22) 사이의 RF 전력 할당과 같은 대칭적 동조가능한 부재들은 피드백 제어 루프를 사용하여 불균일성을 감소시키기 위해 프로세서(60)에 의해 사용될 수 있다. 예를 들어, 중심-로우 에칭 속도 분포는 알루미늄 플레이트(112)를 상승시키거나 또는 내부 코일(20)에 대한(외부 코일(22)과 관련하여) RF 전력의 할당을 증가시키는 것 중 하나(또는 둘 다)에 의해 마스크(18)의 중앙에서 에칭 속도를 증가시키는 프로세서(60)에 의해 불균일성을 덜 생기게 할 수 있다. 피드백 루프에서, 이러한 변화는 초기에는 작을 수 있고, 후방 센서(130)의 어레이로부터의 에칭 분포 이미지의 균일성이 개선됨에 따라, 알루미늄 플레이트의 위치 및/또는 내부 코일(20)에 대한 전력의 할당은 보다 증가될 수 있다. 이러한 주기는 추가의 개선이 발견되지 않을 때까지 지속될 수 있다. 이전의 모든 기술들은 프로세스 제어기(60)에 의해 실행되는 소프트웨어에서 구현될 수 있다.
도 35는 도 33 및 도 34의 실시예에서 프로세스 제어기(60)에 의해 수행되는 피드백 주기의 가능한 예를 나타낸다. 먼저, 프로세서(60)는 후방 센서(130) 어레이로부터 마스크 표면에 대한 에칭 속도의 최후 2차원 이미지를 얻는다(도 35의 블록(380)). 이러한 이미지로부터, 프로세서(60)는 에칭 속도 분포의 불균일성 패턴을 추론하고(블록(382)) 불균일성을 감소시킬 있는 옵션 리스트로부터 반응기의 동조가능한 부재들 중 하나에 대한 조절을 선택한다(블록(384)). 이러한 조절을 형성한 후(블록(386)), 프로세서(60)는 최후 에칭 속도 분포 이미지를 얻고(블록(388)) 이를 조절 이전에 선택된 이전 이미지와 비교한다. 개선이 이루어진 경우(불균일성이 감소), 프로세서(60)는 바람직하게 동일한 성공적인 조절이 보다 증가되도록, 동일한 주기를 반복한다. 개선이 이루어지지 않은 경우(블록(390)의 아니오), 선택된 조절은 옵션 리스트로부터 제거되며(블록(392)), 블록(384)의 단계로 복귀됨으로써 상이한 조절이 선택된다.
도 36은 리프트 서보(1105)에 의해 (선택적으로) 상승 및 하강될 수 있는 제품 지지체(1103) 상에 보유되는 반도체 웨이퍼일 수 있는 제품(1102)을 처리하는 플라즈마 반응기를 나타낸다. 반응기는 챔버 측벽(1106) 및 실링(1108)에 의해 경계설정되는 챔버(1104)로 구성된다. 실링(1108)은 내부 표면에 작은 가스 주입 오리피스(1110)를 가지는 가스 분배 샤워헤드(1109)를 포함할 수 있으며, 샤워헤 드(1109)는 프로세스 가스 공급부(1112)로부터 프로세스 가스를 수신한다. 또한, 프로세스 가스는 가스 주입 노즐(1113)을 통해 주입될 수 있다. 반응기는 유도적으로 결합된 RF 플라즈마 소스 전력 애플리케이터(1114) 및 용량성 결합된 RF 플라즈마 소스 전력 애플리케이터(1116)를 포함한다. 유도적으로 결합된 RF 플라즈마 소스 전력 애플리케이터(1114)는 실링(1108) 상부에 놓이는 유도 안테나 또는 코일일 수 있다. 챔버(1104) 속으로의 유도 결합을 허용하기 위해, 가스 분배 샤워헤드(1109)는 세라믹과 같은 유전체 물질로 형성될 수 있다. VHF 용량성 결합된 소스 전력 애플리케이터(1116)는 제품 지지체(1103) 내에 또는 실링(1108) 내에 위치될 수 있는 전극이다. 선택적 실시예에서, 용량성 결합된 소스 전력 애플리케이터(1116)는 실링(1108) 내의 전극 및 제품 지지체(1103) 내의 전극으로 구성될 수 있어, RF 소스 전력은 실링(1108)과 제품 지지체(1103) 모두와 용량성 결합될 수 있다. (전극이 실링(1108) 내에 있다면, 다수의 슬롯들은 오버헤드 코일 안테나로부터 챔버(1104) 속으로의 유도성 결합을 허용할 수 있다.) RF 전력 발생기(1118)는 선택적인 임피던스 매치 부재(1120)를 통해 유도적으로 결합된 소스 전력 애플리케이터(1114)로 고주파수(HF) 전력(예를 들어, 약 10MHz 내지 27MHz 범위 이내)을 제공한다. 또 다른 RF 전력 발생기(1122)는 선택적 임피던스 매치 부재(1124)를 통해 용량성 결합된 전력 애플리케이터(1116)로 매우 높은 주파수(VHF) 전력(예를 들어, 약 27MHz 내지 200MHz 범위 이내)을 제공한다. 플라즈마 이온 발생시 용량성 결합된 전력 소스 애플리케이터(1116)의 효율은 VHF 주파수가 증가함에 따라 증가되어, 주파수 범위는 바람직하게 적절한 용량성 결합이 야기되는 VHF 영역에 놓이게 된다. 도 36에 상징적으로 도시된 것처럼, RF 전력 애플리케이터(1114, 1116)으로부터의 전력은 제품 지지체(1103) 위로 형성된 챔버(1104) 내의 벌크 플라즈마(1126)에 결합된다. RF 플라즈마 바이어스 전력은 (예를 들어) 제품 지지체 내부 및 웨이퍼(1102) 하부의 전극(1130)에 결합되는 RF 바이어스 전력 공급부로부터 제품(1102)에 용량성 결합된다. RF 바이어스 전력 공급부는 저주파수(LF) RF 전력 발생기(1132) 및 중간 주파수(MF) 또는 고주파수(HF) RF 전력 발생기일 수 있는 또 다른 RF 전력 발생기(1134)를 포함할 수 있다. 임피던스 매치 부재(1136)는 바이어스 전력 발생기(1132, 1134) 및 제품 지지 전극(1130) 사이에 결합된다. 진공 펌프(1160)는 배기 속도를 조절하는데 이용될 수 있는 밸브(1162)를 통해 챔버(1104)로부터 프로세스 가스를 배출한다. 밸브(1162)를 통한 배기 속도 및 가스 분배 샤워헤드(1109)를 통한 주입 가스 흐름 속도는 챔버 압력 및 챔버에서 프로세스 가스 잔류 시간을 결정한다.
플라즈마 이온 밀도는 유도적으로 결합된 전력 애플리케이터(1114) 또는 VHF 용량성 결합된 전력 애플리케이터(1116)중 하나에 의해 인가된 전력이 증가함에 따라 증가한다. 그러나 이들은 유도적으로 결합된 전력이 벌크 플라즈마에서 이온 및 라디칼의 분해 및 중심-로우 방사상 이온 밀도 분포가 보다 조장되도록 다르게 반응한다. 반대로, VHF 용량성 결합된 전력은 분리 및 중심 하이 방사상 이온 분포가 감소되도록 조장되며, VHF 주파수가 증가함에 따라 보다 큰 이온 밀도를 제공한다.
유도적 및 용량성 결합된 전력 애플리케이터들은 프로세스 요구조건에 따라, 개별적으로 또는 조합되어 이용될 수 있다. 일반적으로, 조합되어 사용될 때, 유도적으로 결합된 RF 전력 애플리케이터(1114) 및 용량성 결합된 VHF 전력 애플리케이터(1116)는 동시적으로 플라즈마와 결합되는 반면, LF 및 HF 바이어스 전력 발생기는 웨이퍼 지지 전극(1130)에 바이어스 전력을 동시적으로 제공한다. 하기에 개시되는 바와 같이, 이들 소스들의 동시적 동작은 플라즈마, 이온 밀도, 플라즈마 이온 방사상 분포(균일성), 플라즈마의 화학 종 함량 또는 분해, 시쓰 이온 에너지 및 이온 에너지 분포(폭)과 같이, 가장 중요한 플라즈마 프로세싱 파라미터의 독립적인 조절을 가능케 한다. 이를 위해, 소스 전력 제어기(1140)는 하기 개시되는 바와 같이, 벌크 플라즈마 이온 밀도, 플라즈마 이온 밀도의 방사상 분포 및 플라즈마의 라디칼 및 이온들의 분해를 조절하기 위해 서로 독립적으로 (예를 들어, 이들의 전력 비율을 제어하기 위해) 소스 전력 발생기(1118, 1122)를 조절한다. 제어기(1140)는 각각의 RF 발생기(1118, 1122)의 출력 전력 레벨을 독립적으로 제어할 수 있다. 부가적으로, 또는 선택적으로, 제어기(1140)는 RF 발생기(1118, 1122)중 하나 또는 둘다의 RF 출력 펄싱 및 각각의 듀티 사이클을 독립적 제어, 또는 VHF 발생기(1122) 및 선택적으로 HF 발생기(1118)의 주파수 제어를 가능케 한다. 부가적으로, 바이어스 전력 제어기(1142)는 하기 개시되는 바와 같이, 이온 에너지 분포의 폭과 이온 에너지 레벨 모두를 제어하기 위해 독립적으로 각각의 바이어스 전력 발생기(1132, 1134)의 출력 전력 레벨을 제어한다. 제어기(1140, 1142)는 본 발명의 다양한 방법을 수행하도록 작동한다.
도 37에 도시된 본 발명의 제 1 방법에 따라, 플라즈마 이온 밀도, 플라즈마 이온 밀도 균일성, 시쓰 이온 에너지 및 이온 에너지 분포(폭)이 서로 독립적으로 제어된다. 도 37의 방법은 바람직하게 실링 가스 분배 샤워헤드(1109)를 통해 프로세스 가스를 주입하는 단계를 포함한다(도 37의 블록(1202)). 상기 방법은 벌크 플라즈마와 VHF 전력 소스를 용량성 결합하고(블록(1204)) 벌크 플라즈마에 RF 전력 소스를 유도적으로 결합하는 단계(블록(1206))로 이어진다. 사용자는 특정한 프로세스 단계에 따라 소정의 플라즈마 이온 밀도를 설정한다. 이는 VHF 용량성 결합된 소스 전력과 유도적으로 결합된 소스 전력의 전체 조합을 수행되는 프로세스 단계에 대해 요구되는 플라즈마 이온 밀도를 제공하는 레벨로 유지함으로써 달성된다(블록(1208)). 동시에, 원하는 플라즈마 이온 밀도를 유지하면서 웨이퍼 표면에서 플라즈마 이온 밀도의 방사상 분포를 (예를 들어, 가능한 균일하게 만들기 위해 ) 조절한다. 이는 VHF 용량성 결합된 전력과 유도적으로 결합된 전력 양들 간의 비율을 조절함으로써 달성된다(블록(1210)). 이는 유도적으로 결합된 전력에 의해 조장되는 중심-로우 분포와 VHF 용량성 결합된 전력에 의해 조장되는 중심-하이 분포 사이에 방사상 이온 분포를 할당한다. 본 명세서에서 하기에 개시되는 바와 같이, 이는 HF와 VHF 발생기(1118, 1122)에 의해 전달된 전력 간의 비율만을 변화시키면서 거의 일정하게 전체 RF 전력을 유지함으로써 이온 밀도를 혼란시키지 않고 달성될 수 있다.
조절 단계(1210)는 하기의 단계들 중 임의의 하나(또는 조합)로 수행될 수 있다: 제 1형태의 조절은 유도적 및 용량성 결합된 전력 소스들(1118, 1122)의 조절로 구성된다(도 37의 블록(1210a)). 또 다른 형태의 조절은 유도적 및 용량성 결합된 RF 전력 발생기(1118, 1122) 중 적어도 하나 또는 둘 다의 펄싱 및 다른 하나를 중심으로 하나의 듀티 사이클 조절로 구성된다(도 37의 블록(1210b)). 제 3형태의 조절은 VHF 주파수가 증가함에 따라 플라즈마 이온 밀도가 증가하는, 용량성 결합된 전력 VHF 발생기(1122)의 유효 주파수 조절로 구성된다(도 37의 블록(1210c)). 용량성 결합된 플라즈마 소스 전력의 유효 VHF 주파수 조절은 바람직한 실시예에서 용량성 전력 애플리케이터에 조합된 출력이 인가되는(임피던스 매치(1124a, 1124b)를 통해) 고정되나 상이한 VHF 주파수(즉, 발생기(1122a)에 의한 출력의 상위 VHF 주파수(f1) 및 발생기(1122b)에 의한 출력의 하위 VHF 주파수(f2))의 2개의 VHF 발생기(1122a, 1122b)를 제공함으로써 달성될 수 있다. 상위 및 하위 주파수들(f1, f2)에 의해 설정된 범위 내에서 유효 VHF 주파수(feff)의 변경은 2개의 발생기(1122a, 1122b)의 출력 전력 레벨들(a1, a2) 간의 비율을 변화시킴으로써 수행될 수 있다. 유효 주파수(feff)는 각각 2개의 VHF 발생기(1122a, 1122b)의 주파수(f1, f2) 및, 이들 각각의 조절가능한 출력 전력 레벨(a1, a2)의 함수로서 일차적으로 근사화된다( feff =(a1f1 + f2a2)/(al + a2). 하기 예는 2개의 VHF 발생기를 수반하지만, 필요시보다 많은 수의 발생기가 사용될 수 있다.
VHF 용량성 소스는 유도적으로 결합된 플라즈마(ICP) 소스와 유사하게, 플라즈마에 높은 RF 전압을 생성하지 않고 효과적으로 플라즈마 밀도를 생성할 수 있다. 반대로, LF 및 HF 바이어스 소스는 플라즈마 밀도에 덜 기여지만 플라즈마에 높은 RF 전압을 효과적으로 생성한다. 따라서, VHF 소스(또는 VHF 소스들)와 ICP 소스의 조합은 플라즈마 내에 큰 RF 전압을 생성하는 부작용 없이 플라즈마가 생성되도록 허용한다. 결과적으로, 웨이퍼 페데스탈에 인가되는 LF 또는 HF 소스에 의해 생성된 RF 전압은 플라즈마 밀도 생성 소스와 독립적으로 동작할 수 있다. VHF 소스는 ICP 소스와 독립적으로 동작할 수 있어, ICP와의 조합으로 플라즈마 밀도를 생성할 수 있다(반면, 통상적인 ICP 소스는 웨이퍼 상에만 RF 전압이 생성되도록 웨이퍼 페데스탈에 접속된 HF 또는 LF 용량성 결합된 전력 소스를 이용한다).
또한, 상기 방법은 독립적으로 조절가능한 LF 바이어스 전력 및 HF 바이어스 전력 공급부를 제품과 결합시키는 단계(블록(1212))를 더 포함한다. 제어기(1142)는 2개의 RF 바이어스 전력 발생기(1132, 1134)의 동시적 조절에 의해 제품 표면에서 이온 에너지 밀도 및 이온 에너지 분포(폭 또는 스펙트럼)를 조절한다(블록(1214)). 이 단계는 다음 중 임의의 하나에 의해 수행된다: 제 1 방법은 HF 및 LF 바이어스 전력 소스들(1132, 1134)의 전력 레벨들 간의 비율을 조절하는 것이다(도 37의 블록(1214a)). 제 2 방법은(덜 실용적) LF 및 HF 바이어스 전력 소스들의 주파수를 조절 또는 선택하는 것이다(도 37의 블록(1214b)). 제 1 실시예에서, LF 및 HF 주파수들은 ESC 전극(1130)에 인가되는 반면, VHF 소스 전력은 ICP 애플리케이터(1114)가 샤워헤드(1110) 위에 놓여 이는 동안 가스 분배 샤워헤드(1110)에 인가된다(이 경우, 샤워헤드(1110)는 CCP 애플리케이터(1116))이다). 제 2 실시예에서, VHF 소스 전력은 ICP 전력 애플리케이터(1114)는 샤워헤드(1110) 위에 놓여 있는 동안 HF 및 LF 바이어스 주파수와 함께 ESC 전극(1130)에 인가된 다.
만약, 상기 방법이 다층 구조물의 상이한 물질들의 연속적인 층들의 에칭을 위한 에칭 프로세스에 이용된다면, 층들 각각을 에칭하기 위한 플라즈마 프로세스는 완전히 상이한 프로세스들로 조절될 수 있다. 예를 들어 하나의 층은 고도로 분해된 이온 및 방사상 종들을 이용하여 에칭되면서, 또 다른 층은 다른층 보다 더 높은 밀도의 플라즈마로 에칭될 수 있다. 또한, 챔버 압력이 단계들 사이에서 변경될 경우, 방사상 이온 밀도 분포에 따른 이러한 변경 작용은 균일한 분포를 유지하도록 보상될 수 있다. 이들 모두는 다층 구조의 연속적인 층들이 노출됨(uncovering)에 따라 이전 조절 단계들을 반복함으로써 달성된다.
블록(1210)의 단계에서 달성되는 플라즈마 이온 방사상 분포의 우수한 균일성은 웨이퍼 위에 큰 챔버 용량의 제공을 불필요하게 한다. 따라서, 웨이퍼와 플라즈마 소스 간의 간격은 균일성을 손상시키지 않고 감소될 수 있다. 이는 반응기가 구성되는 경우 수행되거나, 또는 (바람직하게) 웨이퍼 지지체(1103)가 실링-대-웨이퍼 간격을 변화시키기 위해 실링(1108)에 대해 상승 또는 하강될 수 있다. 따라서, 챔버 용량을 감소시킴으로써 프로세스 가스 잔류 시간이 감소되어, 분해 및 플라즈마 종 함량에 대한 독립적 제어가 제공된다. 또한, 실링-대-웨이퍼 간격의 감소는 가스 분배 샤워헤드(1109)의 가스 분배 효과가 매우 바람직하게 확산에 의해 마스킹되기 이전에 웨이퍼 표면에 도달하는 것을 허용한다. 따라서, 상기 방법의 또 다른 단계는 (a) 잔류 시간 제한 또는 (b)가스 분패 패턴이 확산 작용에 의해 웨이퍼 표면에서 마스킹되는 것의 방지에 의해 실링-대-웨이퍼 간격을 제한하는 단계로 구성된다(도 37의 블록(1218)). 이에 대한 장점 중 하나는 유도 결합이 유도적으로 결합되는 소스의 중심-로우 이온 분포 특성을 보상하기 위해 큰 실링-대-웨이퍼 간격을 요구하지 않고 이용될 수 있다는 것이다. 사실상, 실링-대-웨이퍼 간격은 오버헤드 가스 분배 샤워헤드가 웨이퍼 표면에서 프로세스 균일성에 영향을 미치거나 또는 프로세스 균일성을 개선할 수 있도록 충분히 작을 수 있다.
플라즈마의 화학 종 함량은 도 37의 블록(1220) 단계에서, 플라즈마의 분해도를 조절함으로써 (예를 들어, 블록(1210) 단계의 방사상 이온 밀도 분포의 조절과 독립적으로) 이전 조절과는 독립적으로 조절 또는 조정될 수 있다. 이 단계는 챔버내에서 프로세스 가스 잔류 시간을 변경하기 위해, 예를 들어 밸브(1162)를 제어함으로써, 진공 펌프(1160)(도 37의 블록(1220a))에 의해 챔버(1104)가 배기되는 속도를 조절함으로써 수행될 수 있다. (분해는 잔류 시간 및 챔버 용량이 증가함에 따라 증가된다.) 선택적으로(또는 추가적으로), 분해의 조절은 챔버에서 프로세스 가스 잔류 시간이 변경되도록 실링-대-웨이퍼 간격을 조절(도 37의 블록(1220b))함으로써 수행될 수 있다. 이는 도 36의 제품 지지체(1103)를 상승 또는 하강시킴으로써 달성될 수 있다. 플라즈마에서의 분해를 조절하는 이전의 방안들은 이온 분포 또는 균일성을 조절하기 위한 블록(1210)의 단계에서 설정되는 유도적 및 용량성 결합 비율에 큰 영향을 미치지 못했다. 따라서, 단계(1220)의 분해 또는 화학 종 함량의 조절은 단계(1210)의 플라즈마 이온 밀도 분포를 실질적으로 독립적으로 조절하게 한다.
또 다른 실시예에서, 용량성 결합된 소스 전력 애플리케이터(1116)는 실 링(1108)과 제품 지지체(1103) 모두의 전극으로 구성되며, VHF 전력은 실링(1108)과 제품 지지체(1103) 모두의 전극들을 통해 동시적으로 인가된다. 이러한 구성의 장점은 실링에서 VHF 전압(또는 전류)의 위상이 제품 지지체에서의 위상과 상이할 수 있고, 이러한 위상 차 변화가 챔버(1104)의 플라즈마 이온 밀도의 방사상 분포를 변화시킨다는 것이다. 따라서, 플라즈마 이온 밀도의 방사상 분포를 조절하는 추가 단계는 제품 지지체(1103)에서 VHF 전압(또는 전류)과 실링(1108)에서 VHF 전압(또는 전류) 사이의 위상 차를 조절하는 것이다. 이는 도 37의 블록(1230)에 도시된다. 이러한 조절은 블록(1210)의 단계에서 선택된 용량성 및 유도 결합들 간의 비율 변화를 요구하거나 요구하지 않을 수 있다.
도 38A, 도 38B 및 도 38C는 중심-로우 또는 "M"-형상의 유도적으로 결합된 플라즈마 이온 밀도 분포(도 38A)와 중심-하이 용량성 결합된 플라즈마 이온 밀도 분포(도 38B)의 조합이 어떻게 도 38A와 도 38B 분포의 최상 위치에 해당하는 보다 이상적인 또는 보다 더 균일한 플라즈마 이온 밀도 분포(도 38C)를 형성하게 되는지를 나타낸다. 도 38C의 이상적 분포는 도 36의 2개 소스(1118, 1122)의 유도 및 용량성 결합의 양을 주의 깊게 조절함으로써 달성된다. 높은 비율의 용량성 결합 전력은 중심-하이 분포를 보다 더 유도하는 반면, 높은 비율의 유도적으로 결합된 전력은 중심-로우 분포를 보다 더 유도한다. 상이한 비율은 상이한 챔버 압력에서 이상적인 분포를 형성할 수 있다. 유도 및 용량성 결합을 분배하는 한가지 방식은 2개의 발생기(1118, 1122)의 RF 전력량을 분배하는 것이다. 도 39는 발생기들(1188, 1122) 의 출력 전력 레벨들 간의 비율이 방사상 이온 분포에 어떻게 영향 을 미치는지를 나타낸다. 도 39 곡선에서 최소치 또는 하강부는 이온 분포의 불균일성 또는 편차가 최소치인 이상적인 전력 비율에 해당한다. 유도적으로 결합된 전력과 용량성 결합된 전력 간의 또 다른 분배 방식은 2개의 발생기(1188, 1122) 중 적어도 하나(또는 둘다)를 펄싱하고, 펄스 듀티 사이클을 제어하는 것이다. 예를 들어, 이들 중 하나(유도 소스(1118))는 펄스형이고, 다른 하나(용량성 소스(1122))는 연속형이며, 이 둘은 용량성 결합된 소스(1122)의 듀티 사이클을 조절함으로써 균형을 이룬다. 선택적으로, 둘다가 펄스형일 수 있고, 분배는 2개 소스의 듀티 사이클의 비율을 제어함으로써 수행된다. 결과가 도 40에 도시되며, 여기서 높은 비율의 유도적 결합-대-용량성 결합 듀티 사이클들은 플라즈마에 도달하는 유도 결합된 전력을 보다 더 야기시키며, 용량성 결합 전력-대-유도 결합 전력의 높은 비율은 플라즈마에서 용량성 결합된 전력을 보다 더 야기시켜, 중심-하이 분포를 제공한다.
이온 밀도 분포에 대한 전술한 조절은 플라즈마 이온 밀도를 변화시키지 않고 수행될 수 있다. 도 41은 균일성 조절이 RF 발생기 출력 전력을 조절함으로써 이루어지는 도 39의 실시예가 어떻게 달성되는지를 나타낸다. 도 41은 유도적으로 결합된 전력(수직축)과 용량성 결합된 전력(수평축)의 상이한 조합에 대한 일정한 이온 밀도의 선들을 나타낸다. 발생기(1118, 1122)로부터 유도적 및 용량성 결합된 전력 각각의 값이 일정한 밀도의 라인들 중 특정한 하나를 따라 놓이게 제공되며, 유도적-용량성 전력 비율은 플라즈마 이온 밀도를 변화시키지 않고 (균일성을 제어하기 위해) 임의의 원하는 값으로 설정될 수 있다. 일정한 밀도의 선들은 종 래의 실험에 의해 임의의 주어진 반응기에 대해 추론된다. 도 42는 균일성 조절이 RF 발생기 펄스 듀티 사이클을 조절함으로써 이루어지는 도 40의 실시예가 어떻게 달성되는지를 나타낸다. 도 42는 유도적으로 결합된 듀티 사이클(수직축)과 용량성 결합된 듀티 사이클(수평축)의 상이한 조하에 대한 일정한 이온 밀도의 선들을 나타낸다. 발생기(1118, 1122)로부터 각각 유도적 및 용량성 결합된 듀티 사이클의 값들이 일정한 밀도의 라인들 중 특정한 하나를 따라 놓이도록 제공되며, 유도적-용량성 전력 비율은 플라즈마 이온 밀도를 변경하지 않고 (균일성을 제어하기 위해) 임의의 원하는 값으로 설정될 수 있다. 일정한 밀도의 선들은 종래의 검사에 의해 임의의 주어진 반응기에 대해 추론된다.
도 43은 도 37의 블록(1210c)의 단계에서, 이온 밀도에 따라 VHF 용량성 결합된 전력 소스(1122)의 주파수 선택의 효과를 나타내는 그래프이다. 도 43은 주파수가 증가함에 따라(예를 들어, 27MHz로 부터 60MHz 내지 200MHz)보다 큰 속도에서 인가된 소스 전력에 따른 이온 밀도(및 전력 커플링)가 증가되는 것을 나타낸다. 따라서, 플라즈마 이온 밀도 및 용량성 결합된 전력과 유도적으로 결합된 전력 간의 균형에 영향을 미치는 방법중 하나는 용량성 결합된 소스 RF 발생기(1122)의 VHF 주파수를 선택 또는 조절하는 것이다.
도 44는 앞서 언급된 유도적-대-용량성 커플링 비율이 플라즈마의 화학 종 함량 또는 원하는 분해 레벨을 달성하기 위해 사용되면서 원하는 플라즈마 이온 밀도가 유지되는 도 37의 방법의 변형예를 나타낸다. 도 44의 방법은 바람직하게 실링 가스 분배 샤워헤드(1109)를 통해 프로세스 가스를 주입하는 단계를 포함한다 (도 44의 블록(1302)). 상기 방법은 벌크 플라즈마와 RF 소스 전력을 용량성 결합시키면서(블록(1304)) 벌크 플라즈마에는 RF 소스 전력을 유도적으로 결합시킴으로써(블록(1306)) 지속된다. 사용자는 특정한 프로세스 단계에 따라 소정의 플라즈마 이온 밀도를 설정한다. 이는 용량성 결합된 전력과 유도적으로 결합된 전력의 전체 조합을 수행될 프로세스 단계에 대해 요구되는 플라즈마 이온 밀도를 제공하는 레벨로 유지함으로써(블록(1308)) 달성된다. 동시에, 원하는 플라즈마 이온 밀도를 유지하면서 벌크 플라즈마의 분해도가 (예를 들어, 소정의 프로세스 요구조건이 충족되도록) 결정된다. 이는 VHF 용량성 결합된 전력 및 유도적으로 결합된 전력 양들 간의 비율을 조절함으로써(블록(1310)) 달성된다. 이는 유도적으로 결합된 플라즈마의 매우 높은 레벨의 특성과 VHF 용량성 결합된 플라즈마의 하위 레벨 특성 간에 분해도(벌크 플라즈마에서의 운동학 전자 에너지)를 고정한다. 이러한 할당은 도 41 및(또는) 도 42를 참조로, 상기 개시된 방법들에 따라, HF 및 VHF 발생기(1118, 1122)에 의해 전달된 전력 간의 비율만을 변화시키면서 거의 일정한 전체 RF 전력을 유지함으로써 이온 밀도를 혼란시키지 않고 달성될 수 있다. 단계(1310)의 조절은 하기의 단계들 중 임의의 하나(또는 조합)에 의해 수행될 수 있다: 제 1형태의 조절은 유도적 및 용량성 결합된 전력 소스들(1118, 1122)의 RF 발생기 전력 레벨을 조절하는 단계로 구성된다(도 44의 블록(1310a)). 또 다른 형태의 조절은 유도적 및 용량성 결합된 RF 전력 발생기(1118, 1122) 중 적어도 하나 또는 둘다를 펄싱하고 하나를 기준으로 다른 하나의 듀티 사이클을 조절하는 단계로 구성된다(도 44의 블록(1310b)). 제 3형태의 조절은 VHF 주파수가 증가함에 따 라 플라즈마 이온 밀도가 증가하는, 용량성 결합된 전력 VHF 발생기(1122)의 유효 주파수를 조절하는 단계로 구성된다(도 44의 블록(1310c)). 유효 VHF 주파수의 변경은 개별 주파수를 가지는 한 쌍의 고정 주파수 VHF 발생기(1122a, 1122b)를 제공하고 이들의 출력 전력 레벨들 간의 비율을 조절함으로써 수행될 수 있다.
상기 방법은 제품과 독립적으로 조절가능한 LF 바이어스 전력과 HF 바이어스 전력 공급부를 결합하는 단계를 더 포함한다(블록(1312)). 제어기(1142)는 2개의 RF 바이어스 전력 발생기(1132, 1134)의 동시적 조절에 의해 제품 표면에서 이온 에너지 레벨 및 이온 에너지 분포(폭 또는 스펙트럼)를 조절한다(블록(1314)). 이러한 단계는 다음 중 임의의 어느 하나에 의해 수행된다: 제 1 방법은 HF 및 LF 바이어스 전력 소스(1132, 1134)의 전력 레벨들 간의 비율을 조절하는 것이다(도 44의 블록(1314a)). 또 다른 방법은 LF 및 HF 바이어스 전력 소스의 주파수를 선택 또는 조절하는 것이다(도 44의 블록(1314b)).
상기 방법은 플라즈마 강화 에칭 프로세스, 플라즈마 강화 화학적 기상 증착(PECVD) 프로세스, 물리적 기상 증착 프로세스 및 마스크 프로세스를 수행하는데 유용한다. 상기 방법이 다층 구조물의 상이한 물질의 연속 층들을 에칭하는 에칭 프로세스에 이용될 경우, 각각의 층들을 에칭하기 위한 플라즈마 프로세스는 완전히 상이한 프로세스로 조절될 수 있다. 하나의 층은 고도로 분해된 이온 및 라디컬 종들을 이용하여 에칭될 수 있고 또 다른 층은 예를 들어, 다른 층 보다 높은 밀도의 플라즈마로 에칭될 수 있다. 또한, 챔버 압력이 단계들 사이에 변경될 경우, 라디컬 이온 밀도 분포에 따른 이러한 변경 효과는 균일한 분포를 유지하도록 보상될 수 있다. 이들 모두는 다층 구조물의 노출된(uncovering) 연속층들에 대해 상기 조절 단계들을 반복함으로써 달성된다.
유도적으로 결합된 소스 전력과 VHF 용량성 결합된 소스 전력의 조합에 의해 달성되는 플라즈마 이온 방사상 분포의 우수한 균일성은 실링-대-웨이퍼의 간격을 크게 제공할 필요가 없게 한다. 따라서, 실링-대-웨이퍼 간격은 균일성을 손상시키지 않고 감소될 수 있다. 이는 반응기가 구성될 때 수행되거나, 또는 (바람직하게) 웨이퍼 지지체(1103)는 실링-대-웨이퍼 간격을 변화시키기 위해 실링(1108)에 대해 상승 또는 하강될 수 있다. 따라서, 챔버 용량을 감소시킴으로써, 프로세스 가스 잔류 시간이 감소되어, 분해 및 플라즈마 종 함량에 대한 독립적 제어가 제공된다. 또한, 실링-대-웨이퍼 간격의 감소는 바람직하게 가스 분배 샤워헤드(1109)의 가스 분배 작용이 확산에 의해 마스킹되기 이전에 웨이퍼 표면에 도달하게 허용한다. 따라서, 상기 방법의 또 다른 단계는 (a) 잔류 시간 제한 또는 (b) 확산 작용에 의해 웨이퍼 표면에서 샤워헤드 가스 분배 패턴이 마스킹되는 것을 방지하기 위한 실링-대-웨이퍼 간격의 제한으로 구성된다(도 44의 블록(1318)).
플라즈마의 화학 종 함량은 도 44의 블록(1320)의 단계에서, 챔버에서의 프로세스 가스 잔류 시간을 조절함으로써 상기 조절들과 독립적으로 조절 또는 조정될 수 있다. 이 단계는 챔버에서의 프로세스 가스 잔류 시간을 변경시키기 위해, 예를 들어, 밸브(1162)를 제어함으로써, 진공 펌프(1160)에 의해 챔버(1104)가 배기되는 속도를 조절함으로써 수행된다(도 44의 블록(1320a)). (잔류 시간이 증가함에 따라 분해가 증가된다.) 선택적으로(또는 부가적으로), 분해 조절은 실링-대 -웨이퍼 간격을 조절함으로써(도 44의 블록(1320b)) 수행되어, 챔버에서 프로세스 가스 잔류 시간을 변경할 수 있다. 이는 도 36의 제품 지지체(1102)를 상승 또는 하강시킴으로써 달성될 수 있다. 플라즈마에서의 분해를 조절하는 사익 방안들은 블록(1310)의 단계에서 설정되는 유도적 및 용량성 커플링 비율에 큰 영향을 미치지 않는다. 따라서, 단계(1320)의 화학 종 함량 또는 분해 조절은 단계(1210)의 분해 조절과는 실질적으로 독립적으로(또는 부가적으로) 이루어진다.
선택적 실시예에서, 용량성 결합된 소스 전력 애플리케이터(1116)는 실링(1108)과 제품 지지체(1103) 모두에서 전극으로 구성되며, VHF 전력은 실링(1108)과 제품 지지체(1103) 모두에서 전극을 통해 동시적으로 인가된다. 이러한 구성의 장점은 실링에서 VHF 전압(또는 전류)의 위상이 제품 지지체에서 위상과 상이할 수 있고, 이러한 위상 차 변경은 챔버(1104)에서 플라즈마 이온 밀도의 방사상 분포를 변경한다. 따라서, 플라즈마 이온 밀도의 방사상 분포는 제품 지지체(1103)에서 VHF 전압(또는 전류)과 실링(1108)에서 VHF 전압(또는 전류) 간의 위상 차를 조절함으로써 (블록(1310)의 단계에서 선택된 용량성-대-유도적 커플링 비율을 변화시키지 않고) 분해와 독립적으로 조절될 수 있다. 이는 도 44의 블록(1330)에 도시된다.
도 45는 유도적 및 용량성 결합 비율이 블록(1308)의 단계에서 벌크 플라즈마의 분해를 어떻게 조절하는지를 나타낸다. 분해는 벌크 플라즈마 내에서 전자 에너지를 증가시킴으로써 조장되며, 도 45는 4개의 상이한 동작 방식에 대한 전자 에너지 분포 함수를 나타낸다.
곡선 라벨 1410은 단지 HF 바이어스 전력만이 웨이퍼에 인가되고 다른 소스 전력은 인가되지 않은 경우 전자 에너지 분포 함수를 나타낸다. 이 경우, 전자 분포(population)는 전형적인 분해 반응에 대한 단면이(곡선 1420으로 표시) 상당한 크기를 가지는 에너지 바로 아래에서 낮은 에너지 스펙트럼 내에서 제한된다. 따라서, 분해가 (임의의 경우) 덜 발생한다.
곡선 1430은 VHF 전력이 용량성 결합된 소스 전력 애플리케이터(1116)에 인가되고 임의의 다른 애플리케이터에는 전력이 인가되지 않은 경우의 전자 에너지 분포 함수를 나타낸다. 이 경우, 전자 분포는 충돌 단면(1420)과 일치하는 작은 성분을 가지며 소량의 분해가 발생한다.
곡선 1440은 HF 전력이 유도적으로 결합된 소스 전력 애플리케이터(1114)에 인가되며 다른 애플리케이터에는 전력이 인가되지 않는 경우 전자 에너지 분포 함수를 나타낸다. 이 경우, 전자 분포는 충돌 단면(1420)의 높은 값과 일치하는 성분을 가져, 매우 높은 정도의 분해가 벌크 플라즈마에서 발생한다.
곡선 1450은 용량성 및 유도적으로 결합된 애플리케이터(1116, 1114) 사이에 RF 전력이 할당되는 경우에 대한 전자 에너지 분포 함수를 나타낸다. 이 경우, 형성되는 전자 에너지 분배 함수는 2개 함수(1430, 1440)가 혼합된 것으로 이들 사이에 놓여, 보다 적은 양의 이온 분해가 벌크 플라즈마에서 이루어진다. 조합된 경우를 나타내는 곡선(1450)은 충돌 단면이 상당한 크기를 가지는 에너지에서 또는 그 위에서 다소 작은 전자 분포를 가지며, 보다 작은 분해도를 나타낸다. 조합 경우의 곡선 1450는 용량성 및 유도적 결합된 전력 양들 간의 비율을 변경함으로써 보다 큰 또는 보다 작은 에너지 레벨을 향해 이동할 수 있다. 이는 도 46의 그래프에 도시되었으며, 각각의 실선 곡선은 특정 전력 레벨에서 순수하게 유도적으로 결합된 전력에 대한 전자 에너지 분포 함수에 해당한다. 실선 곡선으로부터 연장되는 점선 곡선은 보다 많은 전력이 유도적 결합으로부터 전달되고 용량성 결합으로 인가되는, 이들 곡선의 변형을 나타낸다. 본질적으로, 이는 전자 분포가 낮은 에너지 레벨로 이동되게 하여, 분해를 감소시킨다.
도 47은 플라즈마의 화학 함량에 따른 상이한 분해도의 효과를 나타낸다. 수직축은 광방출 스펙트럼을 나타내며 수평축은 파장을 나타낸다. 상이한 피크는 일정한 라디칼 또는 이온의 존재에 해당하며, 피크의 크기는 특정 종의 플라즈마의 분포 또는 인시던스(incidence)에 해당한다. 실선 곡선은 낮은 분해도(용량성 결합 우세)에 해당하며, 보다 큰 분자 종이 다수 존재한다. 점선 곡선은 높은 분해도(유도적 결합 우세)에 해당하며, 작은(보다 반응적인) 화학 종이 (어미(parent) 분자에 따라) 다수 존재한다. 도 47에 도시된 예에서, 우세하게 용량성 결합된 범위에서 높은 인시던스를 갖는 큰 분자량 종은 CF2인 반면, 우세하게 유도적으로 결합된 범위에서 높은 인시던스를 갖는 작은 분자량 종은 유리(free) 탄소(C)이다. 소정의 경우, C(유리 탄소)의 존재는 높은 에칭 속도가 요구되는 경우 바람직한, 유리 불소와 같은 매우 가볍고 고도로 반응성인 종 존재를 표시한다. CF2와 같은 큰 종의 존재는 예를 들어, 높은 에칭 선택도가 요구되는 플라즈마 에칭 프로세스에서 바람직할 수 있는, 보다 반응성인 종들의 부재 및 적은 분해의 표시가 된다.
도 48은 도 44의 블록(1310a)의 단계를 수행하는 한 방식을 나타내는 그래프 이다. 도 48의 수직축은 벌크 플라즈마에서의 분해도에 해당하며, 도 47의 유리 탄소와 같이 고도로 분해된 종의 광방출 스펙트럼 세기를 나타낼 수 있다. 수평축은 유도적으로 결합된 플라즈마(ICP) 전력 대 용량성 결합된 플라즈마(CCP) 전력(도 36의 ICP와 CCP 발생기(1118, 1122)의 전력 레벨)의 비율이다. 도 48은 분해가 이러한 비율의 증가 함수인 것을 나타내지만, 이는 도 48에 도시된 간단한 선형 함수가 아닐 수 있다.
도 49는 도 44 블록(1310b)의 단계를 수행하는 한가지 방법을 나타내는 그래프이다. 도 49의 수직축은 벌크 플라즈마의 분해도에 해당하며, 도 47에서 유리 탄소와 같이 고도로 분해된 종의 광방출 스펙트럼을 나타낼 수 있다. 수평축은 유도적으로 결합된 플라즈마(ICP) 펄스형 듀티 사이클 대 용량성 결합된 플라즈마(CCP) 펄스형 듀티 사이클(도 36의 ICP 및 CCP 발생기(1118, 1122)의 펄스형 듀티 사이클)의 비율이다. 도 49는 도 49에 도시된 간단한 선형 함수가 아닐 수도 있지만, 분해가 일반적으로 이러한 비율의 증가 함수인 것을 나타낸다. CCP 발생기(1122)는 펄스형이 아닐 수 있고, 이 경우 듀티 사이클은 100%인 반면 ICP 듀티 사이클만이 제어를 위해 변경된다. 도 50A 및 도 50B는 펄스형 ICP 발생기 출력과 펄스형 CCP 발생기 출력의 동시적인(contemporaneous) 파형의 한가지 가능한 예를 나타낸다. 도시된 실시예에서, CCP 발생기(1122)는 ICP 발생기(1118)보다 큰 듀티 사이클을 가져, 플라즈마는 낮은 분해도와 같이, 용량성 결합된 플라즈마의 특성을 보다 더 나타낼 수 있다. 용량성 결합된 전력 소스와 유도적으로 결합된 전력 소스의 듀티 사이클 간의 비율은 다음과 같은 방식으로 플라즈마에서의 유도적 및 용 량성 결합된 전력 간의 비율에 영향을 미친다. 먼저, 유도적으로 결합된 소스 전력의 듀티 사이클이 짧을수록, RF 유도 전력의 펄스 버스트들 간의 유휴 시간은 길다. 유휴 시간 동안, 벌크 플라즈마에서 에너지 전자가 높을수록 덜 활성적인 전자들보다 빠르게 에너지를 방출하여, 전자 에너지 분포 함수(도 45)는 에너지에서 (즉, 도 45의 좌측으로) 하향 이동한다. 이는 각각의 유휴 시간 동안 용량성 결합된 형태의 플라즈마(즉, 분해가 적은)를 보다 유도한다. 이러한 작용은 듀티 사이클이 감소됨에 따라 증가되어, 플라즈마는 보다 적은 높은 에너지 전자(다수의 사이클에 대한 평균)를 가져, 보다 적은 분해를 유도한다. 유휴 시간 동안, 보다 높은 에너지 전자 분포가 감소되며, (부가적으로)보다 높은 에너지 전자의 공간적 분포는 확산을 통해 확산할 가능성을 가져, 유도적으로 결합된 전력 듀티 사이클에서의 감소에 따라 프로세스 균일도가 개선된다.
도 51은 도 44의 블록(1310c)의 스텝을 수행하는 한가지 방식을 나타내는 그래프이다. 도 51의 수직축은 벌크 플라즈마의 분해도에 해당하며, 도 47의 유리 탄소와 같이 고도로 분해된 종의 광방출 스펙트럼 세기를 나타낸다. 수평축은 도 36의 용량성 결합된 플라즈마(CCP) 발생기(1122)의 주파수이다. 도 51은 이전 실시예에서 처럼, CCP 및 ICP 전력이 동시적으로 인가되는 경우에 해당하며, CCP 전력 발생기(1122)DML 주파수는 증가된다. ICP 전력의 고정된 레벨 및 CCP 전력의 고정된 레벨에 대해, 유효 VHF 주파수 증가는 도 51에 도시된 것처럼, 플라즈마 분해를 증가시킨다. 분해 반응은 도 51에 도시된 간단한 선형 함수가 아닐 수 있다.
도 52A, 도 52B 및 도 52C는 도 37(도 44의 블록(1312)의 단계에 해당하거나 동일한)의 블록(1214)의 단계가 어떻게 수행되는지를 나타낸다. 도 52A, 도 52B 및 도 52C의 그래프 각각은 이온 에너지의 함수, 또는 시쓰 이온 에너지 분포로서 플라즈마 시쓰(제품 표면)에서 이온 분포를 나타낸다.
도 52A는 저주파수(예를 들어, 1MHz) 바이어스 전압 또는 전력의 바이어스 전력이 단지 웨이퍼에 인가되는 경우의 이온 에너지 분포를 나타낸다. (도 36에서, 이는 LF 바이어스 전력 발생기(1132)만이 바이어스 전력을 인가하는 경우에 해당한다.) 이러한 주파수는 실질적으로 시쓰 이온 전이(transit) 주파수 이하이며, 이는 시쓰 이온이 시쓰 전계의 발진을 수행할 수 있는 최고 주파수이다. 따라서, 도 52A의 예에서 시쓰 이온은 바이어스 전력에 의해 부여되는 시쓰 전계의 피크-대-피크 발진을 따른다. 이는 RF 바이어스 전력 피크-대-피크 전압과 일치하는 피크 이온 에너지를 야기한다(도 52A의 eVp-p). 이온 에너지 분포는 바이-모달(bi-modal)이며 도 52A의 그래프에 도시된 것처럼 매우 낮은 에너지에서 제 2 피크를 갖는다. 이들 2개 피크 사이의 이온 분포는 비교적 낮다.
도 52B는 바이어스 전력이 고주파수(HF) 성분(13.56MHz)만으로 구성된 경우 이온 에너지 분포를 나타낸다. (도 36에서, 이는 HF 바이어스 전력 발생기(1134) 만이 바이어스 전력을 인가하는 경우에 해당한다.) 이러한 주파수는 시쓰 이온 전이 주파수 바로 위이며, 시쓰 이온은 피크-대-피크 시쓰 전계 발진을 수행 되게 할 수 없다. 이 결과는 도 52B의 이온 에너지 분포가 시쓰의 피크-대-피크 전압의 절반에 집중되는 협폭(narrow) 에너지 밴드로 제한된다. 도 52A 및 도 52B의 이온 에너지 분포는 서로 상보적인 것으로 볼 수 있으며, 하나의 분포(도 52B)는 중간 주파수 밴드에서 풍부한 반면 다른 하나(52B) 피크는 2개 극단(extremes)에서 중간 주파수에서 다소 감소되는 넓은 분포를 갖는다.
도 52C는 (도 36의 바이어스 전력 발생기(1132, 1134) 모두를 작동시킴으로써) LF 및 HF 바이어스 전력을 동시적으로 인가함으로써 구현될 수 있는 이온 에너지 분포의 예를 나타낸다. 이는 사실상 도 52A 및 도 52B의 2개의 극단 분포의 최상위치인 이온 에너지 분포를 야기한다. 따라서 도 52C의 "조합" 이온 에너지 분포는 LF 및 HF 바이어스 전력의 상대적인 양을 조절함으로써 조절될 수 있다. 이는 LF 및 HF 바이어스 전력 발생기(1132, 1134)DML 전력 레벨 중 하나(또는 둘다)를 할당함으로써(도 37의 단계(1214a)에서 처럼) 또는 이들 중 하나 또는 둘 다를 펄싱하고 이들의 듀티 사이클을 할당함으로써(도 37의 단계(1214b)에서 처럼) 달성된다. 선택적으로, 또는 추가 단계로서, HF 또는 LF 바이어스 전력중 하나의 주파수는 변할 수 있다. 예를 들어, LF 바이어스 전력 주파수는 시쓰 이온 전이 주파수에 가까운 값으로 증가되어, 도 52C의 최대 에너지(eVp-p) 부근의 이온 에너지 분포 집단(population)을 감소시킨다(도 52C의 점선 곡선으로 표시된 것처럼 이온 에너지 분포를 좁힌다). 또 다른 예로서, HF 바이어스 전력 주파수는 시쓰 이온 전이 주파수에 근접한 값으로 감소될 수 있으며, 도 52C의 중간 에너지에서 분포 피크를 감소시킨다(도 52C의 점선으로 표시된 것처럼 중간 주파수에서 이온 에너지 분포를 넓힌다).
도 53은 전형적인 전계 효과 트랜지스터(FET)의 전형적인 게이트의 다층 박막을 나타낸다. 3개의 층은 반도체 기판(1604) 위에 놓여있는 고유전 상수의 실리 콘 이산화물층(1602), 산화물층(1602) 상의 다결정성 실리콘 도전층(1606), 도전층(1606) 상의 티타늄 실리사이드층(1608), 실리사이드층(1608) 상의 하드 마스크층(1610), 하드 마스크층(1610) 상의 비반사(AR) 코팅(1612) 및 AR 코팅(1612) 상의 포토레지스트층(1614)을 포함한다. 이러한 구조물을 에칭하기 위한 플라즈마 에칭 프로세스에서, 층들(1602-1614) 각각의 상이한 물질은 상이한 에칭 프로세스에서 최적으로 에칭된다. 일부 층들(예를 들어, 포토레지스트층(1614) 및 다결정성 실리콘 도전층(1606))은 용량성 결합된 것보다 유도적으로 결합된 플라즈마에서 최적으로 에칭되는 반면, 다른 층들(예를 들어, 하드 마스크층(1610))은 유도적으로 결합된 것보다는 용량성 결합된 플라즈마에서 최적으로 에칭된다. 도 37 또는 도 44의 방법을 이용하여, 각각의 상이한 층들은 소스 전력 결합 형태를 포함하는 프로세스 조건을 변화시킴으로써(즉, 유도적으로 결합된 소스 전력과 용량성 결합된 소스 전력 간의 비율을 변화시킴으로써), 특정 층에 대해 최적인 플라즈마 프로세스 조건 형태로 처리될 수 있다(예를 들어, 에칭될 수 있다). 따라서, 에칭 프로세스에서, 각각의 연속적인 층(1602-1614)이 노출됨에 따라, 도 37 및 도 44를 참조로 개시된 조절은 각각의 층에 대한 프로세스를 조절하기 위해 프로세스 파라미터를 변화시키도록 반복된다. 이는 도 37 및 도 44의 블록(1216, 1316) 단계 각각의 목표가 된다. 이러한 변화를 만들 때 다른 파라미터들이 변경될 수 있다. 예를 들어, 다결정성 층(1606)을 에칭하는데 이용되는 형태의 우세하게 유도적으로 결합된 플라즈마는 낮은 챔버 압력(예를 들어, 수 밀리토르)에서 보다 바람직하게 유지될 수 있으며, 우세하게 용량성 결합된 플라즈마는 보다 높은 챔버 압력(예를 들어, 십 밀리토르)에서 보다 바람직하게 유지될 수 있다. 유도적 및 용량성 결합된 전력과 거의 동일한 양을 갖는 플라즈마는 용량성 결합된 플라즈마의 보다 높은 챔버 압력 범위 및 유도적으로 결합된 플라즈마의 보다 낮은 압력 범위의 중간 챔버 압력에서 동작할 수 있다. 또한, 상이한 바이어스 전력 레벨 및 이온 에너지 분포가 이용되어, 조절을 형성하기 위해 도 36 또는 도 44의 블록(1214 또는 1314)의 단계를 이용하여 층들(1602-1614)중 상이한 하나를 에칭할 수 있다.
장점들 :
플라즈마에 VHF 용량성 결합된 전력과 유도적으로 결합된 전력의 동시적 인가는 사용자가 플라즈마 이온 밀도 및 플라즈마 균일성 또는 분해(또는 플라즈마의 화학 종 함량)를 독립적으로 제어할 수 있게 한다. 종래의 반응기들은 확산 효과가 웨이퍼에서 균일한 플라즈마 이온 분포를 생성하도록, 실링-대-웨이퍼의 큰 간격을 이용하여 실링으로부터 전력을 인가함으로써 유도적으로 결합된 플라즈마의 중심-로우 이온 밀도 분포를 보상했다. 그러나, 실링-대-웨이퍼의 큰 간격은 웨이퍼 표면에서 오버헤드 가스 분배 샤워헤드의 원하는 효과를 방해하여, 오버헤드 가스 분배 샤워헤드의 장점이 유도적으로 결합된 반응기에서 구현될 수 없었다. 또 다른 문제점은 실링-대-웨이퍼의 큰 간격은 챔버 용량을 크게 증가시켜, 이에 따라 (매우 높은 용량성 진공 펌프가 챔버를 배기시키지 않을 경우) 프로세스 가스 잔류 시간이 커져, 최소 레벨 이하로 벌크 플라즈마의 분해를 제어하는 것을 어렵게 한다는 것이다. 이는 에칭 마이크로로딩 또는 에칭 선택도 저하와 같은 에칭 프로세 싱 문제점들의 해결 또는 최소화를 어렵게 만든다. 이러한 문제점들은 본 발명에서 모두 해결된다. 웨이퍼 표면에서 프로세스 균일성을 개선시키기 위해 유도적으로 결합된 반응기에서 오버헤드 가스 분배 샤워헤드 사용의 불가능은 이온 발생 영역에 이온 분포 균일성을 만들기 위해 이상적인 양의 용량성 결합된 전력을 주입함으로써 해결된다. 이는 실링-대-웨이퍼 간격이 웨이퍼 표면에서 오버헤드 가스 분배가 프로세스 균일성을 제어하는 지점으로 상당히 감소되게 한다. 에칭 선택도는 실링-대-웨이퍼 간격이 감소됨으로써 조장된 보다 작은 챔버 용량의 가스 잔류 시간 감소를 통해 플라즈마의 분해를 감소시킴으로써 감소된다. 또한, 에칭 마이크로로딩 문제점은 원하는 화학 종을 조장하는 분해도를 조장하여 플라즈마의 원하는 화학 함량을 선택함으로써 독립된 수단으로 해결될 수 있다. 소정의 화학 종은 에칭 마이크로로딩의 효과를 억제할 수 있고, 용량성 결합된 전력 대 유도적으로 결합된 전력의 비율을 조절함으로써, 플라즈마에 존재하는 원하는 종의 양이 최대화되도록 분해를 변경할 수 있다. 또 다른 장점은 원하는 레벨에서 전체 플라즈마 이온 밀도를 유지하거나, 또는 독립적으로 플라즈마 이오 밀도를 조절함으로써 상기 모든 단계가 수행될 수 있다는 것이다.
장치들 :
도 54는 반응기 챔버(1104) 내에서 제품 지지체(1103) 상에 보유되는 반도체 웨이퍼일 수 있는 제품(1102)을 처리하는 본 발명의 플라즈마 반응기의 제 1 실시예를 나타낸다. 선택적으로, 제품 지지체(1103)는 리프트 서보(1105)에 의해 상승 및 하강된다. 챔버(1104)는 챔버 측벽(1106)과 실링(1108)에 의해 한정된다. 실링(1108)은 내부 표면에 작은 가스 주입 오리피스들(1110)을 가지는 가스 분배 샤워헤드(1109)를 포함할 수 있고, 샤워헤드(1109)는 프로세스 가스 공급부(1112)로부터 프로세스 가스를 수신한다. 반응기는 유도적으로 결합된 RF 플라즈마 소스 전력 애플리케이터(1114)를 포함한다. 도 57에 도시된 것처럼, 유도적으로 결합된 전력 애플리케이터는 나선형으로 감긴 도전성 코일(1114a)로 구성되며 실링(1108)과 평행한 평면으로 실링(1108) 위에 놓인다. 선택적으로, 도 58에 도시된 것처럼, 도전성 코일은 평행하게 나선형으로 감긴 도체들(1114b, 1114c, 1114d)로 구성될 수 있다. 일 실시예에서, 용량성 결합된 RF 플라즈마 소스 전력 애플리케이터(116)는 가스 분배 샤워헤드 위에 놓인 실링에 있는 전극(1116a)이다. 또 다른 실시예에서, 용량성 결합된 RF 플라즈마 전력 소스 애플리케이터(1116)는 제품 지지체(1130) 내의 전극(1130)이다. 코일 안테나(1114a)로부터 챔버(1104) 속으로 유도 결합을 허용하기 위해, 가스 분배 샤워헤드(1109)는 세라믹과 같은 유전체 물질로 형성될 수 있다. 바람직하게 실링 전극(1116a)은 오버헤드 코일 안테나(1114a)로부터 챔버(1104) 속으로의 유도 결합을 허용하도록 도 55에 도시된 것처럼 다수의 방사상 슬롯(1115)을 갖는다. 선택적으로, 도 56에 도시된 실링 전극(1116b)은 삽입되지 않고 사용될 수 있으며 대신 오버헤드 코일 안테나(1114)로부터 RF 전력의 동시적 유도 결합을 허용하면서 전극으로서 기능할 수 있는 물질로 형성된다. 이러한 물질의 예로는 도핑된 반도체가 있다.
또 다른 실시예에서, 용량성 결합된 소스 전력 애플리케이터(1116)는 실 링(1108) 내에 전극(1116a) 및 제품 지지체(1103) 내에 전극(1130) 모두를 포함할 수 있어, RF 소스 전력이 실링(1108)과 제품 지짖체(1103)로부터 동시적으로 용량성 결합될 수 있다. 또 다른 선택적 실시예에서, 전극들(1116a, 1130) 모두가 제공되나, VHF 소스 전력은 이들중 하나에만 인가되어 나머지 전극은 VHF 리턴 떠는 카운터 전극으로 기능한다.
RF 전력 발생기(1118)는 임피던스 매치 부재(1120)를 통해 유도적으로 결합된 코일 안테나(1114a)에 고주파수(HF) 전력(예를 들어, 약 10MHz 내지 27MHz 범위 이내)을 제공한다. 실링 전극(1116a)이 용량성 결합된 소스 전력 애플리케이터인 일 실시예에서, RF 전력 발생기(1122)는 임피던스 매치 부재(1124)를 통해 용량성 결합된 전력 애플리케이터(1116)에 매우 높은 주파수(VHF) 전력(예를 들어, 약 27MHz 내지 200MHz 범위 이내)을 제공한다. 하부(제품 지지체) 전극(1130)이 용량성 결합된 소스 전력 애플리케이터인 또 다른 실시예에서, RF 전력 발생기(1123)는 임피던스 매치 부재(1125)를 통해 하부 전극(1130)에 VHF 전력을 제공한다. 제 3 실시예에서, 실링 및 하부 전극(1116a, 1130) 모두는 모든 VHF 발생기(1122, 1123)가 제공되도록, 용량성 결합된 플라즈마 소스 전력 애플리케이터를 포함한다. 또 다른 실시예에서, 전극들(1116a, 1130) 모두는 제공되나, VHF 플라즈마 소스 전력은 이들중 하나에만 인가되고, 다른 하나의 전극은 VHF 리턴 전위(예를 들어, 접지)에 결합되어 다른 것에 대한 카운터전극으로서 작용한다.
VHF 주파수가 증가함에 따라 플라즈마 이온을 생성시 용량성 결합된 전력 소스의 효율성이 증가되며, VHF 범위에서 적절한 용량성 결합이 야기되는 범위에 주 파수 범위가 놓인다. RF 전력 애플리케이터들(1114, 1116)로 부터의 전력은 제품 지지체(1103) 위에 형성된 챔버(1104) 내의 벌크 플라즈마(1126)와 결합된다.
RF 플라즈마 바이어스 전력은 제품 지지체 내부 및 웨이퍼(1102) 아래에 놓여있는 전극(1130)과 결합되는 RF 바이어스 전력 공급부로부터 제품(1102)에 결합된다. RF 바이어스 전력 공급부는 저주파수(LF) RF 전력 발생기(1132)(100kHz 내지 4MHz) 및 고주파수(HF) RF 전력 발생기(4MHz 내지 27MHz)일 수 있는 또 다른 RF 전력 발생기(1134)일 수 있다. 임피던스 매치 부재(1136)는 바이어스 전력 발생기(1132, 1134)와 제품 지지 전극(1130) 사이에 결합된다. 진공 펌프(1160)는 배기 속도를 조절하는데 이용될 수 있는 밸브(1162)를 통해 챔버(1104)로부터 프로세스 가스를 배기시킨다. 밸브(1162)를 통한 배기 속도 및 가스 분배 샤워헤드(1109)를 통해 주입되는 가스 유량은 챔버 압력 및 챔버에서의 프로세스 가스 잔류 시간을 결정한다. 제품 지지체(1103)가 정전기 척인 경우, D.C. 척킹 전압 공급부(1170)는 전극(1130)에 접속된다. 캐패시터(1172)는 D.C. 전압 공급부(1170)와 RF 발생기(1123, 1132, 1134)를 절연시킨다.
제 1 실시예에서, VHF 전력은 실링 전극(1116a)에만 인가된다. 이 경우, 제품 지지체 전극(1130)에 대해 실링 전극(1116a)에 인가되는 VHF 전력에 대한 리턴 경로로서 작용하는 것이 바람직하며 실링 전극에 대해 제품 지지체 전극(1130)에 대해 인가되는 HF 전력에 대한 리턴 경로로서 작용하는 것이 바람직하다. 이를 위해, 실링 전극(1116a)은 LF/HF 대역 필터(1180)를 통해 접지에 접속될 수 있다. 대역 필터(1180)는 발생기(1122)로부터의 VHF가 실링 전극(1116a)으로부터 접지로 전환되는 것을 방지한다. 유사하게, 웨이퍼 지지체 전극(1130)은 (RF 절연 캐패시터(1172)를 경유하여) VHF 대역 필터(1186)를 통해 접지와 접속될 수 있다. VHF 대역 필터(1186)는 발생기(1132, 1134)로부터의 LF 및 HF 전력이 전극(1130)에서 접지로 전환되는 것을 방지한다.
제 2 실시예에서, VHF 전력은 웨이퍼 지지체 전극(1130)에만 인가된다. 이 경우, 웨이퍼 지지체 전극(1130)은 접지와 접속되는 것이 아니라, (매치(1125)를 통해) VHF 발생기(1123)에 접속되어, VHF 대역 필터(1186)가 소거된다. 마찬가지로, LF/HF 대역 필터(1180)는 우회(또는 소거)될 수 있고 실링 전극(1116a)은 접지와 직접 접속된다. 이전의 옵션들은 도 54의 스위치(1184, 1188)에 의해 상징적으로 표시된다. 반응기는 하나의 실시예로 (스위치(1184, 1188)에 의해) 구성되기 보다는 제 1 또는 제 2 실시예들중 하나의 실시예를 따라 주로 구성되어, 단지 하나의 VHF 발생기(1122, 1123)만이 존재하고, 스위치(1184, 1188)가 이 경우 필요없게 될 수 있다.
제 3 실시예에서, 전극들(1116a, 1130)은 이중 하나가 VHF 접지가 되도록 VHF 발생기(1122, 1123)에 의해 동시적으로 구동된다. 그러나 실링 전극(1116a)은 웨이퍼 지지체 전극(1130)에 인가된 LF/HF 바이어스 전격에 대한 카운터전극 또는 리턴이 되도록 LF/HF 대역 필터(1180)를 통해 접지에 접속될 수 있다. 본 실시예에서, 측벽(1106)은 VHF 전력에 대한 접지 리턴을 제공할 수 있다. 2개의 전극들(1130, 1116a) 간의 VHF 위상이 상이하다면, 각각의 전극은 각각의 RF 주기의 적어도 일부 동안 소정의 기준 전위를 제공할 수 있다. 예를 들어, 2개의 전 극(1116a, 1130) 간의 VHF 위상차가 180도라면, 각각의 전극(1116a, 1130)은 각각의 RF 주기 전체 동안 다른 것에 대한 카운터전극으로 작용할 수 있다. 2개의 VHF 발생기(1122, 1123)가 단일 VHF 발생기에서 구현될 수 있고, 소스 전력 제어기(1140)는 단일 발생기에 의해 전달된 VHF 전압 또는 VHF 전류 사이의 위상차를 각각의 전극(1116a, 1130)에 대해 제어한다.
소스 전력 제어기(1140)는 벌크 플라즈마 이온 밀도, 플라즈마 이온 밀도의 방사상 분포 및 플라즈마의 라디칼 및 이온들의 분해를 제어하기 위해 소스 전력 발생기(1118, 1122)를 서로 독립적으로 제어한다. 제어기(1140)는 각각의 RF 발생기(1118, 1122)의 출력 전력 레벨을 독립적으로 제어할 수 있다. 부가적으로, 또는 선택적으로, 제어기(1140)는 RF 발생기(1118, 1122) 중 하나 또는 둘다의 RF 출력을 펄싱하고, 각각의 듀티 사이클을 독립적으로 제어하거나, 또는 VHF 발생기(1122)의 주파수를 제어하거나 또는 선택적으로 HF 발생기(1118)의 주파수를 제어할 수 있다. 또한, 제어기(1140)는 배기 밸브(1162)의 개구 크기 및/또는 진공 펌프(1160)의 펌핑 속도를 제어할 수 있다. 또한, 바이어스 전력 조절기(1142)는 바이어스 전력 발생기(1132, 1134) 각각의 출력 전력 레벨을 독립적으로 조절한다. 제어기(1140, 1142)는 상기 개시된 본 발명의 다양한 방법을 수행하도록 동작한다.
도 59는 도 54 실시예의 또 다른 변형을 나타내는 것으로, 코일 안테나(1114a)가 각각의 임피던스 매치(1196a, 1196b)를 통해 각각의 RF 발생기(1194a, 1194b)에 의해 공급되는 나(또는 그 이상) 솔레노이드형 도체 와인딩(1190, 1192)을 포함한다. 이 경우, 실링(1108) 및 샤워헤드(1109)는 평탄형(실선) 또는 돔형 (점선)일 수 있다. 도 60은 도 54 실시예의 변형을 나타내는 것으로, 실링(1108) 및 가스 분배 샤워헤드(1109)가 중심-하이 스텝 형상을 갖는다. 이 경우, 코일 안테나(1114a)는 평탄형(점선) 또는 도 60에 실선으로 도시된 것처럼 반구형(또는 돔형)일 수 있다. 도 61은 도 54의 실시예의 또 다른 변형을 나타내는 것으로, 실링(1108) 및 가스 분배 샤워헤드(1109)가 반구형 또는 돔 형상이다. 다시, 코일 안테나(1114a)는 평탄형(점선) 또는 돔형(실선)일 수 있다.
도 62는 또 다른 실시예를 나타내는 것으로, 유도적으로 결합된 소스 전력 애플리케이터(1114)는 유도 안테나이기 보다는 토로이달 소스이다. 토로이달 소스는 프로세스 영역의 직경에 의해 분리되는 챔버 엔클로져내의 한 쌍의 개구부(1404, 1406)와 결합된 외부 중공 요각 도관(1402)으로 구성된다. 예를 들어, 도 62의 구현예에서, 개구부(1404, 1406)는 실링(1108)을 관통하며 웨이퍼 지지체(1103)의 직경에 분리되도록 챔버의 에지에 위치된다. RF 전력은 코어(1408)의 일부 부근에 감긴 도전성 와인딩(1409)을 갖는 자성(예를 들어, 철) 토로이달 코어(1408)에 의해 도관(1402) 내부에 결합된다. RF 발생기(1118)는 매치(1120)를 통해 와인딩(1409)와 결합된다. 이러한 토로이달 소스는 도관(1402) 및 웨이퍼(1102) 상부에 놓인 프로세싱 영역을 통과하는 원형 경로의 플라즈마 전류를 형성한다. 이러한 플라즈마 전류는 RF 발생기(1118)의 주파수에서 진동한다. 도 63은 도 62 반응기의 변형을 나타내며, 실링(1108) 및 샤워헤드(1109)는 중심 하이 계단형(실선) 또는 돔형(점선)이다. 도 27 및 도 28의 토로이달 플라즈마 소스의 장점중 하나는 RF 전력이 실링 전극(1116b)을 통하지 않고 가스 분배 샤워헤 드(1109)를 직접 통해 유도적으로 결합되지 않는다는 것이다. 따라서, 샤워헤드(1109)는 금속일 수 있으며 실링 전극(1116a)은 고체(solid)(도 55의 슬롯(1115)이 없는)이거나, 또는 실링 전극은 소거될 수 있고 VHF 전력은 금속 가스 분배 샤워헤드(1109)에 직접 결합되어 금속 샤워헤드(1109)가 실링 전극으로 기능 할 수 있다.
도 54-61의 각각의 반응기들은 챔버에 VHF 소스 전력을 용량성 결합시키며 HF 소스 전력은 챔버와 유도적으로 결합된다. 도 62-63의 반응기는 VHF 소스 전력을 챔버로 용량성 결합시키며 챔버의 프로세스 영역을 통과하는 진동하는 토로이달 플라즈마 전류와 HF 소스 전력을 용량성 결합시킨다. 이러한 유도 결합 부재는 진동하는 토로이달 플라즈마 전류의 외부 부분과 면한다. 용량성 결합된 전력은 도 54-61의 실시예에서 실링 전극(1116a) 또는 웨이퍼 지지체 전극(1116b)에 인가되며, 도 62-63의 실시예에서는 샤워헤드(1109)(또는 웨이퍼 지지체 전극(1116b))의 전도성 버전에 인가된다. 용량성 결합된 전력은 VHF 주파수 범위(27-200MHz)이기 때문에 벌크 플라즈마에 이온을 생성한다. 이러한 주파수 범위에서, 벌크 플라즈마의 운동 전자는 용량성 결합된 RF 필드 진동을 야기시켜 이온 발생에 기여하기에 충분한 에너지를 획득한다. 상기 범위 이하에서, 용량성 결합된 전력은 벌크 플라즈마에서 이온 발생에 기여하기 보다는 플라즈마 시쓰에서 이온 에너지에 기여하여, 플라즈마 소스 전력이 아니다. 따라서, 플라즈마 소스 전력(즉, 벌크 플라즈마에서 이온을 발생시키기 위한 전력)을 제공하기 위해, 전극(1116a)(또는 1130)에 결합된 RF 발생기(1122)(또는 1123)는 VHF 전력을 제공한다.
모든 프로세스 파라미터에 대한 제어가 2개의 제어기(1140, 1142)에 의해 실행되는 것으로 개시되었지만, 제어기들은 모든 프로세스 파라미터 및 조절을 제어하는 단일 제어기에서 구현될 수 있다.
도 36 및 54 내지 61의 다중 주파수 플라즈마 반응기 부재들은 동일한 플라즈마 반응기에서 하기의 피쳐들중 임의의 하나 또는 모두 조합될 수 있다 : (a) 고도로 균일한 분포의 전기적 특성을 가지는 도 1-5의 캐소드, (b) 도 6 및 도 7의 캐소드 내에서 조절가능한 전기 부재, (c) 도 8, 도 14-21 및 도 25의 후방 광학 검출 장치, 및 (d) 도 27, 도 28, 도 31 및 도 32의 모듈형 개별 밸브 주입 노즐 어레이. 또한, 도 36의 제어기(유효 VHF 주파수 및 유도적 및 용량성 결합된 전력 레벨들 간의 비율을 조절)는 도 26을 참조한 동조가능한 반응기 부재중 하나 일 수 있다. 이러한 장치에서, 유효 VHF 주파수 및/또는 용량성 및 유도적으로 결합된 전력 레벨들의 비율은 도 26의 후방 광학 센서 어레이(300)를 사용하여 피드백 제어 루프에서 제어될 수 있다. 센서 어레이(300)는 실시간 에칭 속도 또는 에칭 속도 분포의 불균일성을 감지하는데 이용될 수 있고, 피드백 제어 루프는 프로세싱 동안 불균일성을 감소시키는 역할을 한다.
지금까지 본 발명의 실시예들에 관한 것이었지만, 본 발명의 다른 특징 및 실시예들을 첨부되는 청구범위에 의해 제한되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 고안할 수 있다.
도 1은 마스크 에칭 프로세스를 수행하는 플라즈마 반응기를 나타낸다.
도 2A는 도 1의 반응기의 하부 부분을 나타낸다.
도 2B는 융기된 위치에서 도 1의 반응기의 마스크 지지 페데스탈을 나타낸다.
도 3은 도 1의 반응기의 캐소드의 상부도이다.
도 4 및 도 5는 캐소드의 선택적 일 실시예의 상부도 및 측면도이다.
도 6 및 도 7은 캐소드의 선택적인 또 다른 실시예의 상부도 및 측면도이다.
도 8은 후방 엔드포인트 검출 장치를 가지는 플라즈마 반응기의 개략도이다.
도 9 및 도 10은 각각 마스크의 정면 및 후면으로부터 얻어진 광학적 엔드포인트 검출 신호의 그래프이다.
도 11 및 도 12는 각각 마스크의 정면 및 후면으로부터 얻어진 간섭 플렌지 광학 신호의 그래프이다.
도 13은 도 8의 반응기의 일 실시예에서 얻어진 다중 파장 간섭 스펙트럼 신호의 그래프이다.
도 14는 도 10에 해당하는 전체 반사된 광 세기에 따른 후방 엔드포인트 검출을 수행하는 도 8의 반응기의 실시예를 나타내는 도면이다.
도 15는 도 12에 해당하는 간섭 플린지 카운팅에 따른 후방 엔드포인트 검출을 수행하는 도 8의 반응기의 실시예를 나타내는 도면이다.
도 16은 다중 파장 간섭 분광계에 따른 후방 엔드포인트 검출을 수행하는 도 8의 실시예를 나타내는 도면이다.
도 17은 OES(optical emission spectrometry)에 따른 후방 엔드포인트 검출을 수행하는 도 8의 실시예를 나타내는 도면이다.
도 18은 OES 및 간섭-기반 후방 엔드포인트 검출을 수행하는 제품의 예를 나타내는 도면이다.
도 19 및 도 20은 각각 도 18의 실시예의 캐소드 및 설비 플레이트의 개략도이다.
도 21은 도 19의 캐소드의 단면도이다.
도 22A 및 도 22B는 후방 엔드포인트 검출을 이용하는 석영 마스크 에칭 프로세스에서의 순차적 단계들을 나타내는 도면이다.
도 23A, 도 23B, 도 23C, 도 23D 및 도 23E는 후방 엔드포인트 검출을 사용하는 크롬-몰리실라사이드-석영 마스크 에칭 프로세스에서의 순차적 단계들을 나타낸다.
도 24A, 도 24B, 도 24C, 도 24D 및 도 24E는 후방 엔드포인트 검출을 사용하는 크롬-석영 마스크 에칭 프로세스에서의 순차적 단계들을 나타낸다.
도 25 및 도 26은 각각, 마스크 후방으로부터 실시간 에칭 속도 분포가 연속적으로 측정된 실시예의 측면도 및 상부도이다.
도 27 및 도 28은 각각, 개별적으로 제어가능한 가스 주입 노즐 어레이를 갖는 실시예의 투시도 및 상부도이다.
도 29는 공압 밸브를 사용하는 도 27 및 도 28의 구현예의 상부도이다.
도 30A 내지 도 30D는 활성화된 도 27 및 도 28의 밸브 어레이중 상이한 하나로부터 얻어진 마스크에 대한 에칭 깊이 분포의 그래프이다.
도 31은 도 27 및 도 28의 반응기의 또 다른 선택적 실시예를 나타낸다.
도 32는 도 27 및 도 28의 반응기의 또 다른 선택적 실시예를 나타낸다.
도 33 및 도 34는, 각각, 에칭 속도 분포의 동시적인 2차원 이미지에 따른 반응기의 동조가능한 부재들의 실시간 피드백 제어를 수행할 수 있는 플라즈마 반응기의 블록도 및 투시도이다.
도 35는 도 33 및 도 34의 반응기에서 수행될 수 있는 피드백 제어 프로세스의 블록도이다.
도 36은 본 발명의 실시예에 따른 플라즈마 반응기의 간략화된 블록도이다.
도 37A 및 도 37B 모두는 본 발명의 일 실시예의 방법을 나타내는 블록도로 구성되며, 이들 도면을 총괄적으로 "도 37"로 칭한다.
도 38A는 통상적으로 유도 결합된 플라즈마인 플라즈마 이온 밀도의 방사상 분포를 나타내는 그래프이다.
도 38B는 통상적으로 용량성 결합된 플라즈마인 플라즈마 이온 밀도의 바아상 분포를 나타내는 그래프이다.
도 38C는 본 발명의 방법에 따라 도 36의 반응기에서 얻어진 플라즈마 이온 밀도의 방사상 분포를 나타내는 그래프이다.
도 39는 용량성 및 유도 결합된 전력의 전력 레벨의 비율에 따른 이온 방사상 분포 불균일성(편차)을 나타낸다.
도 40은 유도 및 용량성 결합된 전력의 펄스 듀티 사이클의 비율에 따른 이온 방사상 분포 불균일성(편차)을 나타낸다.
도 41은 유도 및 용량성 결합된 전력 레벨들 값의 쌍에 대한 일정한 플라즈마 이온 밀도의 선들을 나타내는 그래프이다.
도 42는 유도 및 용량성 결합된 전력 펄스 듀티 사이클 값의 쌍에 대한 일정한 플라즈마 이온 밀도의 선들을 나타내는 그래프이다.
도 43은 용량성 결합된 전력의 상이한 VHF 주파수들에 대한 소스 전력 레벨에 따른 벌크 플라즈마에서의 전자 밀도 관계를 나타내는 그래프이다.
도 44A 및 도 44B 모두는 본 발명의 또 다른 실시예의 방법을 나타내는 블록도로 구성되며, 이들 도면을 총괄적으로 "도 44"로 칭한다.
도 45는 용량성 및 유도 결합된 전력의 상이한 혼합물에 대해 얻어진 상이한 벌크 플라즈마 전자 에너지를 나타내는 그래프이다.
도 46은 용량성 결합된 전력이 유도 결합된 전력에 부가될 경우 얻어진 상이한 소스 전력 레벨에 대한 전자 에너지 분포 함수에서의 변화를 나타낸다.
도 47은 상이한 분해도(전자 에너지 분포)에 대해 얻어진 광 방출 스펙트럼을 나타낸다.
도 48은 유도 결합된 전력 대 용량성 결합된 전력의 비율 증가에 따라 분해도(탄소가 없는 또는 불소가 없는 개체)가 어떻게 증가하는지를 나타내는 그래프이다.
도 49는 유도 결합된 전력 펄스 듀티 사이클 대 용량성 결합된 전력 듀티 사 이클 비율 증가에 따라 분해도(탄소가 없는 또는 불소가 없는 개체)가 어떻게 증가하는지를 나타내는 그래프이다.
도 50A 및 도 50B는 각각, 유도 결합된 전력과 용량성 결합된 전력 펄스의 동시적 파형을 나타낸다.
도 51은 용량성 결합된 전력의 주파수 증가에 따라 분해도가 어떻게 감소되는지를 나타내는 그래프이다.
도 52A, 도 52B 및 도 52C는 각각, 단지 나은 주파수 바이어스 전력이 인가되는 경우, 단지 높은 주파수 바이어스 전력이 인가되는 경우 및 높은 주파수 바이어스 전력 및 낮은 주파수 바이어스 전력 모두가 웨이퍼에 인가되는 경우에 대한, 시쓰 이온 에너지 분포를 나타내는 그래프이다.
도 53은 도 37 또는 도 44의 프로세스에서 에칭되는 다층 게이트 구조물을 나타낸다.
도 54는 제 1 실시예에 따른 플라즈마 반응기를 나타내는 도면이다.
도 55 및 도 56은 도 54의 반응기에서 실링 전극의 상이한 구현예를 나타내는 도면이다.
도 57 및 도 58은 도 54의 반응기의 유도 안테나의 상이한 실시예를 나타낸다.
도 59는 또 다른 실시예에 따른 플라즈마 반응기를 나타낸다.
도 60은 또 다른 실시예에 따른 플라즈마 반응기를 나타낸다.
도 61은 또 다른 실시예에 따른 플라즈마 반응기를 나타낸다.
도 62는 또 다른 실시예에 따른 플라즈마 반응기를 나타낸다.
도 63은 또 다른 실시예에 따른 플라즈마 반응기를 나타낸다.

Claims (20)

  1. 제품을 프로세싱하는 플라즈마 반응기로서,
    반응기 챔버 및 상기 챔버내의 제품 지지체 - 상기 챔버는 상기 제품 지지체를 면하는 실링을 가짐 - ;
    상기 실링 위에 놓인 유도적으로 결합된 플라즈마 소스 전력 애플리케이터, 및 상기 유도적으로 결합된 소스 전력 애플리케이터와 결합된 RF 전력 발생기 ;
    (a) 상기 실링, (b) 상기 제품 지지체 중 하나에서 소스 전력 전극을 포함하는 용량성 결합된 플라즈마 소스 전력 애플리케이터;
    상기 제품 지지 페데스탈을 통해 그의 하부로부터 연장되며 상기 제품 지지체의 지지 표면에 개구부들의 어레이를 형성하는 통로들의 어레이;
    각각이 상기 통로들 각각의 하나를 통해 연장되며 (a) 상기 지지 표면에서 상기 개구부에 대한 시야각을 갖는 시야 단부, 및 (b) 상기 챔버 외측의 출력 단부를 가지는 광섬유들의 어레이;
    상기 광섬유들의 출력 단부에 결합되는 광학 센서들; 및
    상기 유도적으로 결합된 플라즈마 소스 전력 애플리케이터와 상기 용량성 결합된 플라즈마 소스 전력 애플리케이터에 의해 상기 챔버에서 플라즈마와 동시적으로 결합되는 상대적인 전력 양들을 조절하도록 상기 광학 센서들에 응답하는 제어기
    를 포함하는 플라즈마 반응기.
  2. 제 1 항에 있어서,
    상기 광학 센서들로부터의 신호들은 제품 표면에 대한 에칭 깊이 분포의 순간적 이미지를 나타내며, 상기 제어기는 상기 에칭 깊이 분포의 균일성을 강화시키기 위해 상기 상대적인 전력 양들을 조절하도록 프로그램되는 것을 특징으로 하는 플라즈마 반응기.
  3. 제품을 프로세싱하는 플라즈마 반응기로서,
    반응기 챔버 및 상기 챔버 내의 제품 지지체 - 상기 챔버는 상기 제품 지지체를 면하는 실링을 포함함 - ;
    (a) 상기 실링, (b) 상기 제품 지지체 중 하나에서 소스 전력 전극을 포함하는 용량성 결합된 플라즈마 소스 전력 애플리케이터;
    상기 용량성 결합된 소스 전력 애플리케이터와 결합된 상이한 고정 주파수의 다수의 VHF 전력 발생기;
    상기 제품 지지 페데스탈을 통해 그의 하부로부터 연장되며 상기 제품 지지체의 지지 표면에 개구부 어레이들을 형성하는 통로들의 어레이;
    각각이 상기 통로들 각각의 하나를 통해 연장되며 (a) 상기 지지 표면에서 상기 개구부에 대한 시야각을 갖는 시야 단부, 및 (b) 상기 챔버 외측의 출력 단부를 가지는 광섬유들의 어레이;
    상기 광섬유들의 출력 단부들에 결합되는 광학 센서들; 및
    상기 소스 전력 전극에 인가된 유효 VHF 주파수를 제어하기 위해, 상기 다수의 VHF 발생기의 전력 출력 레벨을 독립적으로 제어하도록 상기 광학 센서들에 응답하는 제어기
    를 포함하는 플라즈마 반응기.
  4. 제 3 항에 있어서,
    상기 광학 센서들로부터의 신호들은 제품의 표면에 대한 에칭 깊이 분포의 순간적 이미지를 나타내며, 상기 제어기는 상기 에칭 깊이 분포의 균일성을 강화시키기 위해 상대적 전력 양들을 조절하도록 프로그램되는 것을 특징으로 하는 플라즈마 반응기.
  5. 제 3 항에 있어서,
    상기 제품 지지체에 바이어스 전력 전극을 포함하는 플라즈마 바이어스 전력 애플리케이터;
    상기 플라즈마 바이어스 전력 애플리케이터에 결합된 상이한 고정 주파수의 다수의 RF 전력 발생기; 및
    상기 플라즈마 바이어스 전력 애플리케이터에 인가된 유효 RF 주파수를 조절하기 위해 상기 다수의 RF 발생기의 전력 출력 레벨들을 독립적으로 제어하도록 상기 광학 센서들에 응답하는 제어기
    를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  6. 제 3 항에 있어서,
    상기 제품 지지체 내에 동조가능한 부재 및 상기 동조가능한 부재에 결합되는 제어 부재를 더 포함하며, 상기 제어 부재는 상기 광학 센서들에 응답하는 것을 특징으로 하는 플라즈마 반응기.
  7. 제품을 프로세싱하는 플라즈마 반응기로서,
    측벽 및 실링을 가지는 진공 챔버;
    상기 실링을 면하는 지지 표면을 가지며 제품을 지지하도록 상기 챔버내에 위치되는 제품 지지 페데스탈;
    상기 제품 지지 페데스탈을 통해 그의 하부로부터 연장되며 상기 지지 표면에 개구부들의 2차원 어레이를 형성하는 통로들의 어레이;
    다수의 광섬유 - 상기 다수의 광섬유들 각각은 상기 통로들 각각의 하나를 통해 연장되며 (a) 상기 지지 표면에서 상기 개구부에 대한 시야각을 갖는 시야 단부, 및 (b) 상기 챔버 외측의 출력 단부를 가짐 - ;
    상기 광섬유들의 상기 출력 단부에 결합된 광학적 감지 장치;
    상기 페데스탈 상에서 지지되는 제품 표면에 대한 2-차원 에칭 속도 분포를 변경할 수 있는 동조가능한 부재; 및
    상기 광학적 감지 장치로부터의 정보를 수신하고 상기 동조가능한 부재로 제어 명령들을 전송하도록 접속된 프로세스 제어기
    를 포함하는, 플라즈마 반응기.
  8. 제 7 항에 있어서,
    상기 동조가능한 부재는 상기 챔버와 연통하는 가스 주입 포트들의 어레이, 프로세스 가스 공급부 및 상기 공급부와 상기 가스 주입 포트들의 어레이중 각각의 하나 사이에 접속된 다수의 제어가능한 밸브를 포함하며, 상기 프로세스 제어기는 상기 동조가능한 밸브에 접속되는 것을 특징으로 하는 플라즈마 반응기.
  9. 제 7 항에 있어서, 상기 동조가능한 부재는,
    상기 제품 지지 페데스탈의 중공 개구부;
    상기 중공 개구부 내의 이동식 금속 플레이트; 및
    상기 지지 표면에 대한 상기 금속 플레이트의 위치를 이동시키는 액추에이터
    를 포함하며, 상기 프로세스 제어기는 상기 액추에이터에 결합되는 것을 특징으로 하는 플라즈마 반응기.
  10. 제 7 항에 있어서, 상기 동조가능한 부재는,
    상기 실링 위에 놓이는 내부 및 외부 소스 전력 애플리케이터들 및 상기 내부 및 외부 소스 전력 애플리케이터들에 인가되는 RF 전력 레벨들의 비율을 조절하는 RF 전력 제어기를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  11. 적어도 파장 범위내에서 투명한 제품을 프로세싱하는 플라즈마 반응기로서,
    측벽 및 실링을 가지는 진공 챔버;
    상기 실링을 면하는 지지 표면을 가지며 제품을 지지하도록 상기 챔버내에 위치되는 제품 지지 페데스탈;
    상기 제품 지지 페데스탈을 통해 그의 하부로부터 연장되며 상기 지지 표면을 통해 개구부를 형성하는 통로;
    상기 통로를 통해 연장되며 (a) 상기 지지 표면에서 상기 개구부에 대한 시야각을 갖는 시야 단부, 및 (b) 상기 챔버 외측의 출력 단부를 가지는 광섬유 ; 및
    상기 파장 범위에서 응답하고 상기 광섬유의 상기 출력 단부에 결합되는 광학 센서
    를 포함하는, 플라즈마 반응기.
  12. 제 11 항에 있어서,
    적어도 상기 지지 표면 부근의 상기 통로에서 상기 지지 표면의 상기 개구부를 통해 연장되는 광학축을 가지는 렌즈를 더 포함하며, 상기 광섬유의 시야 단부는 상기 광학축에서 또는 상기 광학축 부근에서 상기 렌즈를 면하는 것을 특징으로 하는 플라즈마 반응기.
  13. 제 12 항에 있어서,
    상기 광섬유의 상기 시야 단부는 상기 광학축의 상기 렌즈와 결합되는 것을 특징으로 하는 플라즈마 반응기.
  14. 제 12 항에 있어서,
    광원; 및
    상기 챔버의 외측에 놓이는 하나의 단부를 가지며 상기 광원으로부터의 광을 수신하도록 결합되고 상기 렌즈에 결합되는 또 다른 단부를 가지는 제 2 광섬유
    를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  15. 제 12 항에 있어서,
    상기 렌즈는 상기 제품 지지체 상에서 지지되는 제품 상에서 1 미크론 크기 보다 작은 주기적으로 이격된 광학 피쳐들에 생성되는 간섭 프린지를 분해하기에 충분한 전력을 갖는 것을 특징으로 하는 플라즈마 반응기.
  16. 제 11 항에 있어서,
    상기 광학 센서에 결합되는 광학 신호 프로세서를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  17. 제 16 항에 있어서,
    상기 광학 센서는 대기중의 반사된 광 레벨을 감지할 수 있고, 상기 광학 신호 프로세서는 에칭 프로세스 엔드포인트를 나타내는 대기중의 반사된 광 레벨의 큰 이동에 응답하도록 프로그램되는 것을 특징으로 하는 플라즈마 반응기.
  18. 제 16 항에 있어서,
    상기 광학 센서는 개별 간섭 프린지들을 감지할 수 있고, 상기 광학 신호 프로세서는 상기 반응기에서의 에칭 프로세스 동안 상기 페데스탈 상에서 지지되는 제품 상에 생성되는 간섭 프린지를 카운팅하도록 프로그램되는 것을 특징으로 하는 플라즈마 반응기.
  19. 제 16 항에 있어서,
    상기 광학 센서는 분광계이며, 상기 광학 신호 프로세서는,
    (a) 공지된 스펙트럼과 다중 파장 간섭 스펙트럼을 비교,
    (b) 상기 분광계에 의해 생성된 스펙트럼의 스펙트럼 피크들 간의 간격으로부터 에칭 깊이 계산,
    (c) 현재 프로세스의 에칭 깊이를 결정하도록 공지된 에칭 깊이의 스펙트럼과 상기 광학 센서로부터 생성된 다중 파장 간섭 스펙트럼 비교
    중 하나를 위해 프로그램되는 것을 특징으로 하는 플라즈마 반응기.
  20. 제 16 항에 있어서,
    상기 광학 센서는 광방출 분광계이고, 상기 광학 신호 프로세서는 에칭 프로세스 및 포인트를 검출하도록 선택된 스펙트럼 라인을 추적하도록 프로그램되는 것 을 특징으로 하는 플라즈마 반응기.
KR1020070072659A 2006-10-30 2007-07-20 후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및에칭 분포의 다중 주파수 제어 KR20080039197A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/589,343 2006-10-30
US11/589,343 US20080099450A1 (en) 2006-10-30 2006-10-30 Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution

Publications (1)

Publication Number Publication Date
KR20080039197A true KR20080039197A (ko) 2008-05-07

Family

ID=39008093

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070072659A KR20080039197A (ko) 2006-10-30 2007-07-20 후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및에칭 분포의 다중 주파수 제어

Country Status (6)

Country Link
US (1) US20080099450A1 (ko)
EP (1) EP1918969A1 (ko)
JP (1) JP5584388B2 (ko)
KR (1) KR20080039197A (ko)
CN (1) CN101221356B (ko)
TW (1) TW200826185A (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9153453B2 (en) 2011-02-11 2015-10-06 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
JP6085079B2 (ja) 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
CN102555084A (zh) * 2012-02-13 2012-07-11 日月光半导体制造股份有限公司 穿孔制作机台及穿孔制作方法
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9373551B2 (en) * 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber
WO2017069238A1 (ja) * 2015-10-21 2017-04-27 住友大阪セメント株式会社 静電チャック装置
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US9859127B1 (en) * 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US10319649B2 (en) * 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR101914902B1 (ko) * 2018-02-14 2019-01-14 성균관대학교산학협력단 플라즈마 발생장치 및 이를 포함하는 기판 처리 장치
US10930478B2 (en) * 2018-05-24 2021-02-23 Lam Research Corporation Apparatus with optical cavity for determining process rate
KR102125646B1 (ko) * 2019-05-28 2020-06-23 한국기초과학지원연구원 플라즈마 oes 진단용 윈도우 및 이를 이용한 플라즈마 장치
WO2024086606A1 (en) * 2022-10-21 2024-04-25 Applied Materials, Inc. Impedance control of local areas of a substrate during plasma deposition thereon in a large pecvd chamber

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4558845A (en) * 1982-09-22 1985-12-17 Hunkapiller Michael W Zero dead volume valve
JPH06101424B2 (ja) * 1986-07-25 1994-12-12 東京エレクトロン株式会社 半導体ウエハ処理装置
CH675459A5 (ko) * 1988-03-09 1990-09-28 Sulzer Ag
JPH02216817A (ja) * 1989-02-17 1990-08-29 Toshiba Corp 基板処理方法
JPH0784662B2 (ja) * 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
JPH03224224A (ja) * 1990-01-30 1991-10-03 Fujitsu Ltd ドライエッチング方法
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04132219A (ja) * 1990-09-24 1992-05-06 Sony Corp プラズマ処理装置とそれを用いる半導体装置の製造方法
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
JP3265691B2 (ja) * 1992-09-07 2002-03-11 富士通株式会社 ホトマスクの製造方法
US6557593B2 (en) * 1993-04-28 2003-05-06 Advanced Technology Materials, Inc. Refillable ampule and method re same
JP3671379B2 (ja) * 1994-02-03 2005-07-13 アネルバ株式会社 静電吸着された被処理基板の離脱機構を持つプラズマ処理装置および静電吸着された被処理基板の離脱方法
JP3124204B2 (ja) * 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5552934A (en) * 1994-03-18 1996-09-03 Spm Corporation Background reflection-reducing plano-beam splitter for use in real image projecting system
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
JPH08195379A (ja) * 1995-01-12 1996-07-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JPH08316279A (ja) * 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
US6535210B1 (en) 1995-06-07 2003-03-18 Geovector Corp. Vision system computer modeling apparatus including interaction with real scenes with respect to perspective and spatial relationship as measured in real-time
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5876119A (en) * 1995-12-19 1999-03-02 Applied Materials, Inc. In-situ substrate temperature measurement scheme in plasma reactor
JP3393970B2 (ja) * 1996-07-05 2003-04-07 株式会社東芝 露光用マスクの製造方法
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JPH11260799A (ja) * 1998-03-13 1999-09-24 Hitachi Ltd 薄膜の微細加工方法
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP2000200783A (ja) * 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6041932A (en) * 1999-02-10 2000-03-28 Holmberg; Doublas A. Vitamin organizing, storing and dispensing system
JP3881125B2 (ja) * 1999-02-17 2007-02-14 レーザーテック株式会社 段差測定装置並びにこの段差測定装置を用いたエッチングモニタ装置及びエッチング方法
JP4852189B2 (ja) * 1999-03-09 2012-01-11 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
KR100542459B1 (ko) * 1999-03-09 2006-01-12 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 플라즈마처리방법
EP1193746B1 (en) * 1999-05-06 2009-12-09 Tokyo Electron Limited Apparatus for plasma processing
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP3774094B2 (ja) * 1999-12-02 2006-05-10 株式会社日立製作所 膜厚、加工深さ測定装置及び成膜加工方法
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
JP2001326217A (ja) * 2000-05-15 2001-11-22 Hitachi Ltd プラズマ処理装置
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6458495B1 (en) * 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
JP3924427B2 (ja) * 2000-12-14 2007-06-06 松下電器産業株式会社 ドライエッチング方法及び装置
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
EP1444726A4 (en) * 2001-10-22 2008-08-13 Unaxis Usa Inc METHOD AND DEVICE FOR Etching PHOTOMASCIC SUBSTRATES USING PULSED PLASMA
US20040062874A1 (en) * 2002-08-14 2004-04-01 Kim Yong Bae Nozzle assembly, system and method for wet processing a semiconductor wafer
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
AU2003224977A1 (en) * 2002-04-19 2003-11-03 Mattson Technology, Inc. System for depositing a film onto a substrate using a low vapor pressure gas precursor
JP4264479B2 (ja) * 2003-03-14 2009-05-20 キヤノンアネルバ株式会社 Cvd装置のクリーニング方法
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
JP3816081B2 (ja) * 2004-03-10 2006-08-30 松下電器産業株式会社 プラズマエッチング装置及びプラズマエッチング方法
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
JP3957705B2 (ja) * 2004-05-28 2007-08-15 株式会社半導体理工学研究センター プラズマ処理装置
JP2006032303A (ja) * 2004-07-22 2006-02-02 Sharp Corp 高周波プラズマ処理装置および処理方法
JP4083716B2 (ja) * 2004-07-29 2008-04-30 松下電器産業株式会社 プラズマ処理方法及びプラズマ処理装置
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US20060191638A1 (en) * 2005-02-28 2006-08-31 International Business Machines Corporation Etching apparatus for semiconductor fabrication
KR100694666B1 (ko) * 2005-08-24 2007-03-13 삼성전자주식회사 원자층 증착 챔버의 에어 밸브 장치
US7460251B2 (en) * 2005-10-05 2008-12-02 Taiwan Semiconductor Manufacturing Co. Dimension monitoring method and system
KR100932574B1 (ko) * 2006-10-30 2009-12-17 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 에칭을 위한 엔드포인트 검출

Also Published As

Publication number Publication date
EP1918969A1 (en) 2008-05-07
JP5584388B2 (ja) 2014-09-03
CN101221356B (zh) 2012-09-05
US20080099450A1 (en) 2008-05-01
JP2008112139A (ja) 2008-05-15
CN101221356A (zh) 2008-07-16
TW200826185A (en) 2008-06-16

Similar Documents

Publication Publication Date Title
KR20080039197A (ko) 후방 광학 센서를 갖춘 마스크 에칭 플라즈마 반응기 및에칭 분포의 다중 주파수 제어
US10170280B2 (en) Plasma reactor having an array of plural individually controlled gas injectors arranged along a circular side wall
US7976671B2 (en) Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8002946B2 (en) Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7264688B1 (en) Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246163A1 (en) Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7967930B2 (en) Plasma reactor for processing a workpiece and having a tunable cathode
JP6488150B2 (ja) プラズマ処理装置およびプラズマ処理方法
US20120186747A1 (en) Plasma processing apparatus
WO2023080969A1 (en) Plasma chamber with multiphase rotating independent gas cross-flow with reduced volume and dual vhf
US8012366B2 (en) Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8017526B2 (en) Gate profile control through effective frequency of dual HF/VHF sources in a plasma etch process
JP3138693U (ja) ノズルを備えたプラズマリアクタ及び可変プロセスガス分配
KR200461689Y1 (ko) 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기
KR200461690Y1 (ko) 캐소드 리프트 핀 어셈블리를 구비한 마스크 식각 플라즈마반응기
US11328903B2 (en) Plasma processing system, method of controlling plasma in the plasma processing system, and method of manufacturing semiconductor device by using the method of controlling the plasma
JPH10335308A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application