KR20080038338A - 재료를 서로 접착하는 방법 및 컴포지션 - Google Patents

재료를 서로 접착하는 방법 및 컴포지션 Download PDF

Info

Publication number
KR20080038338A
KR20080038338A KR1020087003583A KR20087003583A KR20080038338A KR 20080038338 A KR20080038338 A KR 20080038338A KR 1020087003583 A KR1020087003583 A KR 1020087003583A KR 20087003583 A KR20087003583 A KR 20087003583A KR 20080038338 A KR20080038338 A KR 20080038338A
Authority
KR
South Korea
Prior art keywords
composition
layer
substrate
functional group
functional
Prior art date
Application number
KR1020087003583A
Other languages
English (en)
Other versions
KR101416112B1 (ko
Inventor
프랭크 와이. 슈
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/187,406 external-priority patent/US7759407B2/en
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20080038338A publication Critical patent/KR20080038338A/ko
Application granted granted Critical
Publication of KR101416112B1 publication Critical patent/KR101416112B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/06Embossing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29BPREPARATION OR PRETREATMENT OF THE MATERIAL TO BE SHAPED; MAKING GRANULES OR PREFORMS; RECOVERY OF PLASTICS OR OTHER CONSTITUENTS OF WASTE MATERIAL CONTAINING PLASTICS
    • B29B9/00Making granules
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L59/00Compositions of polyacetals; Compositions of derivatives of polyacetals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/015Imprinting
    • B81C2201/0153Imprinting techniques not provided for in B81C2201/0152
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2301/00Additional features of adhesives in the form of films or foils
    • C09J2301/40Additional features of adhesives in the form of films or foils characterized by the presence of essential components
    • C09J2301/416Additional features of adhesives in the form of films or foils characterized by the presence of essential components use of irradiation
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2451/00Presence of graft polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Medicinal Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Polymers & Plastics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Adhesives Or Adhesive Processes (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Laminated Bodies (AREA)
  • Macromonomer-Based Addition Polymer (AREA)

Abstract

본 발명은 층과 공유 결합을 형성하고, 공유 결합, 이온 결합, 및 반데르 발스 힘 중 하나 이상을 채용하여 기판과 접착하는, 층과 기판 사이에 존재하는 컴포지션을 가짐으로써, 제1 및 제2인터페이스를 형성하는 단계를 특징으로 하는 기판에 층을 접착시키는 방법을 개시한다. 이러한 방법으로, 층과 컴포지션의 접착력의 강도는 소정의 접착 메카니즘, 즉 공유 결합을 포함하지 않는 접착 메카니즘으로 형성된 층과 컴포지션의 접착력보다 강한 것이 보장된다. 따라서, 본 발명은 제1 및 제2재료를 서로 접착시키는 컴포지션으로 다이렉팅된다. 이 컴포지션은 백본 그룹 및 제1 및 제2작용기를 갖춘 멀티-작용기 반응 컴파운드; 가교제; 및 촉매를 특징으로 한다. 제1작용기는 가교된 분자를 형성하고, 이 가교된 분자의 서브셋을 제1재료에 접착시키기 위해 제1화학선 에너지와 반응한다. 제2작용기는 제2재료에 접착되기 위해 제1화학선 에너지와 상이한, 제2화학선 에너지에 반응한다.
기판, 층, 컴포지션, 인터페이스, 공유 결합, 이온 결합, 반데르 발스 힘, 백본 그룹, 제1 및 제2작용기, 멀티-작용기 반응 컴파운드, 촉매, 가교제.

Description

재료를 서로 접착하는 방법 및 컴포지션{METHOD AND COMPOSITION FOR ADHERING MATERIALS TOGETHER}
미국 정부는 본 발명에서 페이드-업 라이센스, 및 미국표준 기술연구소(NIST) ATP 어워드에 의해 수여된 70NANB4H3012의 조항에 의해 제공된 바와 같은 합리적인 조항에 따라 특허 소유자에게 다른 사람에게 라이센스를 부여할 것을 요청할 수 있는 제한된 환경에서의 권리를 가진다.
본 발명은 일반적으로, 구조의 나노-제조에 관한 것이다. 더욱 상세하게, 본 발명은 임프린트 리소그라피 프로세스에 사용하기 적합한, 상이한 재료를 서로 접착하는 방법으로 다이렉팅된다.
나노-단위의 제조는, 예컨대, 수 나노미터 단위의 피처를 포함하는 매우 작은 구조를 제조하는 것을 포함한다. 나노-단위의 제조에 사용하기 위한 유망한 프로세스는 임프린트 리소그라피로 공지되어 있다. 예시적인 임프린트 리소그라피 프로세스는 모두 본 발명의 양수인에게 양도된, "Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability"란 제목의, 미국특허 출원번호 10/264,960으로 출원된, 미국공개 특허출원 제2004-0065976호; "Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards"란 제목의, 미국특허 출원번호 10/264,926으로 출원된, 미국공개 특허출원 제2004-0065252호; 및 "Method and A Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensions Variability"란 제목의, 미국특허 출원번호 10/235,314로 출원된, 미국공개 특허출원 제2004-0046271호와 같은, 다수의 공개 팜플렛에 상세하게 서술되어 있다.
도 1을 참조하면, 임프린트 리소그라피의 기본 개념은 부조(relief) 패턴에 대응하는 기판에 패턴이 형성될 수 있도록, 특히, 에칭 마스크로써 기능할 수 있는 기판 상에 부조 패턴을 형성하는 것이다. 부조 패턴을 형성하기 위해 채용된 시스템(10)은 기판(12)을 지지하는 스테이지(11), 및 그 위에 패터닝 표면(18)과 함께 몰드(16)를 가진 템플릿(14)을 포함한다. 패터닝 표면(18)은 실질적으로 매끈하고 그리고/또는 평면일 수 있고, 또는 그 내에 하나 이상의 오목부가 형성되도록 패터닝될 수 있다. 템플릿(14)은 템플릿(14)의 이동을 용이하게 하기 위해 임프린트 헤드(20)에 연결된다. 유체 디스펜스 시스템(22)은 그 위에 중합가능한 재료(24)를 증착시키기 위해 기판(12)과 유체교류하여 선택적으로 배치되도록 연결된다. 에너지(28)의 소스(26)는 경로(30)를 따라 에너지(28)를 다이렉팅하기 위해 연결된다. 임프린트 헤드(20) 및 스테이지(11)는 몰드(16) 및 기판(12)을, 각각, 경로(30) 내에 배치되고, 슈퍼임포지션(superimposition)되도록 배열하도록 구성된다. 임프린트 헤드(20), 스테이지(11) 중 하나, 또는 모두는 중합가능한 재료(24)로 채워지는, 그 사이의 원하는 부피를 형성하기 위해, 몰드(16)와 기판(12) 사이 의 거리를 변화시킨다.
전형적으로, 중합가능한 재료(24)는 원하는 부피가 몰드(16)와 기판(12) 사이에 형성되기 전에 기판(12) 상에 배치된다. 그러나, 중합가능한 재료(24)는 원하는 부피가 획득된 후 그 부피를 채울 수도 있다. 원하는 부피가 중합가능한 재료(24)로 채워진 후, 소스(26)는 중합가능한 재료(24)를 고체화 및/또는 가교(cross-link)시키는 에너지(28)를 산출하고, 기판 표면(25)과 몰드 표면(18)의 형상과 일치하는 중합 재료를 형성한다. 이러한 프로세스의 컨트롤은 스테이지(11), 임프린트 헤드(20), 유체 디스펜스 시스템(22), 및 소스(26)와 데이터 통신하고, 메모리(34) 내에 저장된 컴퓨터-판독가능한 프로그램에서 동작하는 프로세서(32)에 의해 통제된다.
중합가능한 재료로 패턴을 정밀하게 형성하는 것과 함께 중요한 특성은 기판에 적합한 접착을 보장하면서, 중합 재료의 몰드에의 접착을, 방지하지 않는다면, 감소시키는 것이다. 이것을 바람직한 이형(release) 및 접착 특성이라 한다. 이러한 방법으로, 중합 재료에 기록된 패턴은 몰드의 분리 동안 왜곡되지 않는다. 이형 특성을 개선하고자 한 종래 기술은 몰드 표면에 이형층을 채용하는 것이다. 이형층은 전형적으로 소수성(hydrophobic)이고, 그리고/또는 낮은 표면 에너지를 가진다. 이 이형층은 몰드에 접착된다. 이형층을 제공하는 것은 이형 특성을 향상시킨다. 이것은 몰드 분리로 인한 중합 재료에 기록된 패턴의 왜곡을 최소화하는 것으로 나타난다. 이러한 타입의 이형층은 본 설명의 목적을 위해, 프리오리 이형층, 즉 몰드에 고체화된 이형층이라 한다.
이형 특성을 향상하고자 한 다른 종래 기술은 "Multiple Imprinting in UV-based Nanoimprint Lithography: Related Material Issues", Microeletronic Engineering 61-62 (2002), pp. 407-413에 'Bender' 등에 의해 서술되어 있다. 더욱 상세하게, 'Bender'는 불소-처리된 UV 경화 재료와 결합하는 프리오리 이형층을 가진 몰드를 채용한다. 이 때문에, UV 경화층은 UV 경화층을 형성하기 위한 200cPs UV 경화 유체를 스핀-코팅함으로써 기판상에 코팅된다. UV 경화층은 이형 특성을 향상시키기 위해 불소기를 풍부하게 함유한다.
그러므로, 임프린트 리소그라피 프로세스에서 채용되는 몰드의 바람직한 이형 및 접착 특성을 향상시킬 필요성이 존재한다.
본 발명은 층과 공유 결합을 형성하고, 공유 결합, 이온 결합, 및 반데르 발스 힘 중 하나 이상을 채용하여 기판과 접착하는, 층과 기판 사이에 존재하는 컴포지션을 가짐으로써 제1 및 제2인터페이스를 형성하는 단계를 특징으로 하는 기판에 층을 접착하는 방법을 제공한다. 이러한 방법으로, 컴포지션과 층의 접착력의 강도는 소정의 접착 메카니즘, 즉, 공유 결합을 포함하지 않는 접착 메카니즘을 가진 컴포지션과 층의 접착력보다 더 강함이 보장된다. 또한, 본 발명은, 제1 및 제2재료를 서로 접착하는 컴포지션으로 다이렉팅된다. 이 컴포지션은 백본 그룹 및 제1 및 제2작용기를 포함하는 멀티-작용기 반응 컴파운드; 가교제(cross-linker), 및 촉매를 특징으로 한다. 제1작용기는 가교된 분자를 형성하고, 제1재료에 가교된 분자의 서브셋을 접착하기 위해 제1화학선 에너지와 반응한다. 제2작용기는 제2재료에 접착하기 위해 제1화학선 에너지와 상이한 제2화학선 에너지와 반응한다. 이들 및 다른 실시예가 본 발명에 서술되어 있다.
도 1은 종래 기술에 따른 리소그라피 시스템의 개략적인 평면도이고,
도 2는 본 발명에 따른 기판에 배치된 템플릿 및 임프린팅 재료의 개략적인 입면도이고,
도 3은 층상에 패터닝되고 고체화되는 것으로 도시되어 있는 임프린팅 재료를 가진, 도 2에 도시된, 템플릿 및 기판의 개략적인 입면도이고,
도 4는 고체화된 임플린팅 재료와 템플릿 사이의 약한 경계 라멜라의 형성을 설명하는 임프린팅 재료와 접촉한 템플릿의 단면도이고,
도 5는 계면활성제-풍부 영역 및 계면활성제-고갈 영역으로의 방울의 분기를 도시하는, 도 2에 도시된, 임프린트 재료의 방울의 상세한 도면이고,
도 6은 계면활성제-풍부 영역 및 계면활성제-고갈 영역으로의 방울의 분기를 도시하는, 스핀-온 기술을 채용하여 증착된, 임프린팅 재료 층의 상세한 도면이고,
도 7은 프라이머 층을 포함하여 기판 상에 형성된, 도 5 또는 도 6에 도시된 바와 같이 증착된, 고체화된 임프린팅 재료와 접촉한 템플릿의 단면도이고,
도 8은 본 발명의 일 실시예에 따른, 도 2, 3, 및 7에 도시된 프라이머 층을 형성하기 위해 채용될 수 있는 컴포지션의 한 컴포넌트의 화학적 구조를 도시하는 평면도이고,
도 9는 본 발명의 제2실시예에 따른, 도 2, 3, 및 7에 도시된 프라이머 층을 형성하기 위해 채용될 수 있는 컴포지션의 한 컴포넌트의 화학적 구조를 도시하는 평면도이고,
도 10은 본 발명의 제3실시예에 따른, 도 2, 3, 및 7에 도시된 프라이머 층을 형성하기 위해 채용될 수 있는 컴포지션의 한 컴포넌트의 화학적 구조를 도시하는 평면도이고, 그리고
도 11은 본 발명의 제4실시예에 따른, 도 2, 3, 및 7에 도시된 프라이머 층을 형성하기 위해 채용될 수 있는 컴포지션의 한 컴포넌트의 화학적 구조를 도시하는 평면도이다.
도 1 및 2를 참조하면, 본 발명에 따른 몰드(36)가 시스템(10)에 채용될 수 있고, (도시되지 않은) 실질적으로 매끈하거나 평평한 프로파일을 가진 표면을 형성할 수 있다. 대안으로써, 몰드(36)는 복수의 공간적으로-떨어진 오목부(38) 및 볼록부(40)에 의해 형성된 피처를 포함할 수 있다. 복수의 피처는 기판(42)에 형성될 기본 패턴을 형성하는 오리지널 패턴을 형성한다. 기판(42)은 순수 웨이퍼, 또는 그 중 하나가 프라이머 층(45)으로 도시되어 있는, 그 위에 증착된 하나 이상의 층을 가진 웨이퍼를 포함할 수 있다. 이러한 방법으로, 몰드(36) 상의 피처는 기판(42)의 상응한 영역으로 임프린팅될 수 있고, 임프린팅 재료는 실질적으로 평면 프로파일을 가진 표면(44)의 일부분 상에 배열된다. 임프린팅 재료는 임의의 주지된 기술, 예컨대, 스핀-코팅, 딥 코팅 등을 사용하여 배치될 수 있음을 이해해야 한다. 그러나, 본 예에서, 임프린팅 재료는 기판(42) 상에 공간적으로-떨어진 복수의 불연속 방울(46)로서 배치된다. 임프린팅 재료는 그 내에 오리지널 패턴을 기록하기 위해, 선택적으로 중합되고 가교될 수 있는 컴포지션으로부터 형성되고, 기록된 패턴을 형성한다.
더욱 상세하게, 임프린팅 재료 내에 기록된 패턴은 몰드(36)와 상호작용, 예컨대, 전기적 상호작용, 자기적 상호작용, 열적 상호작용, 기계적 상호작용 등에 의해, 부분적으로, 생성된다. 본 예에서, 몰드(36)는 표면(44)에 임프린팅 재료의 접촉 포메이션(50)을 생성하기 위해, 임프린팅 재료와 기계적으로 접촉하고, 방울(46)을 퍼트린다. 한 실시예에서, 거리 "d"는 임프린팅 재료의 서브-부(52)가 오목부(38)로 들어가 채우도록 하기 위해 감소된다. 오목부(38)를 채우는 것이 용이하도록, 몰드(36)와 방울(46) 사이의 접촉 전에, 몰드(36)와 방울(46) 사이의 대기는 헬륨으로 채워지거나, 완전한 진공이거나, 또는 부분적으로 배기된 헬륨 대기이다.
임프린팅 재료는 임프린팅 재료의 접촉 포메이션으로 표면(44)을 커버하면서 오목부(38)를 완전히 채우기 위한 필수 특성과 함께 제공된다. 본 실시예에서, 볼록부(40)와 슈퍼임포지션된 임프린징 재료의 서브-부(54)는 원하는, 일반적으로 최소의, 거리 'd'에 도달된 후 유지된다. 이러한 동작은 서브-부(52)가 두께 't1'을 가지고, 서브-부(54)가 두께 't2'를 가진 포메이션(50)을 제공한다. 두께 "t1", 및 "t2"는 어플리케이션에 따라, 원하는 임의의 두께일 수 있다. 그 다음, 포메이션(50)은 임프린팅 재료에 따라, 광대역 자외선 에너지, 열 에너지 등과 같은, 예 컨대, 화학선 에너지를 적합한 경화제에 동시에 노출함으로써, 고체화된다. 이것은 임프린팅 재료가 중합 및 가교되게 한다. 전체 프로세스는 상온 및 압력에서, 또는, 원하는 온도 및 압력으로 환경적으로-컨트롤된 챔버 내에서 발생할 수 있다. 이러한 방법으로, 포메이션(50)은 몰드(36)의 표면(58)이 형상과 일치하는 형상을 가진 그것의 사이드(56)를 제공하기 위해 고체화된다.
도 1, 2, 및 3을 참조하여, 임프린팅 재료의 특징은 채용된 고유한 패터닝 프로세스를 고려하여 기판(42)을 효율적으로 패터닝하기 위해 중요하다. 예를 들어, 임프린팅 재료는 모든 두께 t1이 실질적으로 일정하고, 모든 두께 t2가 실질적으로 일정하도록, 몰드(36)의 피처의 신속하고 균일한 채움을 용이하게 하기 위해, 임프린팅 재료가 특정 특성을 가지는 것이 바람직하다. 이 때문에, 임프린팅 재료의 점성(viscosity)이, 상술된 특성을 달성하기 위해, 채용된 증착 프로세스를 기초로, 정해지는 것이 바람직하다. 상술된 바와 같이, 임프린팅 재료는 다양한 기술을 채용하여 기판(42)에 배치될 수 있다. 임프린팅 재료가 복수의, 불연속적이고 공간적으로-떨어진 방울(46)로 배치되었다면, 임프린팅 재료를 형성하는 컴포지션은 비교적 낮은, 예컨대, 0.5 내지 20 센티푸아즈(cPs) 범위의 점성을 가지는 것이 바람직할 것이다. 임프린팅 재료가 퍼짐과 동시에 패터닝되고, 후속하여 이 패턴이 방사선에 노출됨으로써 포메이션(50)으로 고체화되는 것을 고려하면, 기판(42)의 표면, 및/또는 몰드(36)의 컴포지션 젖은 표면을 가지고, 중합 후 후속 핏(pit) 또는 홀 형성을 피하는 것이 바람직할 것이다. 임프린팅 재료가 스핀-코 팅을 채용하여 배치되었다면, 예컨대, 10cPs 이상, 전형적으로 수백 내지 수천cPs의 점성을 가진, 더 높은 점성의 재료를 가지는 것이 바람직하고, 점성 측정은 용매없이 결정된다.
액상 특성이라 불리는, 상술된 특성과 함께, 이 컴포지션은 특정한 고체상 특성을 가진 임프린팅 재료를 제공하는 것이 바람직하다. 예를 들어, 포메이션(50)의 고체화 후, 바람직한 접착 및 이형 특성이 임프린팅 재료에 의해 나타나는 것이 바람직하다. 더욱 상세하게, 임프린팅 재료가 포메이션(50)에 기판(42)과의 바람직한 접착, 및 몰드(36)와의 바람직한 이형을 제공하도록 제조된 컴포지션이 유리하다. 이러한 방식으로, 특히, 찢어짐, 스트레칭, 또는 포메이션(50)의 다른 구조적 열화로 인한, 그로부터 몰드(36)를 분리함으로써 기록된 패턴의 왜곡 가능성이 감소된다.
임프린팅 재료를 상술한 특성을 가지도록 형성하는 컴포지션의 구성 컴포넌트는 상이할 수 있다. 이것은 기판(42)이 다수의 상이한 재료로 형성되기 때문이다. 결국, 표면(44)의 화학적 조성은 기판(42)을 형성하는 재료에 따라 변한다. 예를 들어, 기판(42)은 실리콘, 플라스틱, 비소화 갈륨, 수은 텔루이드, 및 이들의 조합으로 형성될 수 있다. 상술한 바와 같이, 기판(42)은 그 위에 포메이션(50)이 생성되는 프라이머 층(45)으로 도시된 하나 이상의 층, 예컨대, 유전체 층, 금속 층, 반도체 층, 평탄화 층 등을 포함할 수 있다. 이 때문에, 프라이머 층(45)은 화학적 증기 증착, 스핀-코팅 등과 같은 임의의 적합한 기술을 채용하여 웨이퍼(47)에 증착될 수 있다. 부가적으로, 프라이머 층(45)은 실리콘, 게르마늄 등과 같은 임의의 적합한 재료로 형성될 수 있다. 부가적으로, 몰드(36)는 몇 가지 재료, 예컨대, 용융실리카(fused Silica), 석영(quartz), ITO(indium tin oxide) 다이아몬드상 카본, MoSi, 및 졸-겔 등으로 형성될 수 있다.
포메이션(50)을 생성하는 컴포지션은 몇 가지 상이한 벌크 재료의 집합으로 제조될 수 있음을 이해해야 하다. 예를 들어, 컴포지션은, 몇 가지 나열하자면, 비닐 에테르(vinyl ether), 메타크릴레이트(methacrylate), 에폭시(epoxiy), 티오렌(thiolene), 및 아크릴레이트(arcrilate)로부터 제조될 수 있다.
포메이션(50)을 형성하기 위한 예시적인 벌크 재료는 다음과 같다:
벌크 임프린팅 재료
이소보르닐 아크릴레이트
n-헥실 아크릴레이트
에틸렌 그리콜 디아크릴레이트
2-히드록실-2-메틸-1-페닐-프로판-1-온
아크릴레이트 컴포넌트, 이소보르닐 아크릴레이트(IBOA)는 다음의 구조를 가지고:
Figure 112008011092599-PCT00001
중량 대비 벌크 재료의 대략 47%를 포함하지만, 포괄적으로 20% 내지 80% 범 위로 존재할 수 있다. 결국, 포메이션(50)의 기계적 특성은 주로 IBOA로 인한 것이다. IBOA의 예시적인 공급자는 품명 'SR 506'으로 사용가능한, 펜실베니아주 엑스톤 소재의 'Sartomer Company'이다.
컴포넌트 n-헥실 아크릴레이트(n-HA)는 다음의 구조를 가지고:
Figure 112008011092599-PCT00002
중량 대비 벌크 재료의 대략 25%를 포함하지만, 포괄적으로, 0% 내지 50% 범위로 존재할 수 있다. 또한, 포메이션(50)에 유연성(flexibility)을 제공하기 위해, 액상의, 벌크 재료가 포괄적으로 2-9센티푸오즈 범위의 점도를 가지도록 종래의 벌크 재료의 점도를 감소시키기 위해 n-HA가 채용된다. 한 예시적인 n-HA 컴포넌트의 예시적인 공급자는 위스콘신주 밀워키 소재의 'Aldrich Chemical Company'이다.
가교 컴포넌트, 에틸렌 글리콜 디아크릴레이트는 다음의 구조를 가지고:
Figure 112008011092599-PCT00003
중량 대비 벌크 재료의 대략 25%를 포함하고, 포괄적으로 10% 내지 50%의 범위로 존재할 수 있다. 또한, EGDA는 모듈러스 및 단단함 증가에 기여함은 물론, 벌크 재료의 중합 동안 n-HA 및 IBOA의 가교를 용이하게 한다.
기폭제(initiator) 컴포넌트, 2-히드록시-2-메틸-1-페닐-프로판-1-온은 품명 'DAROCUR 1173'으로 뉴욕 테리타운 소재의 'Ciba Specialty Chemicals'로부터 사용가능하며, 다음의 구조를 가지고:
Figure 112008011092599-PCT00004
중량 대비 벌크 재료의 대략 3%를 포함하고, 포괄적으로, 1% 내지 5% 범위로 존재할 수 있다. 기폭제가 반응하는 화학선 에너지는 중간-압력 수은 램프에 의해 생성된 광대역 자외선 에너지이다. 이러한 방법으로, 기폭제는 벌크 재료의 컴포넌트의 가교 및 중합을 용이하게 한다.
그러나, 상술된 바와 같은, 원하는 바람직한 접착 및 이형 특성이 도 3 및 4에 도시된, 몰드(36), 표면(58), 및 포메이션(50) 사이에, 약한 경계층, 라멜라(60, lamella)를 생성함으로써 이루어질 수 있음이, 발명자 'Frank Xu' 및 'Michael N. Miller'의, "Composition to Reduce Adhesion Between a Conformable Region and a Mold"란 제목의, 2005년 2월 28일 출원된 동시계류중인 미국특허 출원번호 11/068,171에 개시되어 있다. 라멜라(60)는 임프린팅 재료의 고체화 후 유지된다. 결국, 몰드(36)와 포메이션(50) 사이의 접착력은 최소화된다. 이 때문에, 계면활성제 컴포넌트라 불리는, 낮은 표면 에너지 그룹을 포함하는 컴포넌트와 함께, 상술된 '벌크 임프린팅 재료"와 같은, 몇 가지 컴포지션 중 하나를 포함하는 임프린팅 재료를 위한 컴포지션을 채용하는 것이 유리함을 알 수 있고, 본 명세서에 참조로써 합치된, 발명자 'Frank Xu' 및 'Michael N. Miller'의, "Composition to Reduce Adhesion Between a Conformable Region and a Mold"란 제목의, 2005년 2월 28일 출원된, 동시계류중인 미국특허 출원번호 11/068,171에 완전하게 서술되어 있다.
도 5를 참조하면, 임프린팅 재료의 증착 후, 계면 활성제 컴포넌트는, 소정 시간 후, 두 갈래의 밀도의 재료로 임프린팅 재료의 `방울(146)을 두 갈래의 밀도로 제공하는, 기체 액체 인터페이스로 상승한다. 계면활성제-컴포넌트-풍부한(SCR) 서브-부(136)라 불리는 제1부분에서, 방울(146)은 계면활성제-컴포넌트-고갈된(SCD) 서브-부(137)라 불리는 제2부분보다 더 높은 밀도의 계면활성제 컴포넌트를 포함한다. SCD 서브-부(137)는 표면(44)과 SCR 서브-부(136) 사이에 위치한다. SCR 서브-부(136)는 임프린팅 재료가 고체화된 후, 몰드(36)와 임프린팅 재료 사이의 접착력을 감쇄시킨다. 더욱 상세하게는 계면활성제 컴포넌트가 마주한 끝을 가진다. 임프린팅 재료가 액상일 때, 즉, 중합가능일 때, 마주한 끝 중 하나는 임프린팅 재료에 포함된 벌크 재료에 대한 친화력(affinity)을 가진다. 나머지 끝부는 불소 컴포넌트를 가진다.
도 4 및 5를 참조하면, 벌크 재료에 대한 친화력으로 인해, 계면활성제 컴포넌트는 불소 컴포넌트가 임프린팅 재료 및 주변 환경(ambient)에 의해 형성된 기체-액체 인터페이스로부터 뻗도록 하는 방향이다.
임프린팅 재료의 고체화 시, 임프린팅 재료의 제1부분은 라멜라(60)를 생성하고, 임프린팅 재료의 제2부분은 고체화된다, 즉 포메이션(50)으로 도시된 중합 재료이다. 라멜라(60)는 포메이션(50)과 몰드(36) 사이에 위치된다. 라멜라(60) 는 SCR 서브-부(136) 내의 불소 컴포넌트의 존재 및 위치로 인한 것이다. 라멜라(60)는 몰드(36)와 포메이션(50) 사이에 강한 접착력이 생성되는 것을 방지한다. 더욱 상세하게, 포메이션(50)은 마주한 제1 및 제2사이드(62 및 64)를 가진다. 사이드(62)는 몰드(36)와 제1접착력으로 접착된다. 사이드(64)는 기판(42)과 제2접착력으로 접착된다. 라멜라(60)는 제2접착력보다 작은 제1접착력을 야기한다. 결국, 몰드(36)는 그로부터 몰드(36)를 분리하는데 필요한 힘 및/또는 왜곡을 최소화하면서, 포메이션(50)으로부터 쉽게 제거될 수 있다. 포메이션(50)이 패터닝된 사이드(62)를 가진 것으로 도시되었으나, 사이드(62)는, 평평하지 않다면, 매끈할 수도 있음을 이해해야 한다.
또한, 원한다면, 포메이션(50)과 기판(42) 사이에 배치되도록 라멜라(60)를 생성하는 것이 가능하다. 이것은, 예컨대, 몰드(36)에 임프린팅 재료를 적용하고, 후속하여 몰드(36) 상의 임프린팅 재료와 기판(42)을 접촉시킴으로써 달성될 수 있다. 이러한 방법으로, 포메이션(50)은 라멜라(60)와 중합가능한 재료가 배치되어 있는 보디, 예컨대, 몰드(36) 또는 기판(42) 사이에 배치될 것이라 할 수 있다.
SCR 서브-부(236) 및 SCD 서브-부(237)에 관하여 도 6에 도시된 바와 같이, 재료의 분기된 농도가 발생하는 것과 유사하게, 스핀-코팅을 채용하여 임프린팅 재료가 증착됨을 이해해야 한다. 분기(bifurcation)를 위해 요구되는 시간은, 컴포지션 내의 분자 크기, 및 컴포지션의 점성을 포함하는, 몇 가지 요소에 따른다. 20cPs 이하의 점성을 가진 컴포지션의 상술한 분기를 달성하기 위해서는 단지 수 초만 필요하다. 그러나, 수 백 cPs의 점성을 가진 재료는 수 초 내지 수 분의 시 간을 요구할 것이다.
그러나, 라멜라(60)는 일정하지 않을 수도 있음을 발견하였다. 라멜라(60)의 몇몇 영역은 다른 부분보다 더 얇을 수도 있고, 몇몇 심한 경우에, 라멜라(60)는 템플릿(36)이 포메이션(50)과 접촉할 만큼 템플릿 표면의 매우 작은 퍼센트에서 존재하지 않을 수도 있다. 라멜라(60)의 더 얇은 부분 및 라멜라(60)의 부재의 결과로, 기판(42)으로부터 포메이션(50)의 왜곡 및/또는 탈층(delamination)이 발생할 수 있다. 더욱 상세하게, 몰드(36)의 분리시, 포메이션(50)은 분리력 FS를 받는다. 분리력 FS는 몰드(36)상의 당김력 FP, 및 라멜라(60)에 의해 감소된, 포메이션(50)과 몰드(36) 사이의 접착력, 예컨대, 반데르 발스 힘에 의한 것이다. 라멜라(60)가 존재함으로써, 분리력 FS은 전형적으로 포메이션(50)과 기판(42) 사이의 접착력 FA의 크기보다 작은 크기를 가진다. 그러나, 감소된 라멜라(60)를 가지거나, 또는 라멜라(60) 없이 로컬 분리력 FS는 로컬 접착력 FA의 크기에 근접할 수 있다. 로컬 힘은 라멜라 층(60)의 주어진 영역에 존재하는 힘을 의미하고, 본 예에서는, 로컬 힘은 라멜라 층(60)의 얇은 영역 또는 라멜라 층(60)이 실질적으로 존재하지 않는 영역에 근접한다. 이것은 기판(42)으로부터 포메이션(50)의 왜곡 및/또는 탈층을 일으킨다.
도 7을 참조하면, 프라이머 층(45)이 존재하는, 두 인터페이스(66 및 68)의 존재로 인한 더욱 복잡한 상황이 존재한다. 제1인터페이스(66)에서, 제1접착력 F1 은 프라이머 층(45)와 포메이션(50) 사이에 존재한다. 제2인터페이스(68)에서, 제2접착력 F2는 프라이머 층(45)과 웨이퍼(47) 사이에 존재한다. 분리력 FS는 두 접착력 F1 및 F2보다 더 작은 것이 바람직하다. 그러나, 상술한 바와 같은, 라멜라(60)의 부재, 또는 그 두께의 변화로 인해, 분리력 FS는 접착력 F1 및 F2 중 하나 또는 모두의 크기와 유사하거나 근접할 수 있다. 이것은 프라이머 층(45)으로부터 포메이션(50)의 탈층, 또는 웨이퍼(47)로부터 프라이머 층(45)의 탈층, 또는 이 둘 모두를 일으킬 수 있다.
본 발명은 제1 및 제2인터페이스의 제1 및 제2접착력 F1 및 F2이 라멜라 층 변동(fluctuation)을 고려한 분리력 FS 보다 클 가능성을 증가시키는 재료로 프라이머 층(45)을 형성함으로써, 상술된 탈층 문제를, 피할 수 없다면, 줄일 수 있다. 이 때문에, 프라이머 층(45)은 인터페이스(66)에서, 즉, 프라이머 층(45)과 포메이션(50) 사이는 물론, 인터페이스(66), 프라이머 층(45)와 웨이퍼(47) 사이에 강한 결합을 형성하는 컴포지션으로 형성된다. 본 예에서, 프라이머 층(45)와 포메이션(50) 사이 제1인터페이스(66)에서의 접착은 공유 결합의 결과이다, 즉, 프라이머 층(45)을 형성하는 컴포지션과 포메이션(50)을 형성하는 컴포지션 사이에 공유 결합이 존재한다. 프라이머 층(45)과 웨이퍼(47) 사이의 접착은 다양한 메카니즘 중 임의의 하나를 통해 달성된다. 이러한 메카니즘은 프라이머 층(45)을 형성하는 컴포지션과 웨이퍼(47)를 형성하는 재료 사이에 형성된 공유 결합을 포함한다. 대안 으로써 또는 부가적으로, 공유 결합, 이온 결합이 프라이머 층(45)을 형성하는 컴포지션과 웨이퍼(47)를 형성하는 재료 사이에 형성될 수 있다. 대안으로써 또는 부가적으로, 프라이머 층(45)을 형성하는 컴포지션과 웨이퍼(47)를 형성하는 재료 사이의 공유 결합 접착, 및/또는 이온 결합 접착, 또는 이 둘 모두는, 마주 향하는(vis-a-vis) 반데르 발스 힘으로 달성될 수 있다.
이것은 멀티-작용기 반응 컴파운드, 즉, 일반적으로 다음과 같이 표현되는 둘 이상의 작용기가 포함된 컴파운드를 포함하는 컴포지션으로 프라이머 층(45)을 형성함으로써 달성된다:
Figure 112008011092599-PCT00005
여기서, R, R', R'', 및 R'''는 연결기이고, x, y, z는 그것과 연관된 그룹의 평균 반복 수이다. 이들 반복 유닛은 랜덤하게 분포될 수 있다. 그룹 X 및 X'는 작용기를 나타내고, 전형적으로, 작용기 X는 작용기 X'과 상이한 것으로 인식된다. 작용기 X 및 X' 중 하나, 예컨대, X'는 기판(42)이 그것과 공유결합, 이온 결합, 및/또는 반데르 발스 힘을 형성함으로써, 그것에 접착되기 위해 형성된 재료와 가교-반응(cross-reaction)을 달성하도록 선택된다.
나머지 작용기 X 및 X' 중 하나, 예컨대, X는 포메이션(50)이 그것 사이에 공유 결합을 형성하기 위해 형성된 재료와 가교-반응을 달성하도록 선택된다. X 작용기는 교차-반응이 포메이션(50)의 중합 시 발생하도록 구성된다. 결국, 작용 기 X의 선택은 포메이션(50)을 형성하는 재료의 특성에 따르고, 작용기 X는 포메이션(50)을 형성하는 컴포지션의 작용기와 반응하는 것이 바람직하다. 예를 들어, 포메이션(50)이 아크릴레이트 단량체로 형성되었다면, X는 아크릴, 비닐 에테르, 및/또는 알콕실 작용기, 및.또는 포메이션(50) 내의 아크릴기와 공중합(copolymerize)할 수 있는 작용기로 이루어질 수 있다. 결국, X 작용기는 자외선 화학선 에너지에 반응하여 가교-반응한다.
또한, 작용기 X'는 가교-연결, 및 프라이머 층(45)의 중합 반응에 참여할 수 있다. 전형적으로, X' 작용기는 X 작용기가 가교-반응에 응답한 화학선 에너지와 상이한 화학선 에너지에 반응하여 중합 및 가교 연결을 용이하게 한다. 본 예의 X' 작용기는 열 에너지 노출에 반응하여 프라이머 층(45) 내의 분자의 가교-연결을 용이하게 한다. 전형적으로, 작용기 X'는 다음 세 메카니즘을 통해 기판(42)과 가교-반응을 용이하게 하도록 선택된다: 1) 기판(42)을 형성하는 재료와 직접 반응; 2) 기판(42)과 반응하는 가교제의 연결기과 가교제 분자와 반응; 3) 충분한 길이의 분자 체인이 포메이션(50)과 기판(42) 사이에 연결되도록 성장되도록 프라이머 층(45)의 중합 및 가교-연결.
도 7 및 8을 참조하면, 벌크 재료로 형성된 포메이션(50)의 존재 내의 프라이머 층(45)을 형성하기 위해 채용될 수 있는, 한 예시적인 멀티-작용기 반응 컴파운드는 품명 'β-CEA'으로 조지아주 스미르나 소재의 'UCB Chemicals'로부터 사용가능한, β-카르복시에틸 아크릴레이트를 포함한다. β-CEA는 다음 구조를 가진 지방족 화합물(aliphatic compound)이다:
Figure 112008011092599-PCT00006
X' 작용기는 카르복시 작용기를 제공한다. 작용기 X은 아크릴레이트 작용기를 제공한다. 작용기(70 및 72)는 백본 컴포넌트(74)의 마주한 끝에 연결된다.
도 7 및 9를 참조하면, 벌크 재료로 형성된 포메이션(50)의 존재 내의 프라이머 층(45)을 형성하기 위해 채용될 수 있는, 다른 멀티-작용기 반응 컴파운드는 다음 구조를 가진 품명 'Ebecryl 3605'로 조지아주 스미르나 소재의 'UCB Chemicals'로부터 사용가능한, 지방족 비스-페닐 화합물(aliphatic bis-phenyl compound)이다:
Figure 112008011092599-PCT00007
X' 작용기(76)는 에폭시 작용기를 제공한다. X 작용기(78)는 아크릴레이트 작용기를 제공한다. 작용기(76 및 78)는 백본 컴포넌트(80)의 마주한 끝에 연결된다.
도 7 및 10을 참조하면, 벌크 재료로 형성된 포메이션(50)의 존재 내의 프라이머 층(45)을 형성하기 위해 채용될 수 있는, 또 다른 멀티-작용기 반응 컴파운드는 다음 구조를 가진 품명 'Isobrad 502'로 뉴욕 스키넥터디 소재의 'Schenectady International'로부터 사용가능한, 지방족 화합물이다:
Figure 112008011092599-PCT00008
여기서, x 및 y는 랜덤하게 분포되는 반복 유닛을 나타내는 정수이다. X' 작용기(82)는 카르복시 작용기를 제공한다. X 작용기(84)는 아크릴레이트 작용기를 제공한다. 작용기(82 및 84)는 백본 컴포넌트(86)의 마주한 끝에 연결된다.
도 7 및 11을 참조하면, 포메이션(50)과의 가교-반응과 함께, 작용기 X는 포메이션(50)은 동일의 고체화 동안 형성된 컴포지션의 중합을 용이하게 하기 위한 기능을 하는 라디칼을 생성할 수 있다. 결국, 작용기 X는 화학선 에너지, 예컨대, 광대역 자외선 에너지에 노출 시에, 포메이션(50)의 중합을 용이하게 할 것이다. 이러한 특성을 포함하는 한 예시적인 멀티-작용기 반응 컴파운드는 뉴욕 테리타운 소재의 'Ciba Specialty Chemicals'로부터 사용가능한 품명 'Irgacure 2959'인 광-기폭제이고, 이는 다음의 구조를 가진다:
Figure 112008011092599-PCT00009
X' 작용기(90)는 히드록실 작용기를 제공한다. X 작용기(92)는 기폭제-타입 작용기를 제공한다. 더욱 상세하게, 광대역 자외선 에너지에 노출에 반응하여, 작용기 X는 벤조일 타입의 라디칼을 생성하기 위해 알파-분할(alpha-cleavage)을 겪는다. 이 라디칼은 포메이션(50)을 형성하는 컴포지션의 라디칼 중합을 용이하게 한다. 작용기(90 및 92)는 백본 컴포넌트(94)의 마주한 끝에 연결된다.
몇 가지 컴포지션은 인터페이스(66 및 68)의 접착 강도를 결정하기 위해 상술된 멀티-작용기 반응 컴파운드의 몇몇을 포함하여 형성된다. 멀티-작용기 반응 컴파운드를 포함하는 예시적인 컴포지션은 다음과 같다:
컴포지션 1
β-CEA
DUV30J-16
여기서, DUV30J-16은 대략 100그램의 컴포지션을 포함하고, 베타-CEA는 대략 0.219그램을 포함한다. DUV30J-16는 93% 용매, 및 7%의 비-용매 반응 컴포넌트를 포함하는, 미주리주 롤라 소재의 'Brewer Science'로부터 사용가능한 바닥 반사방지 코팅(bpttom anti-reflecttive coating, BARC)이다. DUV30J-16은 페놀 수지를 포함하고, 그것의 가교제는 카르복실 작용기와 반응할 수 있다. DUV30J-16는 포메이션(50)과 공유 결합을 형성할 수 없을 것이다. 다른 컴포지션에서, β-CEA는 가교제, 촉매, 및 IsoRad 501로 대체된다. 가교제, 및 촉매는 뉴저지 웨스트 패터슨 소재의 'Cytec Industries, Inc.'에 의해 판매된다. 가교제는 품명 'Cymel 303ULF'로 판매된다. 'Cymel 303ULF'의 메인 컴포넌트 중 하나는 헥사메톡시메틸-멜라틴(HMMM)이다. HMMM의 메톡실 작용기는 많은 응축 반응에 참여할 수 있다. 촉매는 품명 'Cycat 4040'으로 판매되고, 다음의 컴포지션을 제공한다.
컴포지션 2
DUV30J-16
IsoRad 501
Cymel 303ULF
Cycat 4040
대략 100그램의 컴포지션 2는 DUV30J-16을 포함하고, 0.611 그램의 컴포지션 2는 IsoRad 501을 포함하고, 0.175의 컴포지션 2는 Cymel 303ULF을 포함하고, 0.008 그램의 컴포지션 2는 Cycat 4040을 포함한다.
멀티-기능 반응 컴포넌트로서 채용될 수 있는 다른 컴포지션은 DUV30J-16을 생략한다. 이 컴포지션은 다음과 같다:
컴포지션 3
IsoRad 501
Cymel 303ULF
Cycat
PM Acetate
컴포지션 3은 대략 77그램의 IsoRad 501, 22그램의 Cymel 303ULF, 및 1그램의 Cycat 4040을 포함한다. Cymel 303ULF 및 Cycat은 결합된다. 그 다음, IsoRad 501, Cymel 303ULF, 및 Cycat의 결합은 대략 1900그램의 PM Acetate로 도입된다. PM Acetate는 테네시주 킹스포츠 소재의 'Eastman Chemical Company'에 의해 판매 되는 2-(1-메톡시)프로필 아세테이트의 품명이다.
컴포지션 4는 포함된 구성 컴포넌트의 양을 제외하고, 컴포지션 3과 동일하다. 예를 들어, 컴포지션 4는 대략 85.2그램의 IsoRad 501, 13.8그램의 Cymel 303ULF, 및 1그램의 Cycat 4040을 포함한다. IsoRad 501, Cymel 303ULF는 결합된다. 그 다음, IsoRad 501, Cymel 303ULF, 및 Cycat의 결합은 대략 1900그램의 PM Acetate으로 도입된다.
컴포지션 5는 포함된 구성 컴포넌트의 양을 제외하고, 컴포지션 3과 동일하다. 예를 들어, 컴포지션 5는 대략 81그램의 IsoRad 501, 18그램의 Cymel 303ULF, 및 1그램의 Cycat 4040을 포함한다. IsoRad 501, Cymel 303ULF는 결합된다. 그 다음, IsoRad 501, Cymel 303ULF, 및 Cycat의 결합은 대략 1900그램의 PM Acetate으로 도입된다.
프라이머 층(45)에 관하여 상술된 각각의 다섯 컴포지션, 컴포지션 1-5는 기판이 평면이 아니라면, 일정한 두께를 가진 실질적으로 매끈한 층을 제공하기 위해 분당 500 내지 4,000회전 사이의 속도로 회전되는 스핀-코팅을 채용하여 기판(42) 상에 증착된다. 이에 이어 대략 2분 동안 180℃의 열 화학선 에너지에 컴포지션을 노출시킨다.
상술된 다섯 컴포지션, 컴포지션 1-5는 임프린팅 재료로 형성된 포메이션(50)과 공유 결합을 형성하기 위해 공지되지 않은, DUV30J-16으로 완전히 형성된, 프라이머 층(45)의 기준 측정과 비교되는, 인터페이스(66 및 68)의 접착력의 강도의 비교 데이터를 생성하기 위해, 임프린팅 재료와 함께, 채용된다. 이 때문 에, 벌크 임프린팅 재료로 형성된, 포메이션(50), 및 컴포지션 1-5 및 기준 컴포지션으로 형성된 프라이머 층(45)은 (도시되지 않은) 두 유리 슬라이드 사이에 증착되고 그 다음 고체화된다. (도시되지 않은) 각각의 유리 슬라이드는 대략 1mm 두께이고, 75×25mm 측면 치수이다.
프라이머 층(45) 및 포메이션(50)의 증착 전에 (도시되지 않은) 유리 슬라이드는 세척된다. 더욱 상세하게, (도시되지 않은) 각각의 유리 슬라이드는 피라냐 용액(부피비 H2SO4:H2O2 = 2.5:1)에 노출된다. (도시되지 않은) 유리 슬라이드는 순차적으로 초순수(deionized water)에 헹궈지고, 이소프로필 알콜로 스프레이되고, 건조를 위한 유체 스트림, 예컨대, 질소 가스 스트림에 노출된다. 그 후, (도시되지 않은) 유리 슬라이드는 120℃에서 2시간 동안 가열된다.
프라이머 층(45)은 3000rpm 까지의 스핀 속도를 가진 스핀-온 기술을 채용하여, (도시되지 않은) 두 유리 슬라이드 각각에 증착된다. 프라이머 층(45)은 180℃에서 2분 동안 핫 플레이트 상에 (도시되지 않은) 유리 슬라이드 상에 놓여진다. 즉, 각각의 컴포지션 1-5는 물론 베이스라인 컴포지션은 고체화된다, 즉, 열 에너지에 노출됨으로써, 중합되고 가교-연결된다. 포메이션은 상술된 방울 디스펜스 기술을 채용하여 형성된다. 더욱 상세하게, 벌크 임프린팅 재료가 두 유리 슬라이드 중 하나 상에 프라이머 층(45)에 복수의 방울로 배치된다. 그 다음, 벌크 임프린팅 재료는 서로 마주하고, 벌크 임프린팅 재료와 접촉하는 (도시되지 않은) 두 유리 슬라이드 상에 플라이머 층을 가짐으로써, 두 프라이머 층(45) 사이에 샌드위 치된다. 전형적으로, (도시되지 않은) 두 유리 슬라이드 중 하나의 세로축은 (도시되지 않은) 나머지 유리 슬라이드의 세로축과 수직으로 뻗어있다. 벌크 임프린팅 재료는 고체화된다, 즉 20mW/㎠ 강도에서 40초 동안 중 기압 수은 UV 램프를 사용하여 광대역 자외선 파장과 같은 화학선 에너지에 (도시되지 않은) 두 유리 슬라이드를 노출함으로써 중합되고 가교-연결된다.
접착 강도를 측정하기 위해, (도시되지 않은) 4-포인트 벤딩 픽스처가 "Measurement of Adhesive Force Between Mold and Photocurable Resin in Imprint Technology" Japanese Journal of Applied Physics, Vol.41 (2002) pp. 4194-4197에 서술된 것과 유사한, 접착 테스트 및 기술을 위해 채택된다. 최대 힘/하중은 접착 값으로서 획득된다. 위 아래 두 포인트의 빔 거리는 60mm이다. 하중은 분 당 0.5mm의 속도로 적용된다. 이 테스트를 채용하여, 프라이머 층(45)이 베이스라인 컴포지션으로 형성된 때 탈층이 6.1파운드의 힘에서 발생되는 것으로 판정된다. 대략 6.5 파운드의 분리력이 컴포지션 1로 형성된 프라이머 층(45)에 탈층이 발생되기 전에 도달된다. 대략 9.1 파운드의 분리력이 컴포지션 2로 형성된 프라이머 층(45)에 탈층이 발생되기 전에 도달된다. 프라이머 층(45)이 각각 컴포지션 3, 4, 또는 5로 형성되었을 때, (도시되지 않은) 두 유리 슬라이드 중 하나 또는 모두는 탈층이 발생하기 전에 실패된다(깨진다). 결국, 11파운드까지의 힘이 탈층 관측없이 측정되었다. 결국, 컴포지션 3, 4, 및 5는 프라이머 층(45)에 라멜라 층(60)이 바람직하지 않은 얇은 영역 또는 없는 영역에 탈층을 효과적으로 방지할 수 있는 우수한 동작 특성을 제공한다.
상술된 본 발명의 실시예는 예시이다. 다양한 수정 및 변형이 본 발명의 범위를 벗어나지 않고, 상술된 개시물에 이루어질 수 있다. 예를 들어, 용매 PM 아세테이트는 컴포지션 3, 4, 및 5의 다른 구성 컴포넌트를 용해시키기 위해 주로 사용된다. 결국, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 메틸 아밀 케톤 등과 같은 많은 일반적인 포토-레지스트 용매가 PM Acetate를 대신하여 사용될 수 있다. 또한, 컴포넌트 3, 4, 및 5의 고체 컨텐츠, 즉 IsoRad 501, Cymel 303ULF, 및 Cycat는 중량 대비 0.1% 내지 70%의 컴포지션, 및 더욱 바람직하게는 중량대비 0.5% 내지 70% 범위로 포함할 수 있고, 나머지 양은 용매로 구성된다. 컴포지션 3, 4, 및 5 각각의 고체 컴포넌트는 중량비 50% 내지 99%의 IsoRad 501, 중량비 1% 내지 50%의 Cymel 303ULF, 및 중량비 0% 내지 10%의 Cycat 4040을 포함할 수 있다. 그러므로, 본 발명의 범위는 상술한 설명에 의해 한정되어서는 안되며, 첨부된 청구항과 그 동등물의 전 범위를 함께 참조하여 결정되어야 한다.

Claims (20)

  1. 기판에 층을 접착시키는 방법으로서,
    상기 층과 상기 기판 사이에 존재하는 컴포지션을 갖춤으로써 제1 및 제2인터페이스를 형성하는 단계를 포함하고,
    상기 제1인터페이스는 상기 층과 상기 컴포지션 사이에 형성되고, 상기 제2인터페이스는 상기 기판과 상기 컴포지션 사이에 형성되고, 상기 제1인터페이스는 공유 결합을 포함하고, 상기 제2인터페이스는 상기 컴포지션을 상기 기판에 접착시키는 메카니즘을 포함하는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  2. 제 1 항에 있어서, 상기 메카니즘은 공유 결합, 이온 결합, 반데르 발스 힘을 포함하는 일 세트의 메카니즘으로부터 선택되는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  3. 제 1 항에 있어서, 상기 제2인터페이스는 상기 컴포지션을 열 경화시킴으로써 형성되고, 상기 제2인터페이스는 상기 층과 상기 컴포지션을 화학선 에너지에 노출함으로써 형성되는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  4. 제1 항에 있어서, 상기 형성하는 단계는 상기 컴포지션을 고체화하는 단계, 고체화된 컴포지션을 형성하는 단계, 및 상기 고체화된 컴포지션 상에 상기 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  5. 제 1 항에 있어서, 상기 형성하는 단계는 상기 층과 상기 기판 사이에, 복수의 분자, 유기적 백본 그룹 및 제1 및 제2작용기를 포함하는 서브셋을 배치하는 단계를 더 포함하고, 상기 제1작용기는 상기 공유 결합을 형성하기 위해 상기 층과 반응하고, 상기 제2작용기는 상기 기판과 반응하는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  6. 제 1 항에 있어서, 상기 형성하는 단계는 상기 층과 상기 기판 사이에, 복수의 분자, 가교제인 제1서브셋, 백본 그룹 및 제1 및 제2작용기를 포함하는 제2서브셋을 배치하는 단계를 더 포함하고, 상기 제1작용기는 상기 공유 결합을 형성하기 위해 상기 층과 반응하고, 상기 제2작용기는 상기 기판 및 상기 가교제 중 하나와 반응하는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  7. 제 6 항에 있어서, 상기 백본 그룹은 방향족 구조를 포함하는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  8. 제 1 항에 있어서, 상기 형성하는 단계는 상기 층과 상기 구조 사이에 복수의 분자, 백본 그룹 및 제1 및 제2작용기를 포함하는 서브셋을 배치하는 단계를 더 포함하고, 상기 제1작용기는 상기 공유 그룹을 형성하기 위해 상기 층과 반응하는 아크릴레이트 작용기로 본질적으로 이루어진 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  9. 제 8 항에 있어서, 상기 백본 그룹은 지방족 및 방향족으로 본질적으로 이루어진 일 세트의 그룹으로부터 선택되는 것을 특징으로 하는 기판에 층을 접착시키는 방법.
  10. 제1 및 제2재료를 서로 접착시키기 위한 컴포지션으로서,
    백본 그룹, 및 제1 및 제2작용기를 갖춘 멀티-작용기 반응 컴파운드;
    촉매; 및
    가교제를 포함하고,
    상기 제1작용기는 가교된 분자를 형성하고 상기 제1재료에 상기 가교된 분자의 서브셋을 접착시키기 위해, 제1화학선 에너지와 반응하고, 상기 작용기는 상기 제2재료에 접착되기 위해 상기 제1화학선 에너지와 상이한, 제2화학선 에너지와 반응하는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  11. 제 10 항에 있어서, 상기 제1화학선 에너지는 열 에너지를 포함하는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  12. 제 10 항에 있어서, 상기 제2화학선 에너지는 광대역 자외선 에너지를 포함 하는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  13. 제 10 항에 있어서, 상기 멀티-작용기 반응 컴파운드는 다음의 구조를 가진 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션:
    Figure 112008011092599-PCT00010
    여기서, x 및 y는 정수이다.
  14. 제 10 항에 있어서, 상기 멀티-작용기 반응 컴파운드는 다음의 구조를 가진 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션:
    Figure 112008011092599-PCT00011
    여기서, R', R'', R'''는 연결기이고, x, y, z는 평균 반복 수이다.
  15. 제 14 항에 있어서, 상기 연결기 R', R'', R'''은 상기 컴포지션을 통해 랜덤하게 분포하는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포 지션.
  16. 제 10 항에 있어서, 상기 제1작용기는 공유 결합, 이온 결합, 반데르 발스 힘의 본질적으로 구성된 일 세트의 메카니즘으로부터 선택된 접착 메카니즘에 의해 상기 제1재료에 접착하는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  17. 제 10 항에 있어서, 상기 가교제는 연결기를 포함하고, 상기 제1작용기는 상기 연결기를 통해 연결됨으로써 상기 제1재료에 접착되는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  18. 제 10 항에 있어서, 상기 제2작용기는 공유 결합을 형성함으로써 상기 제2재료에 접착하는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  19. 제 10 항에 있어서, 상기 제1작용기는 카르복실, 에폭시, 히드록실, 및 알콕실로 본질적으로 이루어진 일 세트의 작용기로부터 선택되는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
  20. 제 10 항에 있어서, 상기 제2작용기는 아크릴 및 비닐 에테르로 본질적으로 이루어진 일 세트의 작용기로부터 선택되는 것을 특징으로 하는 제1 및 제2재료를 서로 접착시키기 위한 컴포지션.
KR1020087003583A 2005-07-22 2006-06-05 재료를 서로 접착하는 방법 및 조성물 KR101416112B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/187,407 2005-07-22
US11/187,406 2005-07-22
US11/187,406 US7759407B2 (en) 2005-07-22 2005-07-22 Composition for adhering materials together
US11/187,407 US8557351B2 (en) 2005-07-22 2005-07-22 Method for adhering materials together
PCT/US2006/021948 WO2007050133A2 (en) 2005-07-22 2006-06-05 Method and composition for adhering materials together

Publications (2)

Publication Number Publication Date
KR20080038338A true KR20080038338A (ko) 2008-05-06
KR101416112B1 KR101416112B1 (ko) 2014-07-08

Family

ID=37968270

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087003583A KR101416112B1 (ko) 2005-07-22 2006-06-05 재료를 서로 접착하는 방법 및 조성물

Country Status (7)

Country Link
US (2) US8557351B2 (ko)
EP (1) EP1915888B1 (ko)
JP (1) JP5084728B2 (ko)
KR (1) KR101416112B1 (ko)
SG (1) SG163605A1 (ko)
TW (1) TWI329239B (ko)
WO (1) WO2007050133A2 (ko)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
GB0701909D0 (en) * 2007-01-31 2007-03-14 Imp Innovations Ltd Deposition Of Organic Layers
GB2453766A (en) * 2007-10-18 2009-04-22 Novalia Ltd Method of fabricating an electronic device
TWI495951B (zh) * 2007-12-04 2015-08-11 Molecular Imprints Inc 超薄聚合性黏著層
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
JP2010080680A (ja) * 2008-09-26 2010-04-08 Bridgestone Corp 凹凸パターンの形成方法及び凹凸パターンの製造装置
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20120070572A1 (en) * 2010-09-08 2012-03-22 Molecular Imprints, Inc. Vapor Delivery System For Use in Imprint Lithography
JP5218521B2 (ja) 2010-10-21 2013-06-26 大日本印刷株式会社 インプリント方法とこれに用いる転写基材および密着剤
JP5767615B2 (ja) * 2011-10-07 2015-08-19 富士フイルム株式会社 インプリント用下層膜組成物およびこれを用いたパターン形成方法
WO2013051735A1 (en) * 2011-10-07 2013-04-11 Fujifilm Corporation Underlay film composition for imprints and method of forming pattern and pattern formation method using the same
DE102011086889A1 (de) * 2011-11-22 2013-05-23 Mtu Aero Engines Gmbh Generatives Herstellen eines Bauteils
JP5899145B2 (ja) * 2012-06-18 2016-04-06 富士フイルム株式会社 インプリント用下層膜形成組成物およびパターン形成方法
JP5827180B2 (ja) 2012-06-18 2015-12-02 富士フイルム株式会社 インプリント用硬化性組成物と基板の密着用組成物およびこれを用いた半導体デバイス
JP6029506B2 (ja) 2013-03-26 2016-11-24 富士フイルム株式会社 インプリント用下層膜形成組成物およびパターン形成方法
JP6047049B2 (ja) * 2013-03-27 2016-12-21 富士フイルム株式会社 組成物、硬化物、積層体、下層膜の製造方法、パターン形成方法、パターンおよび半導体レジストの製造方法
TWI656162B (zh) 2014-06-20 2019-04-11 日商富士軟片股份有限公司 下層膜形成用樹脂組成物、積層體、圖案形成方法及元件的製造方法
TWI635365B (zh) 2014-08-21 2018-09-11 日商富士軟片股份有限公司 Sublayer film forming composition, laminate, pattern forming method, imprint forming kit, and device manufacturing method
TWI632188B (zh) 2014-08-27 2018-08-11 日商富士軟片股份有限公司 底層膜形成用樹脂組成物、積層體、圖案形成方法、壓印形成用套組及元件的製造方法
WO2016048053A1 (ko) * 2014-09-26 2016-03-31 한국기계연구원 복수의 나노갭이 형성된 기판 및 이의 제조방법
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US20170068159A1 (en) * 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
JP6141500B2 (ja) * 2015-09-08 2017-06-07 キヤノン株式会社 ナノインプリントリソグラフィーにおける充填時間を短縮するための基板の前処理
US10618141B2 (en) 2015-10-30 2020-04-14 Applied Materials, Inc. Apparatus for forming a polishing article that has a desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10845700B2 (en) * 2016-03-31 2020-11-24 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10883006B2 (en) * 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10578965B2 (en) * 2016-03-31 2020-03-03 Canon Kabushiki Kaisha Pattern forming method
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10189188B2 (en) 2016-05-20 2019-01-29 Canon Kabushiki Kaisha Nanoimprint lithography adhesion layer
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
TW201825617A (zh) 2016-09-16 2018-07-16 日商富士軟片股份有限公司 壓印用底漆層形成用組成物、壓印用底漆層及積層體
TW201817582A (zh) 2016-09-16 2018-05-16 日商富士軟片股份有限公司 圖案形成方法及半導體元件的製造方法
WO2018159576A1 (ja) * 2017-02-28 2018-09-07 富士フイルム株式会社 プライマ層形成用組成物、キット、プライマ層および積層体
JP6712673B2 (ja) * 2017-02-28 2020-06-24 富士フイルム株式会社 インプリント用密着膜形成用組成物、密着膜、積層体、硬化物パターンの製造方法および回路基板の製造方法
US10317793B2 (en) * 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
WO2018232214A1 (en) * 2017-06-16 2018-12-20 Fujifilm Electronic Materials U.S.A., Inc. Multilayer structure
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
JP7017623B2 (ja) * 2018-03-07 2022-02-08 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
WO2020059603A1 (ja) * 2018-09-18 2020-03-26 富士フイルム株式会社 インプリント用積層体、インプリント用積層体の製造方法、パターン形成方法およびキット
US10780682B2 (en) * 2018-12-20 2020-09-22 Canon Kabushiki Kaisha Liquid adhesion composition, multi-layer structure and method of making said structure
JP7222811B2 (ja) * 2019-06-04 2023-02-15 キオクシア株式会社 インプリント装置、インプリント方法、及び半導体装置の製造方法
KR20230113640A (ko) 2020-12-22 2023-07-31 캐논 가부시끼가이샤 막 형성 방법 및 물품 제조 방법
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
EP4354488A1 (en) 2021-06-09 2024-04-17 Canon Kabushiki Kaisha Curable composition, film formation method, and article manufacturing method

Family Cites Families (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4251277A (en) 1978-04-24 1981-02-17 Sws Silicones Corporation Compositions containing thiofunctional polysiloxanes
JPS573875A (en) 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
DE3023201A1 (de) 1980-06-21 1982-01-07 Hoechst Ag, 6000 Frankfurt Positiv arbeitendes strahlungsempfindliches gemisch
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4514439A (en) 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
EP0166363B1 (en) 1984-06-26 1991-08-07 Asahi Glass Company Ltd. Low reflectance transparent material having antisoiling properties
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
JPH01163027A (ja) 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
WO1991004151A1 (en) * 1989-09-14 1991-04-04 Avery International Corporation Tackified dual cure pressure-sensitive adhesive
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
US5149592A (en) 1990-05-09 1992-09-22 Avery Dennison Corporation Ultraviolet radiation curable clearcoat
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
EP0513690B1 (en) 1991-05-17 1997-02-26 Asahi Glass Company Ltd. Surface-treated substrate
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5458953A (en) * 1991-09-12 1995-10-17 Mannington Mills, Inc. Resilient floor covering and method of making same
DE4228853C2 (de) * 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2693727B1 (fr) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
GB9220986D0 (en) 1992-10-06 1992-11-18 Ciba Geigy Ag Chemical composition
DE4234423C2 (de) 1992-10-13 1996-10-10 Inst Mikrotechnik Mainz Gmbh Mit einem Resist beschichtete Metall- oder Halbleitersubstrate und Verfahren zur Erzielung einer stabilen Resist-Substrat-Haftung
US5432700A (en) * 1992-12-21 1995-07-11 Ford Motor Company Adaptive active vehicle suspension system
US5368942A (en) * 1993-01-15 1994-11-29 The United States Of America As Represented By The Secreatary Of Commerce Method of adhering substrates
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5482768A (en) 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5462700A (en) * 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5837314A (en) * 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5578683A (en) * 1994-06-27 1996-11-26 Avery Dennison Corporation Crosslinkable graft pressure-sensitive adhesives
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
FR2721939B1 (fr) 1994-06-30 1997-01-03 Atochem Elf Sa Materieau d'emballage comprenant une couche d'oxyde de silicum et une couche de polyolefine
US5459198A (en) * 1994-07-29 1995-10-17 E. I. Du Pont De Nemours And Company Fluoroinfused composites, articles of manufacture formed therefrom, and processes for the preparation thereof
JP3278306B2 (ja) * 1994-10-31 2002-04-30 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
US5550196A (en) 1994-11-09 1996-08-27 Shell Oil Company Low viscosity adhesive compositions containing asymmetric radial polymers
US5868966A (en) 1995-03-30 1999-02-09 Drexel University Electroactive inorganic organic hybrid materials
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
JP2978435B2 (ja) 1996-01-24 1999-11-15 チッソ株式会社 アクリロキシプロピルシランの製造方法
US5942302A (en) 1996-02-23 1999-08-24 Imation Corp. Polymer layer for optical media
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
BR9708357A (pt) 1996-03-27 1999-08-03 Novartis Ag Processo para produção de um polímero poroso a partir de uma mistura
CN1214708A (zh) 1996-03-27 1999-04-21 诺瓦提斯公司 使用成孔材料制造多孔聚合物的方法
AU716787B2 (en) 1996-03-27 2000-03-09 Biocure, Inc. High water content porous polymer
JP2000508084A (ja) * 1996-03-28 2000-06-27 ミネソタ マイニング アンド マニュファクチャリング カンパニー 有機光受容体のためのペルフルオロエーテル剥離塗料
JP3715021B2 (ja) * 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5792821A (en) 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6667082B2 (en) 1997-01-21 2003-12-23 Cryovac, Inc. Additive transfer film suitable for cook-in end use
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6335149B1 (en) 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6174932B1 (en) 1998-05-20 2001-01-16 Denovus Llc Curable sealant composition
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
WO1999053381A1 (en) * 1998-04-15 1999-10-21 Etec Systems, Inc. Photoresist developer and method of development
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
KR100273172B1 (ko) 1998-08-01 2001-03-02 윤덕용 아크릴 측쇄에 디옥사스피로환기 유도체를 갖는 화합물을 이용한 포토레지스트
US6523803B1 (en) * 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
TWI230712B (en) 1998-09-15 2005-04-11 Novartis Ag Polymers
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) * 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
BR0012307A (pt) * 1999-06-11 2002-03-12 Bausch & Lomb Moldes para lente com revestimentos protetores para produção de lentes de contato e outros produtos oftálmicos
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6723396B1 (en) * 1999-08-17 2004-04-20 Western Washington University Liquid crystal imprinting
AU7361200A (en) * 1999-09-10 2001-04-10 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6391217B2 (en) 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
DE10008109A1 (de) 2000-02-22 2001-08-23 Krauss Maffei Kunststofftech Verfahren und Vorrichtung zum Herstellen einer DVD
US6696157B1 (en) 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6756165B2 (en) 2000-04-25 2004-06-29 Jsr Corporation Radiation sensitive resin composition for forming barrier ribs for an EL display element, barrier rib and EL display element
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US6262464B1 (en) * 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
KR100827741B1 (ko) * 2000-07-17 2008-05-07 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피 공정을 위한 자동 유체 분배 방법 및시스템
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6531407B1 (en) 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
CN100365507C (zh) 2000-10-12 2008-01-30 德克萨斯州大学***董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
JP4176998B2 (ja) 2001-01-25 2008-11-05 積水化学工業株式会社 熱現像性感光材料、セラミックグリーンシート用スラリー及びセラミックグリーンシート
EP1369439B1 (en) 2001-01-25 2006-08-09 Sekisui Chemical Co., Ltd. Polyvinyl acetal, polyvinyl acetal composition, ink, coating material, dispersant, heat-developable photosensitive material, ceramic green sheet, primer for plastic lens, recording agent for water-based ink, and adhesive for metal foil
DE10103586A1 (de) 2001-01-26 2002-08-01 Roland Goebel Primer zur Bildung einer haftfesten und feuchtestabilen Legierungs-Kunststoff-Verbundschicht und Verfahren zu seiner Herstellung
JP2004524564A (ja) 2001-02-27 2004-08-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 新規ポリマー、ポリマー合成方法およびフォトレジスト組成物
US20020123592A1 (en) * 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
JP5201379B2 (ja) * 2001-03-26 2013-06-05 リケンテクノス株式会社 アンカーコート剤、易接着性基材フィルム及び積層フィルム
KR100442859B1 (ko) 2001-04-04 2004-08-02 삼성전자주식회사 실리콘을 함유하는 알킬 비닐 에테르의 중합체로이루어지는 감광성 폴리머 및 이를 포함하는 레지스트조성물
TWI225187B (en) * 2001-04-10 2004-12-11 Nissan Chemical Ind Ltd Composite for forming anti-reflective film in lithography
US7011932B2 (en) * 2001-05-01 2006-03-14 E. I. Du Pont De Nemours And Company Polymer waveguide fabrication process
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) * 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6610458B2 (en) * 2001-07-23 2003-08-26 Kodak Polychrome Graphics Llc Method and system for direct-to-press imaging
IL159865A0 (en) 2001-07-25 2004-06-20 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
WO2003064495A2 (en) 2001-11-07 2003-08-07 Dow Global Technologies Inc. Planarized microelectronic substrates
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6605849B1 (en) * 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
US7309560B2 (en) * 2002-02-19 2007-12-18 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
JP2004002702A (ja) 2002-02-28 2004-01-08 Merck Patent Gmbh プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
DE10217151A1 (de) 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6720076B2 (en) * 2002-05-31 2004-04-13 Omnova Solutions Inc. In-mold primer coating for thermoplastic substrates
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
WO2004009505A1 (en) 2002-07-23 2004-01-29 Shell Internationale Research Maatschappij B.V. Hydrophobic surface treatment composition and method of making and using same
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE10237280A1 (de) 2002-08-14 2004-03-11 Micronas Holding Gmbh Verfahren zum Verbinden von Oberflächen, Halbleiter mit verbundenen Oberflächen sowie Bio-Chip und Bio-Sensor
US6808745B2 (en) 2002-08-22 2004-10-26 Eastman Kodak Company Method of coating micro-electromechanical devices
US7754131B2 (en) 2002-08-27 2010-07-13 Obducat Ab Device for transferring a pattern to an object
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
DE60325629D1 (de) * 2002-10-21 2009-02-12 Nanoink Inc Verfahren zur herstellung von strukturen im nanometerbereich zur anwendung im bereich der maskenreparatur
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7241823B2 (en) 2002-12-11 2007-07-10 Shin-Etsu Chemical Co., Ltd. Radiation curing silicone rubber composition, adhesive silicone elastomer film formed from same, semiconductor device using same, and method of producing semiconductor device
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
JP2005014348A (ja) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd 平版印刷版原版及び平版印刷方法
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US6958531B2 (en) 2003-11-14 2005-10-25 The Regents Of The University Of Michigan Multi-substrate package and method for assembling same
DE60336322D1 (de) 2003-11-21 2011-04-21 Obducat Ab Nanoimprint Lithographie in Mehrschichtsystemem
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7229732B2 (en) * 2004-08-04 2007-06-12 Xerox Corporation Imaging members with crosslinked polycarbonate in charge transport layer
JP4130668B2 (ja) * 2004-08-05 2008-08-06 富士通株式会社 基体の加工方法
SG119379A1 (en) * 2004-08-06 2006-02-28 Nippon Catalytic Chem Ind Resin composition method of its composition and cured formulation
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7252862B2 (en) 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7163888B2 (en) 2004-11-22 2007-01-16 Motorola, Inc. Direct imprinting of etch barriers using step and flash imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20070059211A1 (en) * 2005-03-11 2007-03-15 The College Of Wooster TNT sensor containing molecularly imprinted sol gel-derived films
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US20070042173A1 (en) * 2005-08-22 2007-02-22 Fuji Photo Film Co., Ltd. Antireflection film, manufacturing method thereof, and polarizing plate using the same, and image display device
US7419611B2 (en) 2005-09-02 2008-09-02 International Business Machines Corporation Processes and materials for step and flash imprint lithography
US20080110557A1 (en) 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces

Also Published As

Publication number Publication date
EP1915888A4 (en) 2013-05-22
TWI329239B (en) 2010-08-21
US20140034229A1 (en) 2014-02-06
WO2007050133A2 (en) 2007-05-03
EP1915888B1 (en) 2018-12-19
US8557351B2 (en) 2013-10-15
JP5084728B2 (ja) 2012-11-28
SG163605A1 (en) 2010-08-30
TW200710566A (en) 2007-03-16
US20070017631A1 (en) 2007-01-25
KR101416112B1 (ko) 2014-07-08
WO2007050133A3 (en) 2007-12-13
EP1915888A2 (en) 2008-04-30
JP2009503139A (ja) 2009-01-29

Similar Documents

Publication Publication Date Title
KR20080038338A (ko) 재료를 서로 접착하는 방법 및 컴포지션
US7759407B2 (en) Composition for adhering materials together
KR101610185B1 (ko) 초박형 중합체 접착 층
US8808808B2 (en) Method for imprint lithography utilizing an adhesion primer layer
US20080110557A1 (en) Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US8871048B2 (en) Flexible nanoimprint mold, method for fabricating the same, and mold usage on planar and curved substrate
US20080308971A1 (en) Solvent-Assisted Layer Formation for Imprint Lithography
EP1718697A1 (en) Materials for imprint lithography
EP1633545A2 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
WO2010047770A1 (en) Nano-imprint lithogaphy stack with enhanced adhesion between layers
KR20100080897A (ko) 에칭된 다층 스택에서의 잔류물의 감소
WO2011066450A2 (en) Adhesion layers in nanoimprint lithography
TWI495951B (zh) 超薄聚合性黏著層

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170627

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180626

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190625

Year of fee payment: 6