KR20070096956A - Method for recovering damage of insulating film with low dielectric constant, semiconductor manufacturing apparatus, and storage medium - Google Patents

Method for recovering damage of insulating film with low dielectric constant, semiconductor manufacturing apparatus, and storage medium Download PDF

Info

Publication number
KR20070096956A
KR20070096956A KR1020070029331A KR20070029331A KR20070096956A KR 20070096956 A KR20070096956 A KR 20070096956A KR 1020070029331 A KR1020070029331 A KR 1020070029331A KR 20070029331 A KR20070029331 A KR 20070029331A KR 20070096956 A KR20070096956 A KR 20070096956A
Authority
KR
South Korea
Prior art keywords
dielectric constant
low dielectric
gas
constant film
plasma
Prior art date
Application number
KR1020070029331A
Other languages
Korean (ko)
Other versions
KR100870997B1 (en
Inventor
마사루 호리
가즈히로 구보타
Original Assignee
동경 엘렉트론 주식회사
고쿠리츠 다이가쿠 호우징 나고야 다이가쿠
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사, 고쿠리츠 다이가쿠 호우징 나고야 다이가쿠 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070096956A publication Critical patent/KR20070096956A/en
Application granted granted Critical
Publication of KR100870997B1 publication Critical patent/KR100870997B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method for repairing a damaged film having a low dielectric constant, a semiconductor device fabricating system and a storage medium are provided to repair the damaged layer by supplying CH3 radicals to a low-dielectric-constant film and the damaged layer. Energy is supplied to CH3 radical source gas to produce CH3 radical, and then the CH3 radical is supplied to a low-dielectric-constant film containing silicon, carbon, oxygen and hydrogen and a damaged layer, from which carbon atoms have been eliminated, so that the CH3 radical is bonded to the damaged layer. The damaged layer is formed through by a damaged layer forming process in which the low-dielectric-constant film is exposed to the plasma.

Description

저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및 기억 매체{METHOD FOR RECOVERING DAMAGE OF INSULATING FILM WITH LOW DIELECTRIC CONSTANT, SEMICONDUCTOR MANUFACTURING APPARATUS, AND STORAGE MEDIUM}METHOD FOR RECOVERING DAMAGE OF INSULATING FILM WITH LOW DIELECTRIC CONSTANT, SEMICONDUCTOR MANUFACTURING APPARATUS, AND STORAGE MEDIUM}

도 1은 본 발명의 플라즈마 처리 장치의 일례를 나타내는 종단면도이다. 1 is a longitudinal sectional view showing an example of the plasma processing apparatus of the present invention.

도 2는 본 발명의 플라즈마 처리 장치의 일례를 나타내는 횡단면도이다.2 is a cross-sectional view showing an example of the plasma processing apparatus of the present invention.

도 3은 본 발명에서의 CH3 라디칼을 생성하기 위한 장치의 일례를 나타낸 개략도이다.3 is a schematic diagram showing an example of an apparatus for generating CH 3 radicals in the present invention.

도 4는 본 발명의 플라즈마 처리에 사용되는 웨이퍼(W)의 구성 및 각 플라즈마 처리를 나타내는 도면이다.4 is a diagram showing the configuration of each wafer W used in the plasma processing of the present invention and each plasma processing.

도 5는 본 발명의 수복 공정에서의 반응 기구의 일례로 생각되는 개념도이다.5 is a conceptual diagram that is considered as an example of a reaction mechanism in the repair step of the present invention.

도 6은 본 발명에서의 사용되는 반도체 제조 장치의 일례를 나타낸 개념도이다.6 is a conceptual diagram illustrating an example of a semiconductor manufacturing apparatus used in the present invention.

도 7은 본 발명에서의 라디칼 처리 장치의 일례를 나타낸 개념도이다.7 is a conceptual diagram showing an example of a radical treatment apparatus according to the present invention.

도 8은 본 발명의 실험에 따른 웨이퍼(W)의 개념도이다.8 is a conceptual diagram of a wafer W according to the experiment of the present invention.

도 9는 본 발명에서의 실험예 1의 결과를 나타내는 도면이다.9 is a view showing the results of Experimental Example 1 in the present invention.

도 10은 본 발명에서의 실험예 2의 결과를 나타내는 도면이다.10 is a view showing the results of Experimental Example 2 in the present invention.

도 11은 본 발명에서의 실험예 3의 결과를 나타내는 도면이다.11 is a view showing the results of Experiment 3 in the present invention.

도 12는 본 발명에서의 실험예 4의 결과를 나타내는 도면이다.12 is a view showing the results of Experiment 4 in the present invention.

도 13은 본 발명에서의 실험예 5의 결과를 나타내는 도면이다.It is a figure which shows the result of Experiment 5 in this invention.

도 14는 종래의 플라즈마 처리에서의 웨이퍼(W)의 모식도이다.It is a schematic diagram of the wafer W in the conventional plasma process.

도면의 주요부분에 대한 부호의 설명Explanation of symbols for main parts of the drawings

2 플라즈마 처리 장치 21 처리실2 plasma processing apparatus 21 processing chamber

3 탑재대 31 하부 전극3 Mount 31 Lower Electrode

4 상부 전극 54 SiOCH막4 upper electrode 54 SiOCH film

57 오목부 60 데미지층57 recess 60 damage layer

63 가스 가열부 80 플라즈마 처리 장치63 gas heating unit 80 plasma processing apparatus

81 라디칼 처리 장치81 radical treatment unit

본 발명은, 실리콘, 탄소, 산소 및 수소를 포함하는 저 유전율막에 대하여, 플라즈마 등에 의해 탄소가 탈리된 데미지층을 수복하는 기술에 관한 것이다.TECHNICAL FIELD This invention relates to the technique of repairing the damage layer which carbon detach | desorbed by the plasma etc. with respect to the low dielectric constant film containing silicon, carbon, oxygen, and hydrogen.

반도체 디바이스는 해마다 고 집적화되는 경향이 있어, 반도체 웨이퍼(이하, 웨이퍼라고 함) 등의 기판에 형성되는 패턴의 미세화에 대응하기 위해 레지스트 재료나 노광 기술의 개선이 진행되고, 레지스트 마스크의 개구 치수도 상당히 작아지고 있다.Since semiconductor devices tend to be highly integrated every year, in order to cope with miniaturization of patterns formed on substrates such as semiconductor wafers (hereinafter referred to as wafers), improvements in resist materials and exposure techniques are progressed, and the opening dimensions of resist masks are also increased. It's getting quite small.

한편, 고 집적화를 도모하기 위해 디바이스 구조가 다층화되고 있지만, 동작 속도를 향상시키기 위해서는 기생 용량을 작게 할 필요가 있기 때문에, 절연막, 예컨대 층간 절연막에 대해서도 저 유전율막 재료의 개발이 진행되고 있다. 이 저 유전율막의 하나로서, 예컨대 Si-C 결합을 갖는 다공질 MSQ(메틸-하이드로젠-실세스-퀴옥세인; Methyl-Hydrogen-Silses-Quioxane) 막 등으로 불리는 SiOCH막을 들 수 있다.On the other hand, in order to achieve high integration, the device structure has been multilayered. However, in order to improve the operation speed, the parasitic capacitance needs to be reduced. Therefore, development of a low dielectric constant film material has also been progressed for insulating films such as interlayer insulating films. As one of these low dielectric constant films, for example, a SiOCH film called porous MSQ (methyl-hydrogen-silses-quioxane; Methyl-Hydrogen-Silses-Quioxane) film having a Si-C bond can be mentioned.

이 SiOCH막은, 예컨대 구리 배선이 매립되기 때문에, 레지스트 마스크 및 하드 마스크를 에칭을 위한 마스크로서 이용하고, 예컨대 CF4 가스를 플라즈마화한 플라즈마에 의해 에칭이 행해지고, 이어서 산소 가스를 플라즈마화한 플라즈마에 의해 레지스트 마스크의 애싱(재화 처리)이 행해진다. 도 14는 이 양태를 모식적으로 나타내고 있으며, 100은 SiOCH막, 101은 레지스트 마스크, 102는 하드 마스크이다.Since the SiOCH film is embedded with, for example, a copper wiring, a resist mask and a hard mask are used as a mask for etching, and for example, etching is performed by plasma in which CF 4 gas is converted into plasma, and then, plasma is converted into oxygen plasma. As a result, ashing (reprocessing) of the resist mask is performed. 14 schematically shows this embodiment, where 100 is an SiOCH film, 101 is a resist mask, and 102 is a hard mask.

그런데, SiOCH막(100)에 대하여 에칭이나 애싱 등의 플라즈마 처리를 행하는 경우, 플라즈마에 노출된 SiOCH막(100)의 노출면, 즉 오목부의 측벽 및 바닥면에 있어서, 플라즈마에 의해서 예컨대 Si-C 결합이 끊어져 C가 막으로부터 탈리된다. C의 탈리에 의해 불포화 결합 손이 생성된 Si는 그 상태에서는 불안정하기 때문에, 그 후 예컨대 대기 중의 수분 등과 결합하여 Si-OH가 된다.By the way, when the plasma treatment such as etching or ashing is performed on the SiOCH film 100, the plasma is, for example, Si-C on the exposed surface of the SiOCH film 100 exposed to the plasma, that is, the sidewalls and the bottom surface of the concave portion. The bond is broken and C is released from the membrane. Si in which an unsaturated bond hand is generated by desorption of C is unstable in that state, and is then bonded to, for example, moisture in the atmosphere to form Si-OH.

이와 같이 플라즈마 처리에 의해, SiOCH막(100)의 노출면에는 데미지층(103)이 형성되어 버리지만, 이 데미지층(103)은 탄소의 함유량이 저하되어 있기 때문에 유전율이 저하되어 버린다. 배선 패턴의 선폭의 미세화 및 배선층이나 절연막 등의 박막화가 진행되기 때문에, 웨이퍼(W) 전체에 대하여 표면부가 주는 영향의 비율이 커져 있어, 표면부라 하더라도 그 유전율의 저하에 의해 반도체 장치의 특성이 설계치로부터 벗어나 버리는 요인 중 하나가 된다. As described above, the damage layer 103 is formed on the exposed surface of the SiOCH film 100 by the plasma treatment. However, since the content of carbon is reduced in the damage layer 103, the dielectric constant decreases. As the line width of the wiring pattern becomes smaller and thinning of the wiring layer or the insulating film proceeds, the ratio of the influence of the surface portion on the entire wafer W is increased, and even if the surface portion is reduced, the characteristics of the semiconductor device are reduced due to the decrease in the dielectric constant. It is one of the factors to get away from.

한편, 이러한 문제를 해결하는 방법으로서, 일본 특허공개 제2005-340288호 공보((0010), (0028))(특허문헌 1)에 기재된 기술이 알려져 있다. 이 기술은, Si-Si 결합 및 Si-CH3 결합으로 이루어진 실라잔계 화합물을 이용하여, 드라이 에칭에 의해 생성된 OH기로 이루어진 데미지층의 표면 개질을 행하는 것이다. 그러나, 이 기술은 OH기의 H와 상기 실라잔계 화합물을 치환하는 표면 개질이고, 플라즈마 처리를 하기 전의 상태로 되돌리는 것이 아니기 때문에, 유전율에는 설계치로부터의 어긋남이 생긴다. 또한, 상기 실라잔계 화합물의 분자가 크기 때문에, H와의 치환에 의해 막의 표면에 결합된 분자가 입체 장해가 되어, 분자가 막의 내부까지 침투할 수 없어, 막의 내부까지 개질될 수 없었다.On the other hand, as a method of solving such a problem, the technique of Unexamined-Japanese-Patent No. 2005-340288 (0010) and (0028) (patent document 1) is known. The technique, by using a silanol jangye compound consisting of a Si-Si bond and the bond Si-CH 3, to perform the surface modification of the damage layer consisting of a OH generated by dry etching. However, this technique is a surface modification for substituting H of the OH group and the silazane-based compound, and does not return to the state before the plasma treatment, so that a deviation from the design value occurs in the dielectric constant. In addition, since the molecules of the silazane-based compound are large, the molecules bound to the surface of the membrane due to substitution with H cause steric hindrance, and the molecules cannot penetrate to the inside of the membrane and cannot be modified to the inside of the membrane.

본 발명은 이러한 사정하에 이루어진 것으로, 그 목적은 실리콘, 탄소, 산소 및 수소를 포함하는 저 유전율막으로 이루어진 절연막이 적층된 기판에 있어서, 플라즈마 등에 의해 처리가 실시되고 C가 탈리된 데미지층을 수복하는 기술을 제공하는 것이다.SUMMARY OF THE INVENTION The present invention has been made under such circumstances, and an object thereof is to provide a substrate in which an insulating film made of a low dielectric constant film containing silicon, carbon, oxygen, and hydrogen is laminated, wherein the damage is performed by plasma or the like and the C is detached. To provide technology.

본 발명의 저 유전율막의 데미지 수복 방법은, The low dielectric constant film damage repair method of the present invention,

CH3 라디칼 생성용 가스에 에너지를 공급하여 CH3 라디칼을 생성하는 공정과,Generating CH 3 radicals by supplying energy to a gas for generating CH 3 radicals,

실리콘, 탄소, 산소 및 수소를 포함하고, 탄소가 탈리된 데미지층을 갖는 저 유전율막에 CH3 라디칼을 공급하여, 상기 데미지층에 CH3을 결합시키는 수복 공정을 포함하는 것을 특징으로 한다. And a repair process of supplying CH 3 radicals to the low dielectric constant film including silicon, carbon, oxygen, and hydrogen and having a damage layer from which carbon is desorbed, thereby bonding CH 3 to the damage layer.

CH3 라디칼을 생성하는 공정은, CH3 라디칼 생성용 가스를 열분해하는 공정인 것을 특징으로 한다.A step of generating a CH 3 radical, characterized in that the step of thermally decomposing CH 3 radical generating gas.

저 유전율막이 데미지를 입어 데미지층이 형성되는 데미지층 혼입 공정은, 저 유전율막이 플라즈마에 노출되는 공정인 것을 특징으로 한다.The damage layer incorporation step in which the low dielectric constant film is damaged and a damage layer is formed is characterized in that the low dielectric constant film is a step of exposing the plasma.

저 유전율막이 플라즈마에 노출되는 공정은, 저 유전율막에 오목부를 형성하기 위한 에칭 공정 및/또는 저 유전율막의 위쪽에 형성된 유기막으로 이루어진 레지스트막을 재화하기 위한 애싱 공정인 것을 특징으로 한다. The process in which the low dielectric constant film is exposed to the plasma is characterized by an etching process for forming a recess in the low dielectric constant film and / or an ashing process for refining a resist film made of an organic film formed on the low dielectric constant film.

저 유전율막이 형성된 피처리체는, 저 유전율막이 데미지를 입어 데미지층이 형성되는 데미지층 혼입 공정으로부터 수복 공정에 이르기까지 진공 분위기에 놓이 는 것을 특징으로 한다. The to-be-processed object in which the low dielectric constant film was formed is characterized by being placed in a vacuum atmosphere from the damage layer mixing step in which the low dielectric constant film is damaged and a damage layer is formed to the repair process.

데미지층 혼입 공정 및 수복 공정은 동일 처리 용기내에서 행해지는 것을 특징으로 한다. The damage layer mixing step and the repair step are performed in the same processing container.

CH3 라디칼 생성용 가스는, 다이-t-알킬퍼옥사이드((CH3)3COOC(CH3)3), 메테인(CH4), 아조메테인((CH3)2N2, (CH3)3N), 2,2'-아조비스아이소뷰틸나이트릴((CH3)2C(CN)N=N(CN)C(CH3)2), 다이메틸아민((CH3)2NH) 및 네오펜테인(C(CH3)4) 중에서 선택되는 가스인 것을 특징으로 한다.The gas for generating CH 3 radicals is di-t-alkylperoxide ((CH 3 ) 3 COOC (CH 3 ) 3 ), methane (CH 4 ), azomethane ((CH 3 ) 2 N 2 , (CH 3 ) 3 N), 2,2'-azobisisobutyl nitrile ((CH 3 ) 2 C (CN) N = N (CN) C (CH 3 ) 2 ), dimethylamine ((CH 3 ) 2 NH) and neopentane (C (CH 3 ) 4 ) It is characterized in that the gas selected from.

본 발명의 반도체 제조 장치는, The semiconductor manufacturing apparatus of this invention,

처리 용기와, Processing container,

이 처리 용기내에 설치되고, 피처리체를 탑재하기 위한 탑재대와, A mounting table installed in the processing container for mounting the object to be processed;

상기 처리 용기내를 진공 배기하기 위한 수단과, Means for evacuating the interior of the processing vessel;

CH3 라디칼 생성용 가스에 에너지를 공급하여 CH3 라디칼을 생성하고, 이 CH3 라디칼을 상기 탑재대에 탑재된 피처리체에 공급하기 위한 수단을 구비하고,CH 3 CH 3 generate radicals by supplying energy to the radical generating gas, and includes means for supplying a CH 3 radical on a workpiece mounted on the mounting stand,

상기 피처리체 상에 형성되고, 실리콘, 탄소, 산소 및 수소를 포함하는 저 유전율막의 탄소가 탈리된 데미지층이 CH3의 결합에 의해 수복되는 것을 특징으로 한다.The damage layer formed on the object to be treated and desorbed from the carbon of the low dielectric constant film containing silicon, carbon, oxygen and hydrogen is repaired by bonding of CH 3 .

CH3 라디칼을 피처리체에 공급하기 위한 수단은, CH3 라디칼 생성용 가스를 열분해하기 위한 수단인 것을 특징으로 한다.The means for supplying the CH 3 radicals to the workpiece is characterized by being a means for pyrolyzing the gas for generating CH 3 radicals.

CH3 라디칼을 피처리체에 공급하기 위한 수단은, CH3 라디칼을 포함하는 가 스를 피처리체의 측 방향으로부터 피처리체에 공급하기 위한 공급구를 구비하고 있는 것을 특징으로 한다. The means for supplying CH 3 radicals to a workpiece is characterized by including a supply port for supplying a gas containing CH 3 radicals to the workpiece from the side of the workpiece.

CH3 라디칼을 피처리체에 공급하기 위한 수단은, 탑재대에 대향하여 설치되고, CH3 라디칼 생성용 가스를 공급하는 공급부를 구비하고 있는 것을 특징으로 한다.The means for supplying CH 3 radicals to the workpiece is provided opposite to the mounting table, and is provided with a supply section for supplying a gas for generating CH 3 radicals.

또한, 본 발명의 반도체 제조 장치는,Moreover, the semiconductor manufacturing apparatus of this invention,

상기 처리 용기내에 플라즈마 처리용 가스를 공급하는 수단과,Means for supplying a plasma processing gas into the processing container;

상기 처리 용기내에서 플라즈마 처리용 가스를 플라즈마화하는 수단을 구비하고, Means for plasmalizing a gas for plasma processing in the processing vessel;

플라즈마에 의해 피처리체에 대하여 플라즈마 처리가 행해지고, 이어서 이 플라즈마 처리에 의해 데미지를 입은 저 유전율막의 데미지층의 수복이 행해지는 것을 특징으로 한다.Plasma processing is performed on the object to be processed by the plasma, and then the damage layer of the low dielectric constant film subjected to damage by this plasma processing is performed.

또한, 본 발명의 반도체 제조 장치는, Moreover, the semiconductor manufacturing apparatus of this invention,

상기 처리 용기와는 다른 플라즈마 처리용의 처리 용기와, A processing container for plasma processing different from the processing container;

이 플라즈마 처리용의 처리 용기내에 플라즈마 처리용의 가스를 공급하는 수단과, 상기 플라즈마 처리용의 처리 용기내에서 플라즈마 처리용의 가스를 플라즈마화하는 수단과, Means for supplying a plasma processing gas into the processing vessel for plasma processing, means for plasmalizing the gas for plasma processing in the processing vessel for plasma processing;

데미지층의 수복을 행하기 위한 처리 용기와 플라즈마 처리용의 처리 용기가 접속되어 있는 진공 분위기로 이루어진 반송실과, A transfer chamber made of a vacuum atmosphere in which a processing container for repairing the damage layer and a processing container for plasma processing are connected;

이 반송실내에 설치되고, 플라즈마 처리용의 처리 용기와 데미지층의 수복을 행하 기 위한 처리 용기 사이에서 피처리체를 반송하기 위한 반송 수단을 구비하고, It is provided in this conveyance chamber, Comprising: The conveyance means for conveying a to-be-processed object between the processing container for plasma processing, and the processing container for repair of a damage layer,

플라즈마에 의해 피처리체에 대하여 플라즈마 처리가 행해지고, 이어서 이 플라즈마 처리에 의해 데미지를 입은 저 유전율막의 데미지층의 수복이 행해지는 것을 특징으로 한다. Plasma processing is performed on the object to be processed by the plasma, and then the damage layer of the low dielectric constant film subjected to damage by this plasma processing is performed.

플라즈마 처리는, 저 유전율막에 오목부를 형성하기 위한 에칭 공정 및/또는 저 유전율막의 위쪽에 형성된 유기막으로 이루어진 레지스트막을 재화하기 위한 애싱 공정인 것을 특징으로 한다. The plasma treatment is characterized in that it is an etching step for forming a recess in the low dielectric constant film and / or an ashing step for refining a resist film made of an organic film formed on the low dielectric constant film.

본 발명의 기억 매체는, The storage medium of the present invention,

피처리체 상에 형성되고, 실리콘, 탄소, 산소 및 수소를 포함하는 저 유전율막의 탄소가 탈리된 데미지층을 수복하기 위한 장치에 이용되는 컴퓨터 프로그램을 격납한 기억 매체로서,A storage medium formed on a workpiece and storing a computer program for use in an apparatus for repairing a damage layer from which carbon of a low dielectric constant film containing silicon, carbon, oxygen, and hydrogen has been detached,

상기 프로그램은 상기 수복 방법을 실시하도록 스텝 군이 짜여 있는 것을 특징으로 한다. The program is characterized in that the group of steps is arranged to implement the above repair method.

다음으로, 도 1 및 도 2를 이용하여 본 발명에서의 수복 방법을 실시하는 장치의 일례에 대하여 설명한다. 이 장치는, 기판에 대하여 에칭 및 애싱을 행할 수 있는 플라즈마 처리 장치(2)에 SiOCH막의 수복을 행할 수 있는 기능을 부가한 구성으로 이루어져 있다. 도 1에 나타낸 플라즈마 처리 장치(2)는, 예컨대 내부가 밀폐 공간으로 되어 있는 진공 챔버로 이루어진 플라즈마 처리실을 형성하는 처리실(21)과, 이 처리실(21)내의 바닥면 중앙에 설치된 탑재대(3)와, 탑재대(3)의 위쪽에 상기 탑재대(3)와 대향하도록 설치된 상부 전극(4)을 구비하고 있다.Next, an example of the apparatus which performs the repair method in this invention using FIG. 1 and FIG. 2 is demonstrated. This apparatus has a structure in which the function of performing the repair of the SiOCH film is added to the plasma processing apparatus 2 capable of etching and ashing the substrate. The plasma processing apparatus 2 shown in FIG. 1 includes, for example, a processing chamber 21 for forming a plasma processing chamber including a vacuum chamber having an airtight space therein, and a mounting table 3 provided in the center of the bottom surface of the processing chamber 21. ) And an upper electrode 4 provided above the mounting table 3 so as to face the mounting table 3.

상기 처리실(21)은 전기적으로 접지되어 있고, 또한 처리실(21)의 바닥면의 배기구(22)에는 배기관(24)을 통해 진공 배기 수단인 배기 장치(23)가 접속되어 있다. 이 배기 장치(23)에는 도시하지 않은 압력 조정부가 접속되어 있고, 이 압력 조정부는 후술하는 제어부(2A)로부터의 신호에 의해 처리실(21)내를 진공 배기하여 원하는 진공도로 유지하도록 구성되어 있다. 처리실(21)의 벽면에는 웨이퍼(W)의 반송구(25)가 설치되어 있고, 이 반송구(25)는 게이트 밸브(26)에 의해 개폐 가능하게 되어 있다.The processing chamber 21 is electrically grounded, and an exhaust device 23 that is a vacuum exhaust means is connected to the exhaust port 22 of the bottom surface of the processing chamber 21 through an exhaust pipe 24. A pressure regulator (not shown) is connected to the exhaust device 23. The pressure regulator is configured to evacuate the inside of the processing chamber 21 by a signal from the controller 2A, which will be described later, to maintain the desired vacuum degree. The conveyance port 25 of the wafer W is provided in the wall surface of the process chamber 21, and this conveyance port 25 is opened and closed by the gate valve 26. As shown in FIG.

처리실(21)의 내벽에는 히터 블록이 부착되어 있어, 처리실(21)의 내벽을 고온, 예컨대 60℃ 이상으로 유지하여, 플루오로카본 등의 부착물이 퇴적하지 않도록 구성되어 있지만 여기서는 생략한다.A heater block is attached to the inner wall of the processing chamber 21, and the inner wall of the processing chamber 21 is maintained at a high temperature, for example, 60 ° C. or higher, so that deposits such as fluorocarbons are not deposited.

탑재대(3)는, 하부 전극(31)과 이 하부 전극(31)을 아래쪽에서 지지하는 지지체(32)로 이루어지고, 처리실(21)의 바닥면에 절연 부재(33)를 통해 설치되어 있다. 탑재대(3)의 상부에는 정전 척(34)이 설치되고, 이 정전 척(34)을 통해 탑재대(3) 상에 웨이퍼(W)가 탑재된다. 정전 척(34)은 절연 재료로 이루어지고, 이 정전 척(34)의 내부에는 고압 직류 전원(35)에 접속된 전극 박(36)이 설치되어 있다. 고압 직류 전원(35)으로부터 이 전극 박(36)에 전압이 인가됨으로써 정전 척(34) 표면에 정전기가 발생하여, 탑재대(3)에 탑재된 웨이퍼(W)는 정전 척(34)에 정전 흡착되도록 구성되어 있다. 정전 척(34)에는 후술하는 백사이드 가스를 이 정전 척(34)의 상부에 방출하기 위한 관통 구멍(34a)이 설치되어 있다.The mounting table 3 consists of the lower electrode 31 and the support body 32 which supports this lower electrode 31 from the lower side, and is provided in the bottom surface of the process chamber 21 via the insulating member 33. As shown in FIG. . An electrostatic chuck 34 is provided on the mounting table 3, and the wafer W is mounted on the mounting table 3 via the electrostatic chuck 34. The electrostatic chuck 34 is made of an insulating material, and the electrode foil 36 connected to the high voltage direct current power source 35 is provided inside the electrostatic chuck 34. When voltage is applied to the electrode foil 36 from the high voltage direct current power source 35, static electricity is generated on the surface of the electrostatic chuck 34, and the wafer W mounted on the mounting table 3 is electrostatically charged to the electrostatic chuck 34. It is configured to be adsorbed. The electrostatic chuck 34 is provided with a through hole 34a for discharging the backside gas, which will be described later, on the upper portion of the electrostatic chuck 34.

탑재대(3)내에는 소정의 냉매(예컨대, 종래 공지된 불소계 유체, 물 등)가 지나는 냉매 유로(37)가 형성되어 있고, 냉매가 이 냉매 유로(37)를 흐름으로써 탑재대(3)가 냉각되고, 이 탑재대(3)를 통해 탑재대(3) 상에 탑재된 웨이퍼(W)가 원하는 온도로 냉각되도록 구성되어 있다. 또한, 하부 전극(31)에는 도시하지 않은 온도 센서가 장착되어 있고, 이 온도 센서에 의해 하부 전극(31) 상의 웨이퍼(W)의 온도가 항상 감시되고 있다. In the mounting table 3, a coolant flow path 37 through which a predetermined coolant (for example, a conventionally known fluorine-based fluid, water, etc.) passes is formed, and the coolant flows through the coolant flow path 37 so that the mounting table 3 can be used. Is cooled, and the wafer W mounted on the mounting table 3 through the mounting table 3 is cooled to a desired temperature. In addition, a temperature sensor (not shown) is attached to the lower electrode 31, and the temperature of the wafer W on the lower electrode 31 is always monitored by this temperature sensor.

또한, 탑재대(3)의 내부에는 He(헬륨) 가스 등의 열전도성 가스를 백사이드 가스로서 공급하는 가스 유로(38)가 형성되어 있고, 이 가스 유로(38)는 탑재대(3)의 상면의 복수 개소에서 개구하고 있다. 이들 개구부는 정전 척(34)에 설치된 상기 관통 구멍(34a)과 연통하고 있어, 가스 유로(38)에 백사이드 가스를 공급하면 이 백사이드 가스는 관통 구멍(34a)을 통해 정전 척(34)의 상부에 유출된다. 이 백사이드 가스가 정전 척(34)과 정전 척(34) 상에 탑재된 웨이퍼(W)와의 간극 전체에 균등하게 확산됨으로써 이 간극에서의 열전도성이 높아지도록 되어 있다.In addition, a gas flow passage 38 for supplying a thermally conductive gas such as He (helium) gas as a backside gas is formed inside the mounting table 3, and the gas flow passage 38 is formed on the upper surface of the mounting table 3. It is opening in several places of. These openings communicate with the through hole 34a provided in the electrostatic chuck 34. When the backside gas is supplied to the gas flow path 38, the backside gas passes through the through hole 34a to form an upper portion of the electrostatic chuck 34. Spills on. The backside gas is evenly spread throughout the gap between the electrostatic chuck 34 and the wafer W mounted on the electrostatic chuck 34 so that the thermal conductivity in the gap is increased.

상기 하부 전극(31)은 하이패스 필터(HPF)(3a)를 통해 접지되고, 또한 하부 전극(31)에는 제 2 고주파에 대응하는 고주파, 예컨대 2MHz의 고주파 전원(31a)이 정합기(31b)를 통해 접속되어 있다.The lower electrode 31 is grounded through a high pass filter (HPF) 3a, and a lower frequency 31 corresponding to a second high frequency, for example, a high frequency power source 31a of 2 MHz, is matched to the lower electrode 31. Connected via

또한, 하부 전극(31)의 외주연에는 정전 척(34)을 둘러싸도록 포커스 링(39)이 배치되고, 플라즈마 발생시에 이 포커스 링(39)을 통해 플라즈마가 탑재대(3) 상의 웨이퍼(W)에 수렴하도록 구성되어 있다.In addition, a focus ring 39 is disposed on the outer periphery of the lower electrode 31 so as to surround the electrostatic chuck 34, and plasma is generated through the focus ring 39 at the time of plasma generation. ) Is configured to converge.

상부 전극(4)은 중공 형상으로 형성되고, 그 하면에는 처리실(21)내로 처리 가스를 분산 공급하기 위한 다수의 구멍(41)이 예컨대 균등하게 분산되어 형성되어 가스 샤워 헤드를 구성하고 있다. 또한 상부 전극(4)의 상면 중앙에는 가스 도입관(42)이 설치되고, 이 가스 도입관(42)은 절연 부재(27)를 통해 처리실(21)의 상면 중앙을 관통하고 있다. 그리고, 이 가스 도입관(42)은 상류측에서 4개로 분기되어 분기관(42A) 내지 (42D)를 형성하고, 밸브(43A) 내지 (43D)와 유량 제어부(44A) 내지 (44D)를 통해 가스 공급원(45A) 내지 (45D)에 접속되어 있다. 후술하는 가스 도입관(42E)에는 밸브(43E)와 유량 제어부(44E)를 통해 가스 공급원(45E)에 접속되어 있다. 이 밸브(43A) 내지 (43E), 유량 제어부(44A) 내지 (44E)는 가스 공급계(46)를 구성하여 후술하는 제어부(2A)에서의 제어 신호에 의해 각 가스 공급원(45A) 내지 (45E)의 가스 유량 및 공급 중단의 제어를 행할 수 있다. 또한, 분기관(42A) 내지 (42D), 가스 공급계(46) 및 각 가스 공급원(45A) 내지 (45D)는 플라즈마 처리용 가스를 공급하는 수단을 구성하고 있다.The upper electrode 4 is formed in a hollow shape, and a plurality of holes 41 for dispersing and supplying the processing gas into the processing chamber 21 are formed on the lower surface thereof, for example, to be evenly distributed to form a gas shower head. In addition, a gas introduction tube 42 is provided in the center of the upper surface of the upper electrode 4, and the gas introduction tube 42 penetrates through the center of the upper surface of the processing chamber 21 through the insulating member 27. The gas introduction pipes 42 branch into four on the upstream side to form branch pipes 42A to 42D, and are provided through the valves 43A to 43D and the flow control units 44A to 44D. It is connected to gas supply sources 45A-45D. The gas introduction pipe 42E described later is connected to the gas supply source 45E via the valve 43E and the flow rate control section 44E. The valves 43A to 43E and the flow rate controllers 44A to 44E constitute the gas supply system 46 and each gas supply source 45A to 45E by a control signal from the controller 2A to be described later. Control of the gas flow rate and supply interruption. Further, the branch pipes 42A to 42D, the gas supply system 46 and the respective gas supply sources 45A to 45D constitute a means for supplying a gas for plasma processing.

상부 전극(4)은 로우 패스 필터(LPF)(47)를 통해 접지되어 있고, 또한 그의 상부 전극(4)에는 제 1 고주파로서, 제 2 고주파 전원(31a)보다도 주파수가 높은 고주파, 예컨대 60MHz의 고주파 전원(4a)이 정합기(4b)를 통해 접속되어 있다.The upper electrode 4 is grounded through a low pass filter (LPF) 47, and as the first high frequency of the upper electrode 4, a frequency of higher frequency than that of the second high frequency power supply 31a, for example, 60 MHz. The high frequency power supply 4a is connected via the matcher 4b.

상부 전극(4)에 접속된 고주파 전원(4a)으로부터의 고주파는 제 1 고주파에 상당하는 것으로서, 처리 가스를 플라즈마화하기 위한 것이며, 하부 전극(31)에 접속된 고주파 전원(31a)으로부터의 고주파는 제 2 고주파에 상당하는 것으로서, 웨이퍼(W)에 바이어스 전력을 인가함으로써 플라즈마 중의 이온을 웨이퍼(W) 표면으로 끌어 넣기 위한 것이다. 이들 상부 전극(4) 및 하부 전극(31)은 플라즈마 처리용의 가스를 플라즈마화하는 수단을 구성하고 있다. 한편, 고주파 전원(4a) 및 (31a)는 제어부(2A)에 접속되어 있고, 제어 신호에 따라 상부 전극(4) 및 하부 전극(31)에 공급되는 전력이 제어된다. The high frequency from the high frequency power supply 4a connected to the upper electrode 4 corresponds to the first high frequency and is used to plasma the process gas, and the high frequency from the high frequency power supply 31a connected to the lower electrode 31. Is equivalent to the second high frequency, and is to attract ions in the plasma to the surface of the wafer W by applying a bias power to the wafer W. These upper electrodes 4 and lower electrodes 31 constitute a means for plasmalizing gas for plasma processing. On the other hand, the high frequency power supplies 4a and 31a are connected to the control unit 2A, and the electric power supplied to the upper electrode 4 and the lower electrode 31 is controlled in accordance with a control signal.

또한, 처리실(21)의 측면에는 CH3 라디칼 생성용 가스를 웨이퍼(W)에 공급하기 위한 수단인 가스 가열부(63)가 설치되어 있고, 이 가스 가열부(63)는 예컨대 도 3에 나타낸 바와 같이 원통상의 하우징(64)으로 이루어지고, 가스가 도면 중 오른쪽으로부터 왼쪽으로 흐르도록 처리실(21) 및 가스 도입관(42E)에 접속되어 있다. 처리실(21)과 가스 가열부(63) 사이에는 CH3 라디칼을 포함하는 가스를 피처리체에 공급하기 위한 공급구(67)가 형성되어 있다. 가스 가열부(63)의 내부에는 가스를 예컨대 1000℃로 가열할 수 있는 열원(65), 예컨대 텅스텐 필라멘트가 가스의 유로를 따라 코일 형상으로 설치되어 있고, 열원(65)에는 하우징(64)을 통해서 전원(66)이 접속되어 있다. 전술한 가스 공급원(45E)으로부터 가스 도입관(42E)을 통해 가스 가열부(63)에 공급되는 가스는, 이 열원(65)에 의해 열분해되어 라디칼로 되고, 처리실(21)내에 공급되도록 구성되어 있다. 가스 가열부(63), 가스 도입관(42E), 가스 공급계(46) 및 가스 공급원(45E)은 CH3 라디칼을 피처리체에 공급하기 위한 수단을 구성하고 있다. 하우징(64)에는 예컨대 도시하지 않은 석영제의 창이 설치되어 있고, 열원(65)의 온도를 도시하지 않은 방사 온도계에 의해 외부에서 측정하여, 열원(65)의 온도 제어를 행하도록 구성하여도 좋다.Further, the side of the treatment chamber 21 had a means for the gas heating section 63 for supplying the gas for CH 3 radicals produced on the wafer (W) is provided, as shown in the gas heating part 63, for example 3 As described above, the cylindrical housing 64 is connected to the processing chamber 21 and the gas introduction pipe 42E so that the gas flows from the right to the left in the figure. Between the processing chamber 21 and the gas heating unit 63 has a supply port 67 for supplying a gas containing a CH 3 radical on the object to be processed is formed. A heat source 65, for example tungsten filament, for heating a gas at, for example, 1000 ° C., is provided in a coil shape along a gas flow path inside the gas heating unit 63, and a housing 64 is provided at the heat source 65. The power supply 66 is connected through this. The gas supplied from the gas supply source 45E to the gas heating unit 63 through the gas introduction pipe 42E is thermally decomposed by the heat source 65 to become radicals, and is supplied to be supplied into the processing chamber 21. have. The gas heating unit 63, the gas introduction pipe 42E, the gas supply system 46, and the gas supply source 45E constitute a means for supplying CH 3 radicals to the target object. The housing 64 is provided with, for example, a quartz window (not shown), and the temperature of the heat source 65 may be measured from the outside by a radiation thermometer (not shown) to control the temperature of the heat source 65. .

이 플라즈마 처리 장치(2)에는 예컨대 컴퓨터로 이루어진 제어부(2A)가 설치되어 있고, 이 제어부(2A)는 프로그램, 메모리, CPU로 이루어진 데이터 처리부 등 을 구비하고 있고, 상기 프로그램에는 제어부(2A)로부터 플라즈마 처리 장치(2)의 각 부에 제어 신호를 보내고, 후술하는 각 스텝을 진행시킴으로써 웨이퍼(W)에 대하여 플라즈마 처리를 실시하도록 명령이 짜맞추어져 있다. 또한, 예컨대 메모리에는 처리 압력, 처리 시간, 가스 유량, 전력치 등의 처리 파라미터의 값이 쓰여 있는 영역을 구비하고 있고, CPU가 프로그램의 각 명령을 실행할 때 이들 처리 파라미터가 읽혀지고, 그 파라미터 값에 따른 제어 신호가 이 플라즈마 처리 장치(2)의 각 부위에 보내지게 된다. 이 프로그램(처리 파라미터의 입력 조작이나 표시에 관한 프로그램도 포함한다)은 컴퓨터 기억 매체, 예컨대 플랙시블 디스크, 컴팩트 디스크, MO(광자기 디스크), 하드 디스크(HD) 등의 기억부(2B)에 격납되어 제어부(2A)에 인스톨된다.The plasma processing apparatus 2 is provided with a control unit 2A made of, for example, a computer. The control unit 2A includes a data processing unit consisting of a program, a memory, a CPU, and the like. Commands are arranged to send a control signal to each part of the plasma processing apparatus 2 and to perform plasma processing on the wafer W by advancing each step described later. Further, for example, the memory has an area in which process parameter values such as processing pressure, processing time, gas flow rate, and electric power value are written, and these processing parameters are read when the CPU executes each instruction of the program, and the parameter values. Control signal is sent to each part of the plasma processing apparatus 2. This program (including a program relating to input operation and display of processing parameters) is stored in a storage unit 2B such as a computer storage medium such as a flexible disk, a compact disk, an MO (magnet) disk, or a hard disk (HD). It is stored and installed in the control part 2A.

다음으로, 상기 플라즈마 처리 장치(2)를 이용한 본 발명의 반도체 장치의 제조방법의 실시형태에 대하여 설명한다. 우선 게이트 밸브(26)를 열어 처리실(21)내로 도시하지 않은 반송 기구에 의해 300㎜(12인치) 웨이퍼(W)를 반입한다. 이 웨이퍼(W)를 탑재대(3) 상에 수평하게 탑재한 후, 웨이퍼(W)를 탑재대(3)에 정전 흡착한다. 그 후 반송 기구를 처리실(21)로부터 퇴거시켜 게이트 밸브(26)를 닫는다. 계속해서 가스 유로(38)로부터 백사이드 가스를 공급하여, 웨이퍼(W)를 소정의 온도로 조정한다. 그 후 이하의 스텝을 행한다. Next, embodiment of the manufacturing method of the semiconductor device of this invention using the said plasma processing apparatus 2 is demonstrated. First, the gate valve 26 is opened and the 300 mm (12 inch) wafer W is loaded into the processing chamber 21 by a conveyance mechanism (not shown). After mounting the wafer W horizontally on the mounting table 3, the wafer W is electrostatically attracted to the mounting table 3. Thereafter, the transfer mechanism is removed from the processing chamber 21 to close the gate valve 26. Subsequently, the backside gas is supplied from the gas flow path 38 to adjust the wafer W to a predetermined temperature. Thereafter, the following steps are performed.

여기서, 웨이퍼(W)의 표면부의 구조를 도 4(a)에 제시하여 둔다. 한편, 이 예에서는 구리 배선을 듀얼 다마신으로 형성하는 공정의 일부를 나타내고 있다. 56은 Cu 배선, 53은 에칭 정지제(stopper)인 SiC막, 54는 층간 절연막인 SiOCH막, 59는 하드 마스크인 SiO2막, 51은 레지스트 마스크, 55는 개구부이다.Here, the structure of the surface portion of the wafer W is shown in Fig. 4A. In addition, this example shows a part of process of forming copper wiring by dual damascene. 56 is a Cu wiring, 53 is an SiC film as an etch stopper, 54 is an SiOCH film as an interlayer insulating film, 59 is a SiO 2 film as a hard mask, 51 is a resist mask, and 55 is an opening.

(스텝 1: 에칭 공정)(Step 1: Etching Step)

배기 장치(23)에 의해 배기관(24)을 통해 처리실(21)내의 배기를 행하여 처리실(21)내를 소정의 진공도로 유지한 후, 가스 공급계(46)로부터 예컨대 C4F8 가스:N2 가스 및 Ar 가스를 공급한다. 계속해서 예컨대 주파수가 60MHz, 전력이 1200W인 제 1 고주파를 상부 전극(4)에 공급하여 상기 가스의 혼합 가스인 처리 가스를 플라즈마화하는 동시에, 예컨대 주파수가 2MHz, 전력이 1200W인 제 2 고주파를 하부 전극(31)에 공급한다.After the exhaust device 23 exhausts the process chamber 21 through the exhaust pipe 24 to maintain the inside of the process chamber 21 at a predetermined vacuum, the gas supply system 46, for example, C 4 F 8 gas: N 2 gas and Ar gas are supplied. Subsequently, for example, a first high frequency wave having a frequency of 60 MHz and a power of 1200 W is supplied to the upper electrode 4 to plasma the process gas, which is a mixed gas of the gas, and, for example, a second high frequency wave having a frequency of 2 MHz and a power of 1200 W. The lower electrode 31 is supplied.

이 플라즈마 중에는, 탄소와 불소의 화합물의 활성 종이 포함되어 있고, SiO2막(59) 및 SiOCH막(54)이 이들 활성 종 분위기에 노출되면, 이들 막 중의 원자와 반응한 화합물이 생성되고, 이것에 의해 도 3(b)에 나타낸 바와 같이 SiO2막(59), SiOCH막(54) 및 SiC막(53)이 에칭되어 오목부(57)가 형성된다.The plasma contains an active species of a compound of carbon and fluorine, and when the SiO 2 film 59 and the SiOCH film 54 are exposed to these active species atmospheres, a compound reacted with atoms in these films is produced. As a result, as shown in Fig. 3B, the SiO 2 film 59, the SiOCH film 54, and the SiC film 53 are etched to form the recesses 57.

이 때 플라즈마에 노출됨에 따라, SiOCH막(54)에 형성된 오목부(57)의 측벽에는 앞서 기술한 바와 같이 C가 탈리된 데미지층(60)이 형성된다.At this time, as exposed to the plasma, the damage layer 60 from which C is detached is formed on the sidewall of the recess 57 formed in the SiOCH film 54.

(스텝 2: 애싱 공정)(Step 2: ashing process)

이어서, 고주파 전원(4a, 31a)으로부터의 급전을 중지하여 처리실(21)내에서의 플라즈마의 발생을 정지한 후, 가스 공급계(46)로부터의 가스의 공급을 중지한다. 다음으로, 배기 장치(23)에 의해 처리실(21)내를 배기하여 잔존하고 있는 가 스를 제거하고 처리실(21)내를 소정의 진공도로 유지한다.Subsequently, the power supply from the high frequency power supplies 4a and 31a is stopped to stop the generation of the plasma in the processing chamber 21, and then the supply of the gas from the gas supply system 46 is stopped. Next, the exhaust device 23 exhausts the inside of the processing chamber 21 to remove any remaining gas, and maintains the inside of the processing chamber 21 at a predetermined vacuum degree.

가스 공급계(46)로부터 예컨대 O2 가스를 공급하여, 예컨대 주파수가 60MHz이고, 전력이 300W인 제 1 고주파를 상부 전극(4)에 공급하여 상기 가스를 플라즈마화하는 동시에, 예컨대 주파수가 2MHz이고, 전력이 300W인 제 2 고주파를 하부 전극(31)에 공급한다.For example, an O 2 gas is supplied from the gas supply system 46 to supply a first high frequency wave having a frequency of 60 MHz and a power of 300 W to the upper electrode 4 to plasma the gas, and for example, to have a frequency of 2 MHz. The second high frequency, the power of which is 300W, is supplied to the lower electrode 31.

이 플라즈마에 의해 도 3(c)에 나타낸 바와 같이 레지스트 마스크(51)가 애싱되어 제거된다.The resist mask 51 is ashed and removed by this plasma as shown in Fig. 3C.

이 때 플라즈마에 노출됨에 따라 전술한 에칭 공정에 있어서 형성되어 있었던 데미지층(60)이 더욱 두껍게 된다고 생각된다.It is thought that the damage layer 60 formed in the etching process mentioned above becomes thicker as it exposes to a plasma at this time.

(스텝 3: 수복 공정)(Step 3: Repair process)

고주파 전원(4a, 31a)으로부터의 급전을 중지하여 처리실(21)내에서의 플라즈마의 발생을 정지한 후, 가스 공급계(46)로부터의 가스의 공급을 중지한다. 다음으로, 배기 장치(23)에 의해 처리실(21)내를 배기하여 잔존하고 있는 가스를 제거하여 처리실(21)내를 소정의 진공도, 예컨대 1Pa(7.5mTorr)부터 10Pa(75mTorr)로 유지한다. 한편, 미리 가스 가열부(63)의 열원(65), 예컨대 텅스텐 필라멘트에 전원(66)으로부터 전력을 공급하여 1000℃로 유지해 둔다.After the power supply from the high frequency power supply 4a, 31a is stopped and generation | occurrence | production of the plasma in the process chamber 21 is stopped, supply of the gas from the gas supply system 46 is stopped. Next, the exhaust device 23 exhausts the inside of the processing chamber 21 to remove residual gas, and maintains the inside of the processing chamber 21 at a predetermined vacuum degree, for example, 1 Pa (7.5 mTorr) to 10 Pa (75 mTorr). On the other hand, electric power is supplied from the power supply 66 to the heat source 65 of the gas heating part 63, for example, tungsten filament, and is kept at 1000 ° C.

가스 공급원(45E)으로부터 CH3 라디칼 생성용 가스로서 예컨대 C8H18O2(다이-t-알킬퍼옥사이드(구조식: (CH3)3COOC(CH3)3) 가스를 가스 도입관(42E)을 통해서 가스 가열부(63)에 공급하여, 열원(65)의 열에 의해서 이 가스를 열분해한다. 열분 해에 의해 C8H18O2 가스는, 식 (1) 및 식 (2)에 나타내는 반응에 의해 CH3 라디칼로 되어, 처리실(21)내에 공급된다.As a gas for generating CH 3 radicals from the gas source 45E, for example, C 8 H 18 O 2 (di-t-alkylperoxide (formula: (CH 3 ) 3 COOC (CH 3 ) 3 )) gas is introduced into the gas inlet pipe 42E. ) Is supplied to the gas heating unit 63 to thermally decompose the gas by the heat of the heat source 65. The C 8 H 18 O 2 gas is represented by the equations (1) and (2) by thermal decomposition. The reaction forms CH 3 radicals and is supplied into the processing chamber 21.

C8H18O2 → 2(CH3)3CO ……(1)C 8 H 18 O 2 → 2 (CH 3 ) 3 CO... … (One)

(CH3)3CO → (CH3)2CO + CH3 ……(2)(CH 3 ) 3 CO → (CH 3 ) 2 CO + CH 3 . … (2)

이 상태를 소정의 시간, 예컨대 20분 유지함으로써, 도 4(d)에 나타낸 바와 같이 전술한 에칭 공정 및 애싱 공정에서의 플라즈마에 의해 SiOCH막(54)에 생성된 데미지층(60)은 수복된다. 이 반응을 식 (3) 및 식 (4)에 나타낸다.By maintaining this state for a predetermined time, for example, 20 minutes, the damage layer 60 generated in the SiOCH film 54 by the plasma in the above-described etching process and ashing process is repaired as shown in Fig. 4D. . This reaction is shown in Formulas (3) and (4).

SiO- + ·CH3 → SiOCH3 ……(3) SiO - + · CH 3 → SiOCH 3 ... … (3)

SiO2 + ·CH3 → SiOCH3 + O- ……(4) SiO 2 + · CH 3 → SiOCH 3 + O - ... … (4)

한편, ·CH3은 CH3 라디칼을 나타내고 있다. 또한, 이 반응 기구를 도 5에 나타낸다. 동 도 (a)에 나타낸 바와 같이, 에칭 공정 및 애싱 공정에서의 플라즈마에 의해, SiOCH막(54)의 표면의 Si는 C와의 결합을 끊고 있고, 댕글링 본드라고 불리는 불포화 결합 손을 생성하고 있다. SiOCH막(54)의 내부에도 이 댕글링 본드는 생성되어 있고, 그 깊이(데미지층(60)의 막 두께)는 SiOCH막(54)이 노출된 플라즈마의 양이 많을 수록 증가한다. 보통 이 댕글링 본드에는, 이후 예컨대 앞서 기술한 바와 같이 대기 중의 수분이 부착되어 Si-OH 결합을 생성하게 된다. On the other hand, CH 3 represents a CH 3 radical. In addition, this reaction mechanism is shown in FIG. As shown in the figure (a), by the plasma in the etching process and the ashing process, Si on the surface of the SiOCH film 54 breaks the bond with C and generates an unsaturated bond hand called a dangling bond. . This dangling bond is also formed inside the SiOCH film 54, and its depth (film thickness of the damage layer 60) increases as the amount of plasma to which the SiOCH film 54 is exposed increases. Usually this dangling bond will then attach moisture to the atmosphere, e.g., as previously described, to produce Si-OH bonds.

이 댕글링 본드에 대하여 CH3 라디칼을 공급하면, 동 도 (b)에 나타낸 바와 같이 Si-CH3 결합을 생성한다. 또한, SiOCH막(54)은 다공질체이며, 분자가 작은 CH3 라디칼은 SiOCH막(54)의 내부까지 침입할 수 있다. 이 때, 상술한 SiOCH막(54)의 표면에 결합된 CH3기는 작고, SiOCH막(54) 내부에 침입하고자 하는 CH3 라디칼에 대해서는 거의 입체 장해가 되지 않는다. 이 때문에, SiOCH막(54) 표면에 Si-CH3 결합이 형성된 후에도 CH3 라디칼은 SiOCH막(54) 내부에 침입하여 내부의 댕글링 본드와 결합하여 Si-CH3 결합을 생성하고, 데미지층(60)의 수복을 행할 수 있다.Supplying CH 3 radicals to this dangling bond produces Si—CH 3 bonds as shown in FIG. In addition, the SiOCH film 54 is a porous body, and CH 3 radicals having small molecules can invade the inside of the SiOCH film 54. At this time, the CH 3 group bonded to the surface of the SiOCH film 54 described above is small, and there is almost no steric hindrance to the CH 3 radicals to invade the SiOCH film 54. For this reason, even after the Si—CH 3 bond is formed on the surface of the SiOCH film 54, the CH 3 radicals penetrate into the SiOCH film 54 to combine with the dangling bond therein to generate the Si—CH 3 bond. (60) can be repaired.

한편, CH3 라디칼은 동일 평면 상에 각 원자가 배열하는 구조이며, SiOCH막(54) 상에 퇴적물의 퇴적은 거의 일어나지 않기 때문에, 선택적으로 댕글링 본드와 결합할 수 있다.On the other hand, the CH 3 radical is a structure in which each atom is arranged on the same plane, and since deposition of deposits hardly occurs on the SiOCH film 54, it can be selectively combined with dangling bonds.

또한, CH3 라디칼은 다른 CH3 라디칼, C8H18O2의 분해에 의해서 생성된 다른 화합물 또는 한 번 수복된 댕글링 본드 등과 반응하지 않기 때문에, 웨이퍼(W)에 대하여 CH3 라디칼이 불균일하게 공급되었다고 해도, 후술하는 실험예로부터도 알 수 있는 바와 같이, 처리실(21)내에 장시간 체류하기 때문에, 웨이퍼(W)의 면내에서 균일성 높게 수복을 행할 수 있다.In addition, since the CH 3 radical does not react with other CH 3 radicals, other compounds produced by decomposition of C 8 H 18 O 2 , or once restored dangling bonds, the CH 3 radicals are nonuniform with respect to the wafer W. Even if supplied, as can be seen from the experimental example described later, since it stays in the processing chamber 21 for a long time, the repair can be performed uniformly in the plane of the wafer W.

한편, 이 예에서는 CH3 라디칼의 공급구를 처리실(21)의 측벽에 1개소에 설치하고 있지만, 처리실(21)의 주위 방향에 복수 설치하여도 좋으며, 이 경우에는 웨이퍼(W)의 면내에 있어서 보다 한층 높은 균일성을 가져 데미지층(60)의 수복을 행하는 것을 기대할 수 있다. 한편, 그와 같은 구성으로 한 경우, 라디칼의 공급량을 늘릴 수 있기 때문에, 데미지층(60)의 수복을 빠르게 할 수 있다. 또한, 배기구(22)에 대해서도, 웨이퍼(W)의 주위 방향에 복수 개소 설치하여, 웨이퍼(W)의 면내 균일성을 높이도록 하여도 좋다.On the other hand, in this example, but installed in one position the inlet of the CH 3 radical in the side wall of the treatment chamber (21), bonded to each other to a plurality provided around the direction of the treatment chamber 21, in this case the plane of the wafer (W) Therefore, the damage layer 60 can be repaired with higher uniformity. On the other hand, in such a configuration, since the supply amount of radicals can be increased, the damage layer 60 can be repaired quickly. In addition, the exhaust port 22 may also be provided in plural places in the circumferential direction of the wafer W to increase the in-plane uniformity of the wafer W.

여기서, 식 (1) 및 식 (2)에 있어서 생성된 CH3 라디칼 이외의 화합물은, SiOCH막(54)과의 반응 확률이 낮기 때문에 SiOCH막(54)에 작용하지 않고, 배기구(22)로부터 배출되어 있다고 생각된다.Here, the compounds other than the CH 3 radicals generated in the formulas (1) and (2) do not act on the SiOCH film 54, because the reaction probability with the SiOCH film 54 is low. I think it is exhausted.

CH3 라디칼을 생성하기 위한 가스로서의 예로는 C8H18O2 가스를 이용하지만, 이에 한정되지 않으며, 예컨대 메테인(CH4), 아조메테인((CH3)2N2, (CH3)3N), 2,2'-아조비스아이소뷰틸나이트릴((CH3)2C(CN)N=N(CN)C(CH3)2), 다이메틸아민((CH3)2NH), 네오펜테인(C(CH3)4) 등의 CH3 라디칼을 선택적으로 생성하고, SiOCH막(54) 등에 대하여 부착 계수가 큰 CH, CH2 및 C의 생성량이 적은 가스를 사용하여도 상관없다. 또한, CH3 라디칼을 생성하기 위해, 그 예로서는 텅스텐 필라멘트 등의 열원(65)에 의해 열분해를 했지만, 그 이외 예컨대 촉매 CVD법에 의한 분해법이나 빛 등, CH, CH2 및 C의 생성량이 적고, CH3 라디칼을 선택적으로 생성하는 방법을 이용할 수도 있다.Examples of gases for generating CH 3 radicals include, but are not limited to, C 8 H 18 O 2 gas, for example methane (CH 4 ), azomethane ((CH 3 ) 2 N 2 , (CH 3) ) 3 N), 2,2'-azobisisobutyl nitrile ((CH 3 ) 2 C (CN) N = N (CN) C (CH 3 ) 2 ), dimethylamine ((CH 3 ) 2 NH ) And selectively generate CH 3 radicals such as neopentane (C (CH 3 ) 4 ), and use a gas having a small amount of generation of CH, CH 2 and C having a large adhesion coefficient to the SiOCH film 54 or the like. Does not matter. In addition, in order to generate CH 3 radicals, pyrolysis was performed by a heat source 65 such as tungsten filament as an example, but in addition, the amount of generation of CH, CH 2 and C, such as decomposition by the catalytic CVD method and light, is small. It is also possible to use a method of selectively generating CH 3 radicals.

한편, 이러한 SiOCH막(54)에 대한 수복 공정을 행한 후, 예컨대 오목부(57) 에 희생막이 되는 유기막을 매립하고, 이 유기막을 이용하여 오목부(57)를 가공하여 Cu를 매립하여 배선 구조를 형성한다.On the other hand, after performing such a repair process on the SiOCH film 54, an organic film serving as a sacrificial film is embedded in the concave portion 57, for example, the concave portion 57 is processed using this organic film to embed Cu to form a wiring structure. To form.

상술한 실시형태에 의하면, SiOCH막(54)에 대하여 플라즈마 처리로서 에칭 및 애싱을 행한 후, 플라즈마에 의해 생성된 SiOCH막(54) 중의 데미지층(60)을 CH3 라디칼에 의해 수복하는 수복 공정을 행하고 있고, SiOCH막(54)의 원소의 조성비를 플라즈마 처리를 하기 이전의 조성비에 가깝게 할 수 있어, 이 때문에 SiOCH막(54)의 유전율의 저하가 억제되기 때문에, 예정하는 전기적 특성을 갖는 반도체 장치를 얻을 수 있다. According to the above-described embodiment, after the etching and ashing of the SiOCH film 54 as a plasma treatment, a repair step of repairing the damage layer 60 in the SiOCH film 54 generated by plasma with CH 3 radicals. And the composition ratio of the elements of the SiOCH film 54 can be made close to the composition ratio before performing the plasma treatment, and therefore, the decrease in the dielectric constant of the SiOCH film 54 is suppressed, so that the semiconductor having predetermined electrical characteristics Get the device.

이 수복 공정은, 후술하는 실험예로부터도 분명한 바와 같이, 웨이퍼(W)의 표면에 형성된 홈 등의 오목부의 측벽에 대하여 행할 수 있고, 홈 등의 폭이 좁은 경우 예컨대 180㎚ 정도이어도 수복할 수 있다.As is apparent from the experimental example described later, this repair step can be performed on the sidewalls of recesses such as grooves formed on the surface of the wafer W. When the width of the grooves is narrow, the repair process can be performed even at about 180 nm. have.

CH3 라디칼에 의한 수복 공정은, 다른 막, 반도체 장치의 특성이나 플라즈마 처리 장치(2)에 대하여 악영향을 미치게 하지 않기 때문에, 반도체 장치의 전기적 특성이 원하는 레벨이 될 때까지, SiOCH막(54)의 데미지층(60)의 수복을 계속할 수 있다.Since the repair process by CH 3 radicals does not adversely affect other films, the characteristics of the semiconductor device, or the plasma processing apparatus 2, the SiOCH film 54 until the electrical characteristics of the semiconductor device are at a desired level. Repair of the damage layer 60 can continue.

또한, 본 발명의 플라즈마 처리 장치(2)는, 웨이퍼(W)를 처리실(21)내로부터 반입출하지 않고, SiOCH막(54)의 에칭 공정, 애싱 공정 및 수복 공정을 같은 처리실(21)내에 있어서, 사용 가스나 처리 압력 등의 프로세스 조건을 변경함으로써 행할 수 있다. 이 때문에, Si의 댕글링 본드에 대한 OH기의 부착을 억제함으로써, 플라즈마 처리 후의 OH기를 제거하는 공정을 행하지 않고서 수복 공정을 행할 수 있고, 또한 쓰루풋(throughput) 및 장치의 설치 스페이스에 있어서도 유리하다. 수복 공정은, SiOCH막(54)의 에칭 공정 및 애싱 공정을 끝낸 후에 행할 수 있지만, 에칭 공정 및 애싱 공정의 각각의 이후에 행하여도 상관없다.In addition, the plasma processing apparatus 2 of the present invention carries out the etching process, the ashing process, and the repair process of the SiOCH film 54 in the same process chamber 21 without carrying in and out of the wafer W from the process chamber 21. This can be done by changing the process conditions such as the used gas and the processing pressure. For this reason, by suppressing adhesion of the OH group to the dangling bond of Si, a repair process can be performed without performing the process of removing the OH group after the plasma treatment, and is also advantageous in throughput and installation space of the apparatus. . Although a repair process can be performed after finishing the etching process and the ashing process of the SiOCH film 54, you may perform after each of an etching process and an ashing process.

본 발명에 있어서 플라즈마 처리를 하는 웨이퍼(W)는, SiOCH막(54) 등의 절연막 상에 직접 레지스트 마스크(51)가 형성되어 있어도 좋고, SiOCH막(54) 등의 절연막 상에 형성된 SiO2막(59) 등의 하드 마스크와 레지스트 마스크(51) 사이에 예컨대 노광시의 반사를 방지하기 위한 반사 방지막이 형성되어 있어도 상관없다.In the present invention, in the wafer W subjected to plasma treatment, a resist mask 51 may be formed directly on an insulating film such as an SiOCH film 54, or a SiO 2 film formed on an insulating film such as an SiOCH film 54. For example, an antireflection film may be formed between the hard mask such as (59) and the resist mask 51 to prevent reflection during exposure.

본 발명에서의 데미지층(60)의 수복은, SiOCH막(54)에 한정되지 않고, Si, O, C 및 H로 이루어지고, 플라즈마 또는 방사선 등의 빛에 의해 C의 탈리를 일으키는 막, 예컨대 MSQ(메틸-하이드로젠-실세스-퀴옥세인)막 또는 HSQ(하이드로젠-실세스-퀴옥세인; Hydrogen-Silses-Quioxane)막 등에 대하여 행할 수 있다.The repair of the damage layer 60 in the present invention is not limited to the SiOCH film 54, but is made of Si, O, C and H, and a film causing desorption of C by light such as plasma or radiation, for example MSQ (methyl-hydrogen-silses-quioxane) film, HSQ (hydrogen-silses-quioxane) Hydrogen-Silses-Quioxane) film, etc. can be performed.

또한, 에칭에 의해 오목부가 형성되는 층간 절연막 등의 막의 위쪽에 형성되고, 애싱 공정에 의해 제거되는 유기막에 대하여 CH3 라디칼에 의한 처리를 행하여, 에칭 공정에서의 플라즈마에 대한 내성이 높은 유기막으로 개질할 수 있다.In addition, an organic film formed on top of a film such as an interlayer insulating film in which recesses are formed by etching, and treated with CH 3 radicals to the organic film removed by the ashing process, and has an organic film having high resistance to plasma in the etching process. Can be modified.

한편, 본 발명은, 에칭이나 애싱이 실시된 SiOCH막(54)에 대하여 적용하는 것에 한정되지 않고, 예컨대 SiOCH막(54) 위에 적층된 적층물을 박리함으로써 SiOCH막(54)이 데미지를 입은 경우, 그 후처리로서 적용할 수도 있다.On the other hand, the present invention is not limited to being applied to the SiOCH film 54 subjected to etching or ashing, and the SiOCH film 54 is damaged by, for example, peeling off a laminate stacked on the SiOCH film 54. It can also be applied as a post-treatment.

본 발명에 이용한 CH3 라디칼을 얻기 위해서는, C8H18O2 가스에 제한되지 않 고, 앞서 기술한 바와 같은 CH3기를 갖는 가스의 열분해를 이용할 수도 있고, 열 분해에 한정되지 않고 광 에너지 등을 이용할 수도 있다.In order to obtain the CH 3 radical used in the present invention, not only C 8 H 18 O 2 gas, but also thermal decomposition of a gas having a CH 3 group as described above may be used, and not limited to thermal decomposition, but not limited to light energy and the like. Can also be used.

본 발명에 이용하는 플라즈마 처리 장치(2)로서, 처리 가스를 플라즈마화하기 위한 제 1 고주파는 상부 전극(4) 대신에 하부 전극(31)에 공급하도록 하고, 이른 바 하부 2 주파의 구성의 장치를 채용할 수도 있다.In the plasma processing apparatus 2 used in the present invention, the first high frequency wave for converting the processing gas into the lower electrode 31 is supplied instead of the upper electrode 4, so that the device having the configuration of the so-called lower two frequencies is provided. It is also possible to employ.

이 예에 있어서, 가스 가열부(63)는 처리실(21)의 외부에 설치되어 있지만, 이에 한정되지 않는다. 처리실(21)내에 CH3 라디칼 생성용 가스를 공급하고, 처리실(21)내에 열원(65)을 설치하여, 처리실(21)내에서 CH3 라디칼을 생성하도록 구성할 수도 있다.In this example, although the gas heating part 63 is provided outside the process chamber 21, it is not limited to this. The gas for generating CH 3 radicals may be supplied into the process chamber 21, and a heat source 65 may be provided in the process chamber 21 to generate CH 3 radicals in the process chamber 21.

여기서, 이 예에 있어서 플라즈마 처리 장치(2)는 가스 가열부(63)를 구비하고, 라디칼에 의한 처리와 플라즈마 처리를 같은 처리실(21)에 있어서 행하도록 구성되어 있지만, 각 처리를 다른 처리실내에 있어서 행하도록 하여도 상관없다. 이 구성의 일례를 도 6에 나타낸다. 도 6 중 70은 라디칼에 의한 처리와 플라즈마 처리를 행하기 위한 클러스터 툴 혹은 멀티 챔버 등으로 불리는 반도체 제조 장치를 나타내고 있고, 71, 72는 웨이퍼(W)의 반송 용기인 캐리어(C)가 게이트 도어(GT)를 통해 대기측으로부터 반입되는 캐리어실이고, 73은 제 1 반송실, 74, 75는 예비진공실, 76은 제 2 반송실이며, 이들은 기밀 구조로 되어 있어, 대기측과는 구획되고, 진공 분위기 또는 불활성 분위기로 할 수 있다. 77은 제 1 반송 수단, 78은 후술하는 플라즈마 처리용의 처리 용기와 데미지층의 수복을 행하기 위한 처리 용 기 사이에서 피처리체를 반송하도록 설치된 제 2 반송 수단이다. 또한, 제 2 반송실(76)에는, 플라즈마 처리 장치(80)와, 플라즈마에 의해 생성된 데미지층(60)을 라디칼을 이용하여 수복하기 위한 라디칼 처리 장치(81)가 기밀하게 접속되어 있다. 플라즈마 처리 장치(80)의 내부에는 도시하지 않은 플라즈마 처리용의 처리 용기가 설치되어 있고, 플라즈마 처리용의 가스를 공급하는 수단인 도시하지 않은 가스 공급관이 접속되어 있다. 또한, 이 처리 용기의 내부에는, 가스 공급관으로부터 공급된 처리 가스를 플라즈마화하기 위한 수단인 도시하지 않은 한 쌍의 고주파 전극이 설치되어 있다. 여기서, 82로서 추가로 플라즈마 처리 장치(80)나 라디칼 처리 장치(81) 등의 처리 장치를 설치할 수도 있다.Here, in this example, the plasma processing apparatus 2 is provided with the gas heating part 63, and is comprised so that a process by radical and a plasma process may be performed in the same process chamber 21, but each process is performed in another process chamber. You may do in the process. An example of this structure is shown in FIG. In FIG. 6, 70 shows a semiconductor manufacturing apparatus called a cluster tool or a multi-chamber, etc. for performing a radical process and a plasma process, and 71 and 72 show the carrier C which is a conveyance container of the wafer W is a gate door. Carrier chamber carried in from the air side via GT, 73 is a 1st conveyance chamber, 74, 75 are a prevacuum chamber, 76 is a 2nd conveyance chamber, These are airtight structures, Comprising: It can be set as a vacuum atmosphere or an inert atmosphere. 77 is a first conveying means, 78 is a second conveying means provided so as to convey a to-be-processed object between the processing container for plasma processing mentioned later, and the processing container for repair of a damage layer. Moreover, the plasma processing apparatus 80 and the radical processing apparatus 81 for repairing the damage layer 60 produced | generated by plasma using a radical are connected to the 2nd conveyance chamber 76 by airtightness. Inside the plasma processing apparatus 80, a processing container for plasma processing (not shown) is provided, and a gas supply pipe (not shown) which is a means for supplying gas for plasma processing is connected. In addition, a pair of high frequency electrodes (not shown), which are means for plasmalizing the processing gas supplied from the gas supply pipe, are provided inside the processing container. Here, as 82, processing apparatuses, such as the plasma processing apparatus 80 and the radical processing apparatus 81, can also be provided.

도 6의 반도체 제조 장치(70)에 있어서, 캐리어(C) 내의 웨이퍼(W)는, 예컨대 제 1 반송 수단(77)으로부터 예비진공실(74)(또는 75) 및 제 2 반송 수단(78)을 지나서 플라즈마 처리 장치(80)로 반송되어, 앞서 기술한 바와 같이 에칭 공정 및 애싱 공정 등의 플라즈마 처리가 행해진다. 그 후, 웨이퍼(W)는 제 2 반송 수단(78)을 통해 라디칼 처리 장치(81)로 반입되어, 앞서 기술한 수복 공정이 행해진다. 이 때 제 2 반송실(76)내는 진공 분위기가 되고, Si의 댕글링 본드에 대한 OH기 등의 부착을 억제할 수 있다. 이 제 2 반송실(76)의 분위기는 진공 분위기인 것이 바람직하지만, 그 외 예컨대 Ar이나 N2 등의 O를 포함하지 않는 불활성 분위기로 하여도 좋다.In the semiconductor manufacturing apparatus 70 of FIG. 6, the wafer W in the carrier C moves, for example, the prevacuum chamber 74 (or 75) and the second conveying means 78 from the first conveying means 77. After that, it is conveyed to the plasma processing apparatus 80, and plasma processing, such as an etching process and an ashing process, is performed as mentioned above. Thereafter, the wafer W is carried into the radical processing apparatus 81 via the second conveying means 78, and the above-described repair process is performed. At this time, the inside of the 2nd conveyance chamber 76 becomes a vacuum atmosphere, and adhesion of OH group etc. with respect to Si dangling bond can be suppressed. Atmosphere of the second transfer chamber 76 is preferably in a vacuum environment, but may be in other example Ar or an inert atmosphere that does not contain the N 2 O and the like.

여기서, 웨이퍼(W)의 수복 공정을 행하기 위한 라디칼 처리 장치(81)를 도 7 을 이용하여 간단히 설명한다. 도 7(a) 중 82는 진공 챔버로 이루어진 데미지층의 수복을 행하기 위한 처리 용기이며, 이 처리 용기(82)의 내부에는 웨이퍼(W)의 탑재대(83), 열원(84), CH3 라디칼 생성용 가스를 공급하는 가스 공급부(85)가 설치되어 있다. 처리 용기(82)의 측면에는 웨이퍼(W)를 탑재대(83)와 앞서 기술한 제 2 반송 수단(78) 사이에서 주고 받기 위한 개구부(82a)와 게이트 벨브(82b)가 설치되어 있다. 처리 용기(82)의 하부에는 개구부(82c)가 설치되고, 배기관(89)을 통해 진공 배기하는 배기 장치(90)에 의해서 처리 용기(82) 내부의 배기를 행할 수 있다. 또한, 탑재대(83)에는 도시하지 않은 온도 센서 및 웨이퍼(W)의 냉각 기구가 매설되어, 웨이퍼(W)의 온도를 제어하도록 구성되어 있다. 가스 공급부(85)에는 복수의 작은 구멍(86)이 개구하여 있고, 가스 공급관(87)을 통해 가스 공급원(88)으로부터 가스를 탑재대(83)로 향해 균등하게 공급하도록 구성되어 있다. 가스 공급부(85)와 탑재대(83) 사이에는 열원(84), 예컨대 텅스텐 필라멘트가 설치되어 있고, 도 7(b)에 나타낸 바와 같이, 처리 용기(82)의 외부에 설치된 도시하지 않은 전원에 접속되어, 가스 공급부(85)로부터 공급되는 가스를 열분해하여 웨이퍼(W)에 공급하기 위해, 가스와의 접촉 면적이 커지도록 예컨대 주름 상자 형상으로 구성되어 있다.Here, the radical processing apparatus 81 for performing the repair process of the wafer W is demonstrated briefly using FIG. In FIG.7 (a), 82 is a processing container for repairing the damage layer which consists of a vacuum chamber, The inside of this processing container 82 is a mounting table 83 of the wafer W, the heat source 84, and CH. The gas supply part 85 which supplies the 3 radical generation gas is provided. The side surface of the processing container 82 is provided with an opening 82a and a gate valve 82b for sending and receiving the wafer W between the mounting table 83 and the second transfer means 78 described above. The opening part 82c is provided in the lower part of the processing container 82, and the inside of the processing container 82 can be exhausted by the exhaust apparatus 90 which vacuum-exhausts through the exhaust pipe 89. FIG. Moreover, the mounting table 83 is equipped with the temperature sensor (not shown) and the cooling mechanism of the wafer W, and is comprised so that the temperature of the wafer W may be controlled. A plurality of small holes 86 are opened in the gas supply part 85, and the gas supply part 85 is configured to uniformly supply gas from the gas supply source 88 toward the mounting table 83 through the gas supply pipe 87. A heat source 84, for example, tungsten filament, is provided between the gas supply unit 85 and the mounting table 83, and as shown in FIG. 7 (b), a power source (not shown) provided outside of the processing container 82 is provided. In order to be connected and thermally decompose the gas supplied from the gas supply part 85, and to supply it to the wafer W, it is comprised, for example in the shape of a wrinkle box so that the contact area with gas may become large.

전술한 제 2 반송 수단(78)에 의해, 처리 용기(82)의 개구부(82a)를 통해 탑재대(83)에 탑재된 웨이퍼(W)는, 상술한 탑재대(83)에 설치된 정전 척에 의해서 탑재대(83)에 흡착된다. 이어서 배기관(89)을 통해 배기 장치(90)에 의해서 소정의 진공도가 되도록 처리 용기(82) 내부의 압력이 제어됨과 동시에, 가스 공급관(87)을 통해 가스 공급원(88)으로부터 라디칼을 발생시키기 위한 가스, 예컨대 C8H18O2 가스가 처리 용기(82) 내에 공급된다. 그리고, 가스는 미리 예컨대 1000℃로 가열된 열원(84)을 통해, 이 열에 의해 열분해되어 주로 CH3 라디칼을 생성하여, 웨이퍼(W)에 공급된다. 웨이퍼(W)에서는 앞서 기술한 바와 같이 데미지층(60)의 수복이 행해진다. 소정 시간의 수복이 행해진 후, 웨이퍼(W)는 반입된 순서와 역의 순서로 라디칼 처리 장치(81) 및 반도체 제조 장치(70)로부터 반출된다.The wafer W mounted on the mounting table 83 through the opening 82a of the processing container 82 by the second conveying means 78 described above is attached to the electrostatic chuck installed on the mounting table 83. By the mounting table 83. Subsequently, the pressure inside the processing vessel 82 is controlled by the exhaust device 90 through the exhaust pipe 89 so as to generate a predetermined degree of vacuum, and at the same time, the gas supply pipe 87 generates the radicals from the gas supply source 88. A gas, such as a C 8 H 18 O 2 gas, is supplied into the processing vessel 82. Then, the gas is thermally decomposed by the heat, for example, through a heat source 84 previously heated to, for example, 1000 占 폚, mainly producing CH 3 radicals, and supplied to the wafer (W). In the wafer W, as described above, the damage layer 60 is repaired. After the restoration of the predetermined time is performed, the wafer W is carried out from the radical processing apparatus 81 and the semiconductor manufacturing apparatus 70 in the reverse order of the loading.

상술한 구성으로 함으로써, 웨이퍼(W)가 플라즈마 처리 장치(80)에 있어서 처리되는 시간이 단축되기 때문에, 생산성을 향상시킬 수 있다. 또한, 라디칼은 웨이퍼(W)의 위쪽으로부터 공급되고 있고, 웨이퍼(W)에 대하여 매우 균일하게 공급되기 때문에, 웨이퍼(W)의 면내에서의 수복을 균일하게 행할 수 있다.By setting it as the structure mentioned above, since the time which the wafer W is processed in the plasma processing apparatus 80 is shortened, productivity can be improved. In addition, since radicals are supplied from the upper side of the wafer W and are supplied very uniformly to the wafer W, the repair in the surface of the wafer W can be performed uniformly.

이 예에서는 데미지층(60)의 수복이 행해지는 처리 용기(82)내에 있어서 CH3 라디칼을 생성하는 구성으로 했지만, 이것에 한정되지 않고, 처리 용기(82)의 외부에 별도의 가스 분해부를 설치하고, 이 내부에 열원(84)을 설치하여 CH3 라디칼 생성용 가스를 열분해하여, 처리 용기(82) 내부에 공급하도록 할 수도 있다.In this example, the installation separate gas decomposition section to the outside of the damaged layer 60 is repaired is performed, but the structure for generating a CH 3 radical, within the processing vessel 82, is not limited to this, the processing vessel 82 of the In addition, a heat source 84 may be provided therein to thermally decompose the gas for generating CH 3 radicals, and to supply the inside of the processing container 82.

실시예Example

다음으로, 본 발명의 효과를 확인하기 위해서 행한 실험에 대하여 설명한다. 각 실험에 있어서 웨이퍼(W)에 대하여 플라즈마 처리를 하는 장치로서 도 1에 나타 내는 플라즈마 처리 장치(2)를 이용했다. 한편, 처리실(21)의 측벽에 QMS(4중극 질량 분석계)의 검지기를 설치하고, 처리실(21)내에 통류하는 라디칼의 종류를 분석할 수 있도록 구성했다.Next, the experiment performed to confirm the effect of this invention is demonstrated. In each experiment, the plasma processing apparatus 2 shown in FIG. 1 was used as an apparatus which performs a plasma processing with respect to the wafer W. As shown in FIG. On the other hand, the detector of QMS (quadrupole mass spectrometer) was installed in the side wall of the process chamber 21, and it was comprised so that the kind of radical which flows in the process chamber 21 can be analyzed.

(실험예 1 : 수복 공정에서의 처리 시간과 수복량과의 상관 확인)(Experimental Example 1: Checking the correlation between the treatment time and the amount of repair in the repair process)

실험에는 도 8(a)에 나타낸 바와 같이, 직경 8인치(200㎜)의 베어 실리콘 웨이퍼 상에, SiOCH막(54)을 전면에 형성한 테스트용의 웨이퍼(W)를 이용하고, 플라즈마에 의한 데미지층(60)을 생성하기 위해 이하의 프로세스 조건에서의 플라즈마 처리를 행했다. 한편, 이 플라즈마 처리란, 앞서 기술한 스텝 1 및 스텝 2에서의 에칭 공정이나 애싱 공정 등을 상정하고 있다.In the experiment, as shown in Fig. 8A, a test wafer W having a SiOCH film 54 formed on the entire surface of a bare silicon wafer having a diameter of 8 inches (200 mm) was used. In order to produce the damage layer 60, plasma processing was performed under the following process conditions. In addition, this plasma process assumes the etching process, ashing process, etc. in step 1 and step 2 mentioned above.

(플라즈마 처리)(Plasma treatment)

상부 전극(4)의 주파수: 60MHzFrequency of upper electrode 4: 60 MHz

상부 전극(4)의 전력: 300WPower of the upper electrode 4: 300 W

하부 전극(31)의 주파수: 2MHzFrequency of lower electrode 31: 2 MHz

하부 전극(31)의 전력: 0WPower of the lower electrode 31: 0 W

처리 압력: 1.3Pa(9.75mTorr)Processing pressure: 1.3 Pa (9.75 mTorr)

처리 가스: O2=300sccmProcess gas: O 2 = 300 sccm

처리 시간: 10secProcessing time: 10sec

다음으로, 상기의 플라즈마 처리를 실시한 웨이퍼(W)에 대하여, 이하의 프로세스 조건에 있어서 각각 수복 공정을 행했다.Next, the repair process was performed on the wafer W which performed the said plasma processing on the following process conditions, respectively.

(수복 공정)(Repair process)

처리 가스: C8H18O2=300sccmProcess gas: C 8 H 18 O 2 = 300 sccm

처리 압력: 5.3Pa(39.75mTorr)Processing pressure: 5.3 Pa (39.75 mTorr)

열원(65)의 온도: 1000℃Temperature of heat source 65: 1000 ° C

처리 시간은, 1분, 3분, 5분, 7분, 9분, 15분 및 25분으로 설정했다. 한편, 참고예로서, 상기 플라즈마 처리를 실시한 후, 수복 공정을 행하지 않은 샘플도 준비했다.Treatment time was set to 1 minute, 3 minutes, 5 minutes, 7 minutes, 9 minutes, 15 minutes, and 25 minutes. On the other hand, as a reference example, after performing the said plasma processing, the sample which did not perform the repair process was also prepared.

실험 결과Experiment result

각 웨이퍼(W)에 대하여 상기의 처리를 행한 후, 웨이퍼(W)를 처리실(21)로부터 대기 중에 취출하여, 소정의 실험 장치내에서 다음의 측정을 행했다. 우선, 도 8(a)에 나타낸 바와 같이, 분광 엘립소메트리에 의해 데미지층(60)의 막 두께 D를 측정하여, 이 결과를 도 9(a)에 나타내었다. 또한, XPS(X선 광전자 분광 분석법)에 의해 SiOCH막(54)의 표면의 원소 분석을 행하고, Si 원소량에 대한 C 및 O의 원소량의 비를 계산하여 동 도 (b)에 나타내었다. 상기의 플라즈마 처리를 행하기 전의 웨이퍼(W)에 대해서도 이 원소 분석을 행하여 동 도 (b)의 왼쪽에 나타내었다.After performing the said process with respect to each wafer W, the wafer W was taken out from the process chamber 21 in air | atmosphere, and the following measurement was performed in the predetermined experimental apparatus. First, as shown in Fig. 8A, the film thickness D of the damage layer 60 was measured by spectroscopic ellipsometry, and the result is shown in Fig. 9A. In addition, elemental analysis of the surface of the SiOCH film 54 was carried out by XPS (X-ray photoelectron spectroscopy), and the ratio of the element amounts of C and O to the amount of Si elements was calculated and shown in FIG. This elemental analysis was also performed on the wafer W before the above plasma treatment, and is shown on the left side of FIG.

한편, 이 실험에서는 SiOCH막(54)의 표면 뿐만 아니라 내부까지 데미지층(60)의 측정을 행하기 위해 데미지층(60)의 막 두께 이상의 측정 심도를 갖는 측정 장치를 이용했다. 즉, CH3 라디칼에 의한 수복은 SiOCH막(54)의 표면으로부터 시작되어 내부로 진행해 나가기 때문에, 데미지층(60)의 막 두께 전체를 비파괴로 측정가능한 장치로 했다. 단, 도 8(a)의 D는 SiOCH막(54)의 표면에서의 막 두께로서 간략화하여 나타내고 있다.On the other hand, in this experiment, in order to measure the damage layer 60 not only on the surface of the SiOCH film 54 but also on the inside, a measuring apparatus having a measurement depth equal to or greater than the film thickness of the damage layer 60 was used. That is, since the repair by the CH 3 radicals starts from the surface of the SiOCH film 54 and proceeds to the inside, the entire thickness of the damage layer 60 can be measured as a non-destructive device. D in FIG. 8A is simplified as the film thickness on the surface of the SiOCH film 54.

도 9(a)에 있어서, 수복 공정의 처리 시간을 증가시킴에 따라, 데미지층(60)의 막 두께 D가 감소해 나가는 것을 알 수 있다. 25분의 처리에서는 SiOCH막(54)의 표면으로부터 약 20㎚의 깊이까지 수복이 진행되어 있는 것을 알 수 있다. 실험 결과의 데이타에 따라 계산한 1차 근사 곡선으로부터, 이 데미지층(60)의 막 두께 D는 50분 정도에서 제로(0)가 되어, 플라즈마 처리를 실시하기 전의 상태로 되돌아간다고 예상할 수 있다.In FIG. 9A, it can be seen that as the processing time of the repair process is increased, the film thickness D of the damage layer 60 decreases. In the 25 minute process, it can be seen that the restoration proceeds from the surface of the SiOCH film 54 to a depth of about 20 nm. From the first approximation curve calculated according to the data of the experimental results, it can be expected that the film thickness D of the damage layer 60 becomes zero (0) in about 50 minutes and returns to the state before the plasma treatment. .

도 9(b)에 있어서, 플라즈마 처리에 의해 C의 비율이 감소하고 있는 것으로부터(처리 시간 0분 참조), 앞서 기술한 바와 같은 데미지층(60)은 SiOCH막(54)으로부터의 C의 탈리에 의한 것이라고 생각된다. 또한, O의 비율이 증가하고 있는 것으로부터, 이에 대해서도 앞서 기술한 바와 같이 C가 탈리된 댕글링 본드와 대기 중의 OH기 등이 결합하고 있는 것을 나타낸다고 생각된다.In Fig. 9 (b), since the ratio of C is decreased by the plasma treatment (see processing time 0 minutes), the damage layer 60 as described above desorbs C from the SiOCH film 54. I think it is by. In addition, since the ratio of O is increasing, it is thought that this also shows that the dangling bond from which C was desorbed, the OH group, etc. in the atmosphere couple | bonded as mentioned above.

C와 O의 원소량은 수복 공정에 의해 플라즈마 처리 이전의 값에 가까이 가고 있다. 그러나, 25분 처리를 행한 경우, O의 비율은 플라즈마 처리 이전의 값에 꽤 가까이 가고 있지만, C의 비율은 플라즈마 처리 이전의 2/3 정도에 그치고 있다. 이는, 한번 OH기 등과 결합한 Si의 댕글링 본드에 있어서는, CH3 라디칼에 의한 OH기 등의 탈리와 그 후의 CH3기의 결합이라고 한 과정을 지나서, OH기 등의 탈리로부 터 CH3기의 결합까지의 시간 차를 발생시키기 때문이라고 생각된다.The element amounts of C and O are close to the values before the plasma treatment by the repair process. However, in the case of 25 minutes of treatment, the ratio of O is quite close to the value before the plasma treatment, but the ratio of C is only about two thirds of the plasma treatment. Which, once in the dangling bonds of Si bonded as OH groups, as a combination of desorption and the subsequent CH 3 group such as OH group by a CH 3 radical after the process, by desorption, such as OH group portion emitter CH 3 group It is thought that this is because the time difference until the combination of the two is generated.

또한, 동 도 (a), (b)의 수복의 정도를 나타내는 그래프의 경사로부터, CH3 라디칼은 수복 처리 15분 정도까지는 SiOCH막(54)의 표면의 수복을 행하고, 그 이후는 SiOCH막(54) 내부의 수복을 행하고 있다고 생각된다. 즉, 수복 처리 15분 정도까지는 그래프의 경사는 완만하고, 그 후 급준한 경사로 되어 있기 때문에, 처음은 웨이퍼(W)의 표면에 확산되고, 그 후 내부에 침투하고 있다고 생각된다.In addition, the diagram (a), from the inclination of the graph, CH 3 radical represents a degree of restoration of (b) is subjected to surface restoration of the restoration process 15 minutes SiOCH film 54 to the extent, and after the SiOCH film ( 54) It is thought that internal repair is being performed. That is, since the inclination of the graph is gentle until it is about 15 minutes of a restoration process, and it becomes a steep incline after that, it is thought that it spreads on the surface of the wafer W at first, and has penetrated inside after that.

(실험예 2: 웨이퍼(W)의 면내에서의 수복 정도의 균일성)(Experimental example 2: Uniformity of the repair degree in surface of the wafer W)

다음으로 이하의 프로세스 조건에 있어서 각 처리를 행했다.Next, each process was performed on the following process conditions.

실시예 2Example 2

이하의 프로세스 조건 이외는 실험예 1과 같은 조건으로 플라즈마 처리 및 수복 공정을 행했다.Except the following process conditions, plasma processing and repair processes were performed under the same conditions as in Experimental Example 1.

(수복 공정)(Repair process)

처리 시간: 18분Processing time: 18 minutes

참고예 2Reference Example 2

실험예 1과 같은 조건으로 플라즈마 처리를 행하고 수복 공정은 행하지 않았다.Plasma treatment was performed under the same conditions as in Experimental Example 1, and the repair process was not performed.

실험 결과Experiment result

처리 후의 웨이퍼(W)에 대하여, 실험예 1과 같이 분광 엘립소메트리에 의해 데미지층(60)의 막 두께 D를 웨이퍼(W)의 X 방향 및 Y 방향에 있어서, 각 5점을 측 정했다. 여기서, CH3 라디칼의 공급구는 웨이퍼(W)의 중심부를 향하고 있고, 그 공급구와 웨이퍼(W)의 중심부를 잇는 라인의 신장 방향을 Y 방향, 이 Y 방향에 직교하는 방향을 X 방향으로 하고 있다.For the wafer W after the treatment, the film thickness D of the damage layer 60 was measured in each of five points in the X direction and the Y direction of the wafer W by spectroscopic ellipsometry as in Experimental Example 1. Here, the supply port of CH 3 radicals is directed toward the center of the wafer W, and the extension direction of the line connecting the supply port and the center of the wafer W is Y direction and a direction orthogonal to this Y direction is X direction. .

그 측정 결과를 도 10(b)에 나타내었다. 한편, 참고예에 있어서, 데미지층(60)의 막 두께는 X 방향 및 Y 방향 모두 거의 같은 값이었기 때문에 간략화하여 나타내었다. 이 결과, 수복 공정에 의해 웨이퍼(W)의 전면에 걸쳐 거의 균등하게 데미지층(60)을 25㎚ 정도 수복하고 있다는 것을 알았다.The measurement results are shown in FIG. 10 (b). In addition, in the reference example, since the film thickness of the damage layer 60 was almost the same value in both the X direction and the Y direction, it showed it simplified. As a result, it turned out that the damage layer 60 is repaired about 25 nm substantially uniformly over the whole surface of the wafer W by a repair process.

웨이퍼(W)의 면내의 수복 정도는 Y 방향에 있어서 약간 불균일하지만, 그 차이는 약 10% 이하로 양호했다. 이로부터, CH3 라디칼은 웨이퍼(W)의 표면에 균일하게 공급되어 있다는 것을 알 수 있다. 이는, 앞서 기술한 바와 같이 CH3 라디칼이 Si의 댕글링 본드와 선택적으로 반응하여 다른 화합물 등과의 반응성이 모자란다는 것과, CH3 라디칼이 처리실(21)내에 균일하게 확산되는 정도의 긴 시간, 미 반응된 채로 체류하는 것을 나타내고 있다. Although the degree of in-plane repair of the wafer W was slightly nonuniform in the Y direction, the difference was good at about 10% or less. From this, it can be seen that the CH 3 radicals are uniformly supplied to the surface of the wafer (W). This is because, as described above, the CH 3 radicals selectively react with the dangling bonds of Si to be less responsive to other compounds and the like, and the long time that the CH 3 radicals are uniformly diffused in the processing chamber 21 may be reduced. It has shown to remain reacted.

Y 방향에서의 수복 정도의 불균일성의 원인은, 처리실(21)에 대한 가스 가열부(63)의 접속 위치에 있다고 생각된다. 즉, 웨이퍼(W)에서 보아 가스 가열부(63)가 설치되어 있는 측과 같은 방향으로부터 배기하고 있다는 것으로부터, 가스 가열부(63) 및 배기구(22)가 설치되어 있는 측의 반대 측에 통류하는 CH3 라디칼의 양이 적고, Y 방향에서 CH3 라디칼의 편석이 발생하고 있다고 생각된다. 이것은 앞서 기 술한 바와 같이, 가스 가열부(63) 및 배기구(22)의 위치나 수량을 변경함으로써 용이하게 개선되고, 또한 웨이퍼(W)의 면내에서의 수복 정도의 균일성을 높일 수 있다고 생각된다.It is thought that the cause of the nonuniformity of the repair degree in the Y direction is at the connection position of the gas heating part 63 to the processing chamber 21. That is, since it exhausts from the same direction as the side in which the gas heating part 63 is installed as seen from the wafer W, it flows to the opposite side to the side in which the gas heating part 63 and the exhaust port 22 are provided. It is thought that the amount of CH 3 radicals to be small is small and segregation of CH 3 radicals occurs in the Y direction. As described above, this can be easily improved by changing the positions and quantities of the gas heating section 63 and the exhaust port 22, and it is considered that the uniformity of the degree of repair in the plane of the wafer W can be improved. .

(실험예 3: 패턴의 선폭에 의한 수복 정도)(Experimental example 3: restoration degree by the line width of a pattern)

계속해서, 도 8(a)에 나타낸 웨이퍼(W)의 위쪽에, 유기막으로 이루어진 레지스트 마스크를 적층하여, 이 레지스트 마스크에 선 폭 L1의 개구부를 형성했다. 그 후 동 도 (b)에 나타낸 바와 같이 이 웨이퍼(W)에 대하여 이하의 프로세스 조건으로 에칭 공정 및 애싱 공정을 행하여 선 폭 L1의 오목부(57)를 형성하고, 그 후 수복 공정을 행했다. 또한, 이하에 나타낸 바와 같이 참고예로서, 에칭 공정 및 애싱 공정을 행하고, 수복 공정을 행하지 않은 웨이퍼(W)도 준비했다. 한편, 선 폭 L1에 대해서는 이하의 각 실시예 및 비교예마다 설정하여 형성했다.Subsequently, a resist mask made of an organic film was laminated on the wafer W shown in FIG. 8A to form an opening having a line width L1 in the resist mask. Then, as shown to FIG. (B), the etching process and ashing process were performed with respect to this wafer W on the following process conditions, the recessed part 57 of line width L1 was formed, and the repair process was performed after that. In addition, as shown below, as a reference example, the wafer W was also prepared by performing an etching step and an ashing step and not performing a repair step. In addition, about line width L1, it set and formed for each following examples and comparative examples.

(에칭 공정)(Etching process)

상부 전극(4)의 주파수: 60MHzFrequency of upper electrode 4: 60 MHz

상부 전극(4)의 전력: 1200WPower of the upper electrode 4: 1200 W

하부 전극(31)의 주파수: 2MHzFrequency of lower electrode 31: 2 MHz

하부 전극(31)의 전력: 1200WPower of the lower electrode 31: 1200 W;

처리 압력: 10Pa(75mTorr)Processing pressure: 10 Pa (75 mTorr)

처리 가스: C4F8/N2/Ar=4/150/1000sccm Process gas: C 4 F 8 / N 2 / Ar = 4/150 / 1000sccm

처리 시간: 90secProcessing time: 90 sec

(애싱 정도)(Ashing degree)

상부 전극(4)의 주파수: 60MHzFrequency of upper electrode 4: 60 MHz

상부 전극(4)의 전력: 300WPower of the upper electrode 4: 300 W

하부 전극(31)의 주파수: 2MHzFrequency of lower electrode 31: 2 MHz

하부 전극(31)의 전력: 300WPower of the lower electrode 31: 300 W

처리 압력: 1.3Pa(10mTorr)Processing pressure: 1.3 Pa (10 mTorr)

처리 가스: O2=300sccm Process gas: O 2 = 300 sccm

처리 시간: 45secProcessing time: 45 sec

(수복 공정)(Repair process)

처리 가스: C8F18O2=300sccmProcess gas: C 8 F 18 O 2 = 300 sccm

처리 압력: 5.3Pa(39.75mTorr)Processing pressure: 5.3 Pa (39.75 mTorr)

열원(65)의 온도: 1000℃Temperature of heat source 65: 1000 ° C

처리 시간: 10분Processing time: 10 minutes

실시예 3-1Example 3-1

L1=180㎚로 했다.L1 was set to 180 nm.

실시예 3-2Example 3-2

L1=200㎚로 했다.L1 was set to 200 nm.

실시예 3-3Example 3-3

L1=250㎚로 했다.L1 was set to 250 nm.

참고예 3-1Reference Example 3-1

L1=180㎚로 하고, 수복 공정을 행하지 않았다.L1 = 180 nm, and the repair process was not performed.

참고예 3-2Reference Example 3-2

L1=200㎚로 하고, 수복 공정을 행하지 않았다.It was set as L1 = 200 nm, and the repair process was not performed.

참고예 3-3Reference Example 3-3

L1=250㎚로 하고, 수복 공정을 행하지 않았다.It was set as L1 = 250 nm, and the repair process was not performed.

실험 결과Experiment result

상기의 처리를 행한 각각의 웨이퍼(W)에 대하여, 1중량%의 HF 수용액에 30초 침지함으로써, 도 8(b)에 나타낸 바와 같이, 오목부(57)의 측벽에서의 데미지층(60)을 포함하는 선 폭 L2를 측정하여, 데미지층(60)을 포함하는 선 폭의 변화량을 나타내는 L(L=L2-L1)을 도 11에 나타내었다. 즉, SiOCH막(54)의 표면부로부터 탄소가 탈리된 데미지층(60)은 HF 수용액에 용해하는 한편, 탄소가 탈리하지 않고 있는 SiOCH막(54)은 HF 수용액에 용해하지 않는 것으로부터, HF 수용액에 대한 침지에 의해 SiOCH막(54)에 형성된 데미지층(60)의 양을 알 수 있다. With respect to each wafer W subjected to the above treatment, the damage layer 60 at the side wall of the concave portion 57 is immersed in a 1 wt% HF aqueous solution for 30 seconds, as shown in FIG. The line width L2 including the above was measured, and L (L = L2-L1) representing the amount of change in the line width including the damage layer 60 is shown in FIG. 11. That is, since the damage layer 60 in which carbon is detached from the surface portion of the SiOCH film 54 is dissolved in the HF aqueous solution, the SiOCH film 54 in which carbon is not released is not dissolved in the HF aqueous solution. The amount of the damage layer 60 formed in the SiOCH film 54 can be known by immersion in the aqueous solution.

이 실험 결과, 선 폭 L1이 180㎚라는 좁은 경우이어도, CH3 라디칼은 오목부(57)의 측벽에 작용하여, 데미지층(60)을 수복하는 것이 가능했다. 한편, 오목부(57)에 형성된 선 폭 L1이 좁아짐에 따라, 데이지층(60)인 L이 작아진다는 것을 알 수 있다. 이는, 선 폭이 좁은 경우에는 오목부(57)의 측벽이 에칭 공정 및 애싱 공정에 있어서 플라즈마에 노출되는 시간이 짧기 때문이라고 생각된다.As a result of this experiment, even in a narrow case where the line width L1 was 180 nm, the CH 3 radicals acted on the sidewall of the concave portion 57, thereby making it possible to repair the damage layer 60. On the other hand, as the line width L1 formed in the recessed part 57 becomes narrow, it turns out that L which is the daisy layer 60 becomes small. This is considered to be because, when the line width is narrow, the time that the sidewall of the concave portion 57 is exposed to the plasma in the etching step and the ashing step is short.

또한, 선폭 L1이 좁아짐에 따라 애싱 후와 수복 후에서의 L의 차가 커지고, 이는 선폭 L1이 좁아짐에 따라, 수복되는 데미지층(60)의 양이 많다는 것을 나타낸다. 이것으로부터도, 선 폭이 좁은 경우에는, 오목부(57)의 측벽이 에칭 공정 및 애싱 공정에 있어서 플라즈마에 노출되는 시간이 짧다고 생각된다.Further, as the line width L1 becomes narrower, the difference between L after ashing and after restoration becomes larger, which indicates that the amount of damage layer 60 to be repaired is larger as the line width L1 becomes narrower. Also from this, when the line width is narrow, it is considered that the time when the side wall of the concave portion 57 is exposed to the plasma in the etching step and the ashing step is short.

(실험예 4: 라디칼 종의 분석)Experimental Example 4: Analysis of Radical Species

기술한 QMS(4중극 질량 분석계)를 이용하여, 처리실(21)내에 공급되는 라디칼 성분을 측정했다. 실험은 실험예 1의 수복 공정과 동일한 프로세스 조건으로 행했다. 그 결과를 도 12에 나타낸다.The radical component supplied into the process chamber 21 was measured using the QMS (quadrupole mass spectrometer) which were described. The experiment was performed under the same process conditions as the repair process of Experimental Example 1. The result is shown in FIG.

실험 결과Experiment result

C8H18O2 가스의 열분해에 의해, 처리실(21)내에는 도 12에 나타내는 바와 같이 CH3, C3H6O 및 C4H9O가 생성되어 있다. CO 및 C3H6에 대해서는 피크의 동정을 할 수 없었기 때문에, 질량수와 생성될 가능성이 있는 화합물의 추측으로부터, CO 및 C3H6으로서 표시했다. 앞서 기술한 바와 같이, 이 C8H18O2 가스의 열분해로서는 부착 계수가 높은 CH, CH2 및 C 등은 생성되고 있지 않고, CH3 라디칼의 생성이 인정되었다. 이 CH3 라디칼 이외의 생성물은 웨이퍼(W)에 작용하지 않고서 배기구(22)로부터 배기되어 있는 것으로 생각된다.By thermal decomposition of the C 8 H 18 O 2 gas, CH 3 , C 3 H 6 O and C 4 H 9 O are generated in the processing chamber 21 as shown in FIG. 12. Since for CO and C 3 H 6 could not be identified in the peak, from the speculation of a compound that may be produced with mass number was indicated as CO and C 3 H 6. As described above, CH, CH 2 , C and the like having a high adhesion coefficient were not generated as the thermal decomposition of the C 8 H 18 O 2 gas, and the production of CH 3 radicals was recognized. The product other than CH 3 radicals are thought to be exhausted from, without acting on the wafer (W), an exhaust port (22).

(실험예 5: CH3 라디칼의 시간 경과에 따른 변화)Experimental Example 5: Change of CH 3 radicals over time

실험예 4와 동일한 QMS(4중극 질량 분석계)를 이용하여, 처리실(21)내에 공 급되어 있는 CH3 라디칼의 양을 측정했다. 이 실험에서는 열원(65)의 통전 시간에 의해 CH3 라디칼의 양이 어느 정도 변화되는 가를 확인하기 위해, 실험예 1에서의 수복 공정에 있어서 열원(65)에 통전하지 않는 상태로부터 C8H18O2 가스를 처리실(21)내에 공급하고, 이어서 열원(65)에 통전시켜 CH3 라디칼의 양의 시간 경과에 따른 변화를 확인했다. 이 결과를 도 13에 나타내었다.Using the same QMS (quadrupole mass spectrometer) as in Experimental Example 4, the amount of CH 3 radicals supplied in the processing chamber 21 was measured. In this experiment, in order to confirm how much the amount of CH 3 radicals is changed by the energization time of the heat source 65, C 8 H 18 from the state that does not energize the heat source 65 in the repair process in Experimental Example 1 The O 2 gas was supplied into the processing chamber 21, and then energized through the heat source 65 to confirm the change over time of the amount of the CH 3 radicals. This result is shown in FIG.

실험 결과Experiment result

CH3 라디칼의 양은 열원(65)에 통전시킨 후 곧 약간량 증가하여, 그 후 급준한 경사로 증가하고 있었다. 이 증가량은 열원(65)의 온도에 대응한 것이라고 생각되고, 열원(65)에 통전시킨 뒤 약 30초 정도에서 열원(65)의 온도가 안정했다고 인정되었다. 또한, CH3 라디칼은 C8H18O2 가스의 열분해에 의해 생성되고 있는 것을 확인할 수 있었다.The amount of CH 3 radicals increased a little by a short time after energizing the heat source 65, and then increased to a steep slope. It is thought that this increase corresponded to the temperature of the heat source 65, and it was recognized that the temperature of the heat source 65 was stable about 30 second after energizing the heat source 65. FIG. In addition, it was confirmed that the CH 3 radicals are generated by pyrolysis of C 8 H 18 O 2 gas.

본 발명은, 실리콘, 탄소, 산소 및 수소를 포함하는 저 유전율막에서의 C가 탈리된 데미지층에 대하여, CH3 라디칼을 공급함으로써 C를 결합시켜 데미지층을 수복할 수 있어, 막질의 저하를 억제할 수 있다. 또한, 예컨대 다공질 막에 대하여 표면부에서부터 깊숙하게 침입하여 수복할 수 있고, 또한 CH3 라디칼의 수명이 연장되기 때문에 기판에 대하여 면내 균일성이 높은 수복 처리를 행할 수 있다.In the present invention, the damage layer from which C is desorbed in the low dielectric constant film containing silicon, carbon, oxygen and hydrogen can be bonded to C by restoring the damage layer by supplying CH 3 radicals, thereby reducing the film quality. It can be suppressed. Further, for example, the porous membrane can be infiltrated deeply from the surface portion to be repaired, and since the life of the CH 3 radicals is extended, a repair treatment with high in-plane uniformity can be performed on the substrate.

Claims (20)

CH3 라디칼 생성용 가스에 에너지를 공급하여 CH3 라디칼을 생성하는 공정과,Generating CH 3 radicals by supplying energy to a gas for generating CH 3 radicals, 실리콘, 탄소, 산소 및 수소를 포함하고, 탄소가 탈리된 데미지층을 갖는 저 유전율막에 CH3 라디칼을 공급하여, 상기 데미지층에 CH3을 결합시키는 수복 공정을 포함하는 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.A low dielectric constant comprising a repair process of bonding CH 3 to the damage layer by supplying CH 3 radicals to the low dielectric constant film including silicon, carbon, oxygen, and hydrogen and having a damage layer from which carbon is desorbed How to repair the damage of the membrane. 제 1 항에 있어서,The method of claim 1, CH3 라디칼을 생성하는 공정은, CH3 라디칼 생성용 가스를 열분해하는 공정인 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.The process for generating a CH 3 radical is a process for thermally decomposing a gas for generating CH 3 radicals, wherein the low dielectric constant film damage repair method. 제 1 항에 있어서,The method of claim 1, 저 유전율막이 데미지를 입어 데미지층이 형성되는 데미지층 혼입 공정은, 저 유전율막이 플라즈마에 노출되는 공정인 것을 특징으로 하는 저 유전율막의 데미지 수복방법.A damage layer mixing step in which a low dielectric constant film is damaged and a damage layer is formed, is a step in which a low dielectric constant film is exposed to plasma. 제 2 항에 있어서,The method of claim 2, 저 유전율막이 데미지를 입어 데미지층이 형성되는 데미지층 혼입 공정은, 저 유전율막이 플라즈마에 노출되는 공정인 것을 특징으로 하는 저 유전율막의 데미지 수 복 방법.The damage layer mixing step in which the low dielectric constant film is damaged and a damage layer is formed is a step in which the low dielectric constant film is exposed to plasma. 제 3 항에 있어서,The method of claim 3, wherein 저 유전율막이 플라즈마에 노출되는 공정은, 저 유전율막에 오목부를 형성하기 위한 에칭 공정 및/또는 저 유전율막의 위쪽에 형성된 유기막으로 이루어진 레지스트막을 재화하기 위한 애싱 공정인 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.The process of exposing the low dielectric constant film to plasma is an etching process for forming a recess in the low dielectric constant film and / or an ashing process for regenerating a resist film made of an organic film formed on the low dielectric constant film. How to repair. 제 4 항에 있어서,The method of claim 4, wherein 저 유전율막이 플라즈마에 노출되는 공정은, 저 유전율막에 오목부를 형성하기 위한 에칭 공정 및/또는 저 유전율막의 위쪽에 형성된 유기막으로 이루어진 레지스트막을 재화하기 위한 애싱 공정인 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.The process of exposing the low dielectric constant film to plasma is an etching process for forming a recess in the low dielectric constant film and / or an ashing process for regenerating a resist film made of an organic film formed on the low dielectric constant film. How to repair. 제 1 항에 있어서,The method of claim 1, 저 유전율막이 형성된 피처리체는, 저 유전율막이 데미지를 입어 데미지층이 형성되는 데미지층 혼입 공정으로부터 수복 공정에 이르기까지 진공 분위기에 놓이는 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.The to-be-processed object in which the low dielectric constant film was formed is a damage recovery method of the low dielectric constant film characterized by placing in a vacuum atmosphere from the damage layer mixing process in which the low dielectric constant film is damaged, and a damage layer is formed, to a repair process. 제 2 항에 있어서,The method of claim 2, 저 유전율막이 형성된 피처리체는, 저 유전율막이 데미지를 입어 데미지층이 형성되는 데미지층 혼입 공정으로부터 수복 공정에 이르기까지 진공 분위기에 놓이는 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.The to-be-processed object in which the low dielectric constant film was formed is a damage recovery method of the low dielectric constant film characterized by placing in a vacuum atmosphere from the damage layer mixing process in which the low dielectric constant film is damaged, and a damage layer is formed, to a repair process. 제 1 항에 있어서,The method of claim 1, 데미지층 혼입 공정 및 수복 공정은 동일 처리 용기내에서 행해지는 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.A damage recovery method of a low dielectric constant film, wherein the damage layer mixing step and the repair step are performed in the same processing container. 제 2 항에 있어서,The method of claim 2, 데미지층 혼입 공정 및 수복 공정은 동일 처리 용기내에서 행해지는 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.A damage recovery method of a low dielectric constant film, wherein the damage layer mixing step and the repair step are performed in the same processing container. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 10, CH3 라디칼 생성용 가스는, 다이-t-알킬퍼옥사이드((CH3)3COOC(CH3)3), 메테인(CH4), 아조메테인((CH3)2N2, (CH3)3N), 2,2'-아조비스아이소뷰틸나이트릴((CH3)2C(CN)N=N(CN)C(CH3)2), 다이메틸아민((CH3)2NH) 및 네오펜테인(C(CH3)4) 중에서 선택되는 가스인 것을 특징으로 하는 저 유전율막의 데미지 수복 방법.The gas for generating CH 3 radicals is di-t-alkylperoxide ((CH 3 ) 3 COOC (CH 3 ) 3 ), methane (CH 4 ), azomethane ((CH 3 ) 2 N 2 , (CH 3 ) 3 N), 2,2'-azobisisobutyl nitrile ((CH 3 ) 2 C (CN) N = N (CN) C (CH 3 ) 2 ), dimethylamine ((CH 3 ) 2 NH), and neo-pentane (C (CH 3) 4) low-k film damage-repairing method which is characterized in that the gas is selected from the. 처리 용기와, Processing container, 이 처리 용기내에 설치되고, 피처리체를 탑재하기 위한 탑재대와,A mounting table installed in the processing container for mounting the object to be processed; 상기 처리 용기내를 진공 배기하기 위한 수단과, Means for evacuating the interior of the processing vessel; CH3 라디칼 생성용 가스에 에너지를 공급하여 CH3 라디칼을 생성하고, 이 CH3 라디칼을 상기 탑재대에 탑재된 피처리체에 공급하기 위한 수단을 구비하고,CH 3 CH 3 generate radicals by supplying energy to the radical generating gas, and includes means for supplying a CH 3 radical on a workpiece mounted on the mounting stand, 상기 피처리체 상에 형성되고, 실리콘, 탄소, 산소 및 수소를 포함하는 저 유전율막의 탄소가 탈리된 데미지층이 CH3의 결합에 의해 수복되는 것을 특징으로 하는 반도체 제조 장치.And a damage layer in which the carbon of the low dielectric constant film, which is formed on the object to be processed and which contains silicon, carbon, oxygen, and hydrogen, is recovered by bonding of CH 3 . 제 12 항에 있어서,The method of claim 12, CH3 라디칼을 피처리체에 공급하기 위한 수단은, CH3 라디칼 생성용 가스를 열분해하기 위한 수단인 것을 특징으로 하는 반도체 제조 장치.A means for supplying CH 3 radicals to a target object is a means for thermally decomposing a gas for generating CH 3 radicals. 제 12 항에 있어서,The method of claim 12, CH3 라디칼을 피처리체에 공급하기 위한 수단은, CH3 라디칼을 포함하는 가스를 피처리체의 측 방향으로부터 피처리체에 공급하기 위한 공급구를 구비하고 있는 것을 특징으로 하는 반도체 제조 장치.CH 3 means for supplying radicals to the object to be processed is a semiconductor manufacturing apparatus characterized in that comprises a supply port for supplying the object to be processed gas from the lateral direction of the object to be processed comprising a CH 3 radical. 제 12 항에 있어서,The method of claim 12, CH3 라디칼을 피처리체에 공급하기 위한 수단은, 탑재대에 대향하여 설치되고, CH3 라디칼 생성용 가스를 공급하는 공급부를 구비하고 있는 것을 특징으로 하는 반도체 제조 장치.A means for supplying CH 3 radicals to a workpiece is provided opposite to a mounting table, and has a supply section for supplying a gas for generating CH 3 radicals. 제 12 항에 있어서,The method of claim 12, 상기 처리 용기내에 플라즈마 처리용의 가스를 공급하는 수단과, Means for supplying a gas for plasma processing into the processing container; 상기 처리 용기내에서 플라즈마 처리용의 가스를 플라즈마화하는 수단을 구비하고,Means for plasmalizing a gas for plasma processing in the processing container; 플라즈마에 의해 피처리체에 대하여 플라즈마 처리가 행해지고, 이어서 이 플라즈마 처리에 의해 데미지를 입은 저 유전율막의 데미지층의 수복이 행해지는 것을 특징으로 하는 반도체 제조 장치.Plasma processing is performed on a to-be-processed object with a plasma, and then the damage layer of the low dielectric constant film damaged by this plasma process is performed, The semiconductor manufacturing apparatus characterized by the above-mentioned. 제 12 항에 있어서,The method of claim 12, 상기 처리 용기와는 다른 플라즈마 처리용의 처리 용기와,A processing container for plasma processing different from the processing container; 이 플라즈마 처리용의 처리 용기내에 플라즈마 처리용의 가스를 공급하는 수단과,Means for supplying a gas for plasma processing into the processing container for plasma processing; 상기 플라즈마 처리용의 처리 용기내에서 플라즈마 처리용의 가스를 플라즈마화하는 수단과,Means for plasmalizing a gas for plasma processing in the processing container for plasma processing; 데미지층의 수복을 행하기 위한 처리 용기와 플라즈마 처리용의 처리 용기가 접속되어 있는 진공 분위기로 이루어진 반송실과,A transfer chamber made of a vacuum atmosphere in which a processing container for repairing the damage layer and a processing container for plasma processing are connected; 이 반송실내에 설치되고, 플라즈마 처리용의 처리 용기와 데미지층의 수복을 행하 기 위한 처리 용기 사이에서 피처리체를 반송하기 위한 반송 수단을 구비하고,It is provided in this conveyance chamber, Comprising: The conveyance means for conveying a to-be-processed object between the processing container for plasma processing, and the processing container for repair of a damage layer, 플라즈마에 의해 피처리체에 대하여 플라즈마 처리가 행해지고, 이어서 이 플라즈마 처리에 의해 데미지를 입은 저 유전율막의 데미지층의 수복이 행해지는 것을 특징으로 하는 반도체 제조 장치.Plasma processing is performed on a to-be-processed object with a plasma, and then the damage layer of the low dielectric constant film damaged by this plasma process is performed, The semiconductor manufacturing apparatus characterized by the above-mentioned. 제 16 항에 있어서,The method of claim 16, 플라즈마 처리는, 저 유전율막에 오목부를 형성하기 위한 에칭 공정 및/또는 저 유전율막의 위쪽에 형성된 유기막으로 이루어진 레지스트막을 재화하기 위한 애싱 공정인 것을 특징으로 하는 반도체 제조 장치.The plasma processing is a semiconductor manufacturing apparatus characterized in that an etching step for forming a recess in a low dielectric constant film and / or an ashing step for refining a resist film made of an organic film formed above the low dielectric constant film. 제 12 항 내지 제 18 항 중 어느 한 항에 있어서,The method according to any one of claims 12 to 18, CH3 라디칼 생성용 가스는, 다이-t-알킬퍼옥사이드((CH3)3COOC(CH3)3), 메테인(CH4), 아조메테인((CH3)2N2, (CH3)3N), 2,2'-아조비스아이소뷰틸나이트릴((CH3)2C(CN)N=N(CN)C(CH3)2), 다이메틸아민((CH3)2NH) 및 네오펜테인(C(CH3)4) 중에서 선택되는 가스인 것을 특징으로 하는 반도체 제조 장치. The gas for generating CH 3 radicals is di-t-alkylperoxide ((CH 3 ) 3 COOC (CH 3 ) 3 ), methane (CH 4 ), azomethane ((CH 3 ) 2 N 2 , (CH 3 ) 3 N), 2,2'-azobisisobutyl nitrile ((CH 3 ) 2 C (CN) N = N (CN) C (CH 3 ) 2 ), dimethylamine ((CH 3 ) 2 NH), and neo-pentane (C (CH 3) 4) semiconductor manufacturing system, characterized in that the gas is selected from the. 퍼처리체 상에 형성되고, 실리콘, 탄소 산소 및 수소를 포함하는 저 유전율막의 탄소가 탈리된 데미지층을 수복하기 위한 장치에 이용되는 컴퓨터 프로그램을 격납한 기억 매체로서,A storage medium formed on a per treatment body and containing a computer program for use in an apparatus for repairing a damage layer in which carbon in a low dielectric constant film containing silicon, carbon oxygen, and hydrogen is detached, comprising: 상기 프로그램은, 제 1 항 내지 제 10 항 중 어느 한 항에 따른 수복 방법을 실시하도록 스텝 군이 짜여 있는 것을 특징으로 하는 기억 매체.The program is a storage medium, wherein a group of steps is arranged to perform the repair method according to any one of claims 1 to 10.
KR1020070029331A 2006-03-27 2007-03-26 Method for recovering damage of insulating film with low dielectric constant, semiconductor manufacturing apparatus, and storage medium KR100870997B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00085973 2006-03-27
JP2006085973A JP4716370B2 (en) 2006-03-27 2006-03-27 Low dielectric constant film damage repair method and semiconductor manufacturing apparatus

Publications (2)

Publication Number Publication Date
KR20070096956A true KR20070096956A (en) 2007-10-02
KR100870997B1 KR100870997B1 (en) 2008-11-27

Family

ID=38638831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070029331A KR100870997B1 (en) 2006-03-27 2007-03-26 Method for recovering damage of insulating film with low dielectric constant, semiconductor manufacturing apparatus, and storage medium

Country Status (4)

Country Link
JP (1) JP4716370B2 (en)
KR (1) KR100870997B1 (en)
CN (1) CN100536084C (en)
TW (1) TWI452629B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5132244B2 (en) * 2007-10-18 2013-01-30 大陽日酸株式会社 Insulation film damage recovery method and recovery agent
JP5261291B2 (en) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 Processing method and storage medium
KR20120038507A (en) 2009-07-21 2012-04-23 스미또모 베이크라이트 가부시키가이샤 Composition for film formation, insulating film, and semiconductor device
JP5544893B2 (en) * 2010-01-20 2014-07-09 東京エレクトロン株式会社 Substrate processing method and storage medium
JP5654862B2 (en) * 2010-04-12 2015-01-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
CN103377913B (en) * 2012-04-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 The forming method of opening
CN104134612B (en) * 2014-08-20 2017-11-10 上海华力微电子有限公司 A kind of method for repairing side wall damage of ultralow dielectric constant film
CN104505344B (en) * 2014-08-20 2017-12-15 上海华力微电子有限公司 The method for forming porous ultra-low dielectric materials

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
WO2004068555A2 (en) * 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
JP3939711B2 (en) * 2003-06-18 2007-07-04 富士通株式会社 Manufacturing method of semiconductor device
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP5019714B2 (en) * 2005-01-31 2012-09-05 大陽日酸株式会社 Damage recovery method for low dielectric constant films
JP2006303422A (en) * 2005-03-22 2006-11-02 Sony Corp Plasma treatment method and manufacturing method for semiconductor device

Also Published As

Publication number Publication date
CN101047126A (en) 2007-10-03
JP2007266099A (en) 2007-10-11
TW200805493A (en) 2008-01-16
CN100536084C (en) 2009-09-02
KR100870997B1 (en) 2008-11-27
JP4716370B2 (en) 2011-07-06
TWI452629B (en) 2014-09-11

Similar Documents

Publication Publication Date Title
KR100870997B1 (en) Method for recovering damage of insulating film with low dielectric constant, semiconductor manufacturing apparatus, and storage medium
KR102598662B1 (en) Isotropic atomic layer etch for silicon and germanium oxides
US7402523B2 (en) Etching method
KR101399814B1 (en) Film deposition method, pretreatment device, and treating system
JP4049214B2 (en) Insulating film forming method and insulating film forming apparatus
KR20100009625A (en) Silicide forming method and system thereof
JP4555320B2 (en) Low dielectric constant insulating film damage recovery method and semiconductor device manufacturing method
US7556970B2 (en) Method of repairing damaged film having low dielectric constant, semiconductor device fabricating system and storage medium
JP4924245B2 (en) Semiconductor manufacturing apparatus, semiconductor device manufacturing method, and storage medium
JP2023530299A (en) Surface modification for metal-containing photoresist deposition
US8524101B2 (en) Method and apparatus for manufacturing semiconductor device, and storage medium
US8870164B2 (en) Substrate processing method and storage medium
WO2018008640A1 (en) Method for processing member to be processed
JP2004063521A (en) Method for manufacturing semiconductor device
JP4758938B2 (en) Insulating film forming method and insulating film forming apparatus
JP2010003807A (en) Method of manufacturing semiconductor apparatus
US7776736B2 (en) Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same
JP2007227529A (en) Method for manufacturing semiconductor device, plasma processing apparatus, and storage medium
US10998223B2 (en) Method for processing target object
US20240120193A1 (en) Carbon replenishment of silicon-containing material
JP3373466B2 (en) Plasma processing apparatus and plasma processing method
JP2004127990A (en) Method for manufacturing semiconductor device
JP2003243360A (en) Manufacturing method of semiconductor device
JP2023053351A (en) Plasma processing apparatus
JP2010245562A (en) Method for recovering damage of low dielectric constant insulating film and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181030

Year of fee payment: 11