KR20070096770A - 플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성방법 - Google Patents

플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성방법 Download PDF

Info

Publication number
KR20070096770A
KR20070096770A KR1020060111032A KR20060111032A KR20070096770A KR 20070096770 A KR20070096770 A KR 20070096770A KR 1020060111032 A KR1020060111032 A KR 1020060111032A KR 20060111032 A KR20060111032 A KR 20060111032A KR 20070096770 A KR20070096770 A KR 20070096770A
Authority
KR
South Korea
Prior art keywords
gas
hydrocarbon
liquid monomer
film
flow rate
Prior art date
Application number
KR1020060111032A
Other languages
English (en)
Other versions
KR100771926B1 (ko
Inventor
백은경
나규태
야마구치 마사시
마츠키 노부오
모리사다 요시노리
카시오레 곤다 카말
Original Assignee
삼성전자주식회사
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 에이에스엠 저펜 가부시기가이샤 filed Critical 삼성전자주식회사
Publication of KR20070096770A publication Critical patent/KR20070096770A/ko
Application granted granted Critical
Publication of KR100771926B1 publication Critical patent/KR100771926B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)

Abstract

정전용량 결합 플라즈마(capacitively coupled plasma; CCP) 플라즈마 화학기상증착 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법에서, 상기 방법은, 20℃ 내지 350℃의 끓는점을 갖고 비닐기(vinyl group) 또는 아세틸렌기(acetylene group)에 의해 치환되지 않는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)를 기화시키는 단계와, 상기 기화된 가스와 CO2 가스 또는 H2 가스를 기판이 위치된 화학기상증착 반응 챔버 내부로 도입하는 단계와, 상기 가스의 플라즈마 중합 반응을 이용하여 상기 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 단계를 포함할 수 있다. 이에 따라, 상기 하이드로카본 함유 폴리머 필름의 흡광 계수(k)를 193nm에서 감소시킬 수 있으며, 기계적 경도를 증가시킬 수 있다.

Description

플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성 방법{Method of forming carbon polymer film using plasma chemical vapor deposition}
도 1은 본 발명의 일 실시예에서 사용될 수 있는 화학기상증착 장치의 일 예를 보여주는 개략도이다.
도 2는 본 발명의 일 실시예에서 사용될 수 있는 히터/기화기의 일 예를 보여주는 개략도이다.
도 3은 본 발명의 일 실시예에서 측정된 하이드로카본 함유 폴리머 필름의 두께와 필름 형성 시간 사이의 관계의 일 예를 보여주는 그래프이다.
도 4a 내지 도 4e는 본 발명의 일 실시예에서 형성된 하이드로카본 함유 폴리머 필름이 하드 마스크로서 사용되는 일 예를 보여주는 공정 단면도들이다.
도 5는 본 발명의 실시예들에 따라 기계적 경도/흡광 계수(k) 및 CO2의 유량 사이의 관계를 보여주는 그래프이다.
* 도면의 주요부분에 대한 부호의 설명 *
1: 기판 2 : 하부 전극
4 : 상부 전극 5 : RF 파워
6 : 배기 배관 7 : 가스 유량 제어기
8 : 유량 제어기 9 : 액상 모노머 유량 제어기
10 : 기화기 11 : 반응 챔버
12 : 반응 챔버 20 : 기화 유닛
21 : 히터 22 : 가스 배관
23 : 도입 배관 24 : 차단 밸브
25 : 히터/기화기
본 발명은 높은 분자량을 갖는 하이드로카본(hydrocarbon) 함유 물질을 이용하는 플라즈마 화학기상증착(chemical vapor deposition; 이하 ‘CVD’라 한다)에 의한 탄소 폴리머 필름을 형성하는 방법에 관한 것이다. 보다 상세하게는, 반도체 가공을 위한 하드 마스크로서 탄소 폴리머 필름을 이용하는 방법에 관한 것이다.
반도체 가공 기술에서는 반사 방지 필름(antireflective film), 하드 마스크 등과 같은 광학적 필름들이 사용되고 있다. 종래의 기술에서, 이러한 필름들은 코팅 방법으로 불리우는 기술을 이용하여 주로 형성된다. 상기 코팅 방법은 액상 물질을 코팅하고 이를 소결함으로써 고 기능성 폴리머 필름들을 형성한다. 그러나, 점성을 갖는 액체를 코팅하는 것이기 때문에 기판 상에 얇은 필름을 형성하기는 용이하지 않다. 한편으로, 반도체 칩 크기가 지속적으로 축소됨에 따라 더 얇고 더 강한 필름들이 요구되고 있다.
보다 얇은 필름들을 획득하기 위한 진보된 방법으로, 플라즈마 CVD에 의한 다이아몬드상 카본(diamond-like carbon) 필름 또는 비정질 카본 필름의 사용, 예를 들면, 미국등록특허 제5,470,661호, 제6,428,894호 등이 공개되었다. 이런 경우들에서, 다이아몬드상 카본 필름 또는 비정질 필름은 상온에서 가스 상태로 존재하는 분자를 원료 물질로서 이용하여 그리고 플라즈마를 이용하여 상기 분자를 분해함으로써 형성된다. 플라즈마 CVD 방법을 이용하는 것은 보다 얇은 필름들을 용이하게 획득하는 것을 보장한다.
본 발명의 목적은 플라즈마 CVD에 의한 코팅 방법이라는 이점이 있는 광범위한 구조적 다양성(a wide variety of structures)을 가질 수 있는 폴리머 필름을 형성하는 방법 및 반도체 가공을 위한 하드 마스크로서 형성되는 얇은 폴리머 필름을 이용하는 방법을 제공하는데 있다.
본 발명의 일 실시예는 플라즈마 화학기상증착(chemical vapor deposition; CVD에 의한 코팅 방법의 이점이 있으며 광범위한 구조적 다양성을 가질 수 있는 폴리머 필름을 형성하는 방법 및 반도체 가공을 위한 하드 마스크로서 형성되는 얇은 폴리머 필름을 이용하는 방법을 포함한다. 벤젠과 같이 높은 분자량을 갖는 유기 모노머들(organic monomers)로부터 산출되는 폴리머 물질들은 폭넓은 다양성을 갖는 구조들 및 특성들을 실현하며, 고강성 원료 물질들(high-strength materials) 및 다양한 고 기능성 원료 물질들로서 널리 산업적으로 이용된다.
CO2 또는 H2와 같은 첨가 가스와의 조합의 형태로 반응 가스에 소스 가스로서 이들을 포함시켜 상기와 같은 유기 모노머들을 플라즈마 중합시킴으로써, 광학적 특성들 및/또는 기계적 성질들을 포함하는 우수한 특성들을 갖는 얇은 필름 형태의 하드 마스크를 형성하는 것이 가능하게 된다. 이에 따라, 상기 얇은 필름 형태의 하드 마스크는 반사 방지 필름과 함께 반사도를 감소시킬 수 있으며, 노광 공정을 수행하는 동안 반사 방지 필름을 제거할 수도 있으며, 식각 선택비를 개선시킬 수도 있다. 또한, 약 400℃ 이상으로 기판 온도를 제어함으로써 상기 기계적인 성질들 및 광학적 특성들을 보다 개선시킬 수 있다.
상기와 같은 성질들은 하드 마스크에서 특히 중요하다. 포토레지스트는 상기 하드 마스크 상에 형성될 수 있으며, 상기 포토레지스트가 노광되고 패터닝되는 경우, 상기 하드 마스크의 광학적 성질들은 상기 노광 및 패터닝 공정에 영향을 줄 수 있다. 예를 들면, 상기 포토레지스트의 노광에 사용되는 광의 파장에서 상기 하드 마스크의 흡광 계수(extinction coefficient; k)가 낮은 경우, 더 많은 양의 광이 상기 하드 마스크를 통해 통과할 수 있기 때문에 상기 하드 마스크의 반사도는 반사 방지 필름과의 조합에서 크게 감소될 수 있으며, 더 나아가 반사 방지 필름이 제거될 수도 있다. 즉, 상기 반사 방지 필름을 노광 공정에서 사용하지 않을 수도 있다. 하드 마스크가 약 65nm 정도의 디램 하프 피치(DRAM half pitch)를 갖는 기판을 가공하기 위하여 사용되는 경우들에서, 193nm의 파장을 갖는 노출광(exposure light)이 사용될 수 있다. 따라서, 193nm에서 흡광 계수(k)는 매우 중요하다.
또한, 기계적 성질들이 하드 마스크에서 특히 중요하다. 상술한 바에서, 패턴 폭이 극도로 작게 하는 경우, 패턴은 기계적으로 또는 열적으로 굽혀지는 경향이 있다. 상기 하드 마스크의 기계적인 경도가 높은 경우, 상기 패턴들은 보다 더 완전하게 확보될 수 있다. 추가적으로, 하드 마스크의 기계적인 강도가 높은 경우, 예를 들어 산화막들 상에서 식각 선택비가 높아질 수 있고, 상기 하드 마스크의 두께를 얇게 할 수 있으며, 이에 따라 상기 패턴들의 굽힘(bending)을 억제할 수 있다.
CO2 또는 H2와 같은 첨가 가스와의 조합의 형태로 반응 가스에 소스 가스로서 그들을 포함시켜 상기와 같은 유기 모노머들을 플라즈마 중합시킴으로써, 193nm(633nm에서는 아니지만)에서 측정되는 흡광 계수(k)를 감소시키는 것과 기계적인 경도를 증가시키는 것이 가능하게 된다. 바람직하게, 하이드로카본 함유 폴리머 필름의 형성에서의 기계적 경도와 193nm에서 흡광 계수(k)는 약 0.5GPa 이상(바람직하게는 0.8GPa 이상) 및 약 0.38 이하(바람직하게는 0.35 이하)에서 각각 조절될 수 있다. 또한, 본 발명의 실시예들에서, 굴절률(n) 및 탄성 계수가 증가될 수 있다. 예를 들면, 각각 193nm에서 1.45 및 5.20GPa 이상이다. 흡광 계수(k) 및 굴절률(n)은 파장 의존성을 갖는다. 이러한 특성들은 CO2 가스 또는 H2 가스의 유량으로 제어될 수 있으며, 선택적으로 기판의 온도로 제어될 수도 있다.
또한, 본 발명의 일 실시예에서, 액상 유기 모노머는 비닐기(vinyl group) 또는 아세틸렌기(acetylene group)로 치환되지 않으며 5 이상의 탄소 수를 갖는 하 이드로카본 함유 모노머일 수 있다. 또한, 본 발명의 실시예에 따르면, 카본 폴리머 필름은 반도체 장치용 기판 상에 형성될 수 있으며, 사용 용도는 제한적이지 않다.
본 발명의 다른 실시예에 따르면, 액상 유기 모노머는 비닐기 또는 아세틸렌기로 치환되지 않는다. 예를 들면, 유기 폴리머 필름은 메틸기 또는 에틸기로 치환되는 벤젠으로부터 형성될 수 있다.
또한, 본 발명의 일 실시예에서, 액상 유기 모노머는 하이드로카본으로만 구성될 수 있으며, 산소, 실리콘, 불소, 질소 등을 포함하지 않는다. 또한, 본 발명의 일 실시예에서, 반응 가스는 액상 유기 모노머에 더하여 불활성 가스와 첨가 가스로 구성될 수 있다. 그러나, 본 발명의 일 실시예에서, 반응 가스는 액상 유기 모노머만으로 구성될 수도 있다. 또한, 본 발명의 일 실시예에서, 반응 가스는 액상 유기 모노머 및 불활성 가스로 구성될 수 있으며, 액상 유기 모노머 및 첨가 가스로 구성될 수도 있다. 추가적으로, 화학 결합된 액상 유기 모노머들과 첨가 가스는 하이드로카본 함유 폴리머 필름의 주요 구조가 될 수 있다.
높은 분자량을 갖는 대부분의 모노머들은 낮은 증기압을 가지며 상온에서 액상이기 때문에 반응 챔버로 도입되기 위해서는 기화 과정이 요구된다. 본 발명의 일 실시예에서는, 액상 모노머들을 기화시키기 위하여 제공되는 히터/기화기에 의해 그리고 상기 기화기로부터 반응 공간 내의 반응기 및 샤워 플레이트로의 가스 배관을 설정된 온도로 유지하고 가열함으로써 모노머들이 재응축되는 것이 방지되므로 상기 액상 모노머들의 사용이 가능해질 수 있다.
또한, 본 발명의 일 실시예에 따르면, 반응기 내부로 도입된 후, 유기 모노머들은 기판 표면 상에 유기 카본 폴리머 필름이 형성되는 것을 가능하게 하며 상기 필름을 반도체 가공을 위한 하드 마스크로서 사용할 수 있도록 하는 플라즈마에 의한 중합 반응을 통해 중합된다. 플라즈마 CVD에 의해 형성된 카본 폴리머 필름은 투명도를 갖는 얇은 필름을 용이하게 형성할 수 있으므로 광학적 필름으로서 매우 우수한 특성들을 가질 수 있다.
유기 모노머들이 중합되는 경우, CO2 가스 또는 H2 가스는 첨가 가스로서 제공될 수 있다. 본 발명의 일 실시예에 따르면, 상기 CO2 가스 또는 H2 가스의 유량은 기화된 가스의 유량보다 클 수 있다. 예를 들면, 상기 CO2 가스 또는 H2 가스의 유량은 상기 기화된 가스 유량의 약 1.1배, 1.5배, 2배, 5배, 10배, 20배, 50배 또는 100배 정도일 수 있으며, 상기 예시된 배수들 중에서 선택된 두 개의 배수들 사이의 어떤 범위 내에 있을 수 있다. 이때, 상기 CO2 가스 또는 H2 가스의 유량이 너무 큰 경우, 플라즈마 상태가 불안정해질 수 있으므로, 상기 CO2 가스 또는 H2 가스의 유량은 상기 플라즈마 상태를 고려하여 설정될 수 있다. 본 발명의 일 실시예에서, 광학적 특성들과 기계적 특성들은 일반적으로 그리고 실질적으로 상기 CO2 가스 및 H2 가스의 유량에 비례하여 개선될 수 있지만, 상기 CO2 가스 및 H2 가스의 유량은 상기 기화된 가스의 유량보다 작거나 같을 수도 있다. 예를 들면, 상기 CO2 가스 및 H2 가스의 유량은 상기 기화된 가스 유량의 약 3/4, 1/2 또는 1/4 이하로 설정될 수 있으며, 상기 예시들 중에서 두 숫자들 사이의 범위에서 설정될 수 있다.
본 발명의 일 실시예에 따르면, 상기 CO2 가스는 약 350sccm 또는 그보다 높은 유량으로 사용될 수 있다. 예를 들면, 상기 CO2 가스는 약 400sccm, 500sccm, 800sccm, 1000sccm, 1500sccm, 2000sccm, 3000sccm, 3500sccm, 4000sccm으로 또는 이들 중 두 개의 유량들 사이의 범위에서 사용될 수 있다.
본 발명의 일 실시예에 따르면, 상기 H2 가스는 약 200sccm 또는 그보다 높은 유량으로 사용될 수 있다. 예를 들면, 상기 H2 가스는 약 250sccm, 300sccm, 500sccm, 1000sccm으로 또는 이들 중 두 개의 유량들 사이의 범위에서 사용될 수 있다.
본 발명의 일 실시예에 따르면, 상기 기판의 온도는 약 0℃ 내지 750℃의 온도로 제어될 수 있다. 예를 들면, 상기 기판의 온도는 약 50℃ 내지 650℃, 약 350℃ 내지 650℃ 또는 약 400℃ 내지 600℃의 온도로 제어될 수 있다.
이하, 본 발명의 실시예들이 첨부된 도면들을 참조하여 상세하게 설명될 것이다. 그러나, 본 발명은 하기의 실시예들 및 이에 의해 발생되는 효과들에 한정되지 않고 다른 형태로 구현될 수도 있다. 여기서 소개되는 실시예들은 개시된 내용이 보다 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공된다. 도면들에 있어서, 각 장치 또는 필름(막 또 는 층) 및 영역들의 두께는 본 발명의 명확성을 기하기 위하여 과장되게 도시되었으며, 또한 각 장치는 본 명세서에서 설명되지 아니한 다양한 부가 장치들을 구비할 수 있으며, 막(층)이 다른 막(층) 또는 기판 상에 위치하는 것으로 언급되는 경우, 다른 막(층) 또는 기판 상에 직접 형성되거나 그들 사이에 추가적인 막(층)이 개재될 수 있다.
본 발명의 일 실시예에 따르면, 정전용량 결합 플라즈마(capacitively coupled plasma; CCP) CVD 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법은, 상기한 바와 같이, 약 20℃ 내지 350℃의 끓는점을 가지며 비닐기 또는 아세틸렌기에 의해 치환되지 않는 하이드로카본 함유 액상 모노머(CαHβXγ; 여기서, α 및 β는 5 이상의 자연수이고, γ는 0(zero)을 포함하는 정수이며, X는 산소(O) 또는 질소(N)이다)를 기화시키는 단계와, 상기 기화된 가스를 기판이 위치된 CVD 반응 챔버의 내부로 도입하는 단계와, 상기 가스를 플라즈마 중합시킴으로써 상기 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 단계를 포함할 수 있다. 여기서, 상기 기판으로는 반도체 장치용 기판일 수 있다.
또한, 본 발명의 다른 실시예에 따르면, 정전용량 결합 플라즈마 CVD 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법은, 반도체 장치용 기판을 CVD 반응 챔버 내부에 위치시키는 단계와, 약 20℃ 내지 350℃ 정도의 끓는점을 가지며 비닐기 또는 아세틸렌기에 의해 치환되지 않는 하이드로카본 함유 액상 모노머(CαHβXγ; 여기서, α 및 β는 5 이상의 자연수이고, γ는 0(zero)을 포함하는 정수이며, X는 산소(O) 또는 질소(N)이다)를 기화시키는 단계와, 상기 기판이 위치된 CVD 반응 챔버 내부로 상기 기화된 가스를 제공하는 단계와, 상기 가스를 플라즈마 중합시킴으로써 상기 기판 상에 하이드로카본 함유 폴리머 필름으로 구성되는 하드 마스크를 형성하는 단계를 포함할 수 있다.
또한, 본 발명의 또 다른 실시예에 따르면, 정전용량 결합 플라즈마 CVD 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법은, 약 20℃ 내지 350℃ 정도의 끓는점을 갖는 하이드로카본 함유 액상 모노머(CαHβXγ; 여기서, α 및 β는 5 이상의 자연수이고, γ는 0(zero)을 포함하는 정수이며, X는 산소(O), 질소(N) 또는 불소(F)이다)를 유량 제어 밸브와, 상기 유량 제어 밸브의 후단에 제공되며 약 80℃ 이하의 온도로 유지되는 차단 밸브(shutoff valve)를 통해 히터로 제공하여 기화시키는 단계와, 상기 기판이 위치된 CVD 반응 챔버 내부로 상기 기화된 가스를 제공하는 단계와, 상기 가스를 플라즈마 중합시킴으로써 상기 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 단계를 포함할 수 있다. 추가적으로, 상기 액상 모노머는 상기 차단 밸브의 후단 및 상기 히터의 전단에서 불활성 가스와 혼합될 수 있다. 또한, 필름 형성이 완료된 후, 상기 차단 밸브를 차단함으로써 상기 액상 모노머가 히터로 유입되는 것을 차단하는 단계가 더 수행될 수 있다. 또한, 상기 차단 밸브가 차단된 후, 상기 히터 내부는 불활성 가스에 의해 퍼지(purge)될 수 있다.
상기한 바와 같은 본 발명의 실시예들에 의하면, 액상 모노머는 반응 챔버의 전단에 구비되는 히터 내부로 도입되어 기화될 수 있다. 상기 액상 모노머는 상기 히터의 상류측에서 밸브에 의해 유량 제어되며, 상기 히터로의 도입은 상기 히터와 상기 유량 제어를 위한 밸브 사이에 배치된 차단 밸브에 의해 필름을 형성하는 때를 제외하고 차단되고, 80℃ 이하 또는 기화 온도보다 적어도 50℃ 낮은 온도에서 유지될 수 있다. 또는, 상기 액상 모노머는 상기 히터의 상류측에 배치된 밸브에 의해 유량 제어되고, 80℃ 이하 또는 기화 온도보다 적어도 50℃ 낮은 온도에서 유지되며, 상기 히터로의 도입은 필름을 형성하는 때를 제외하고 차단될 수 있다.
상기한 바와 같은 밸브들을 사용하는 본 발명의 실시예들에서, 상기 액상 모노머는 상기 히터의 전단 및 상기 밸브의 후단에서 불활성 가스와 혼합될 수 있다.
또한, 상기한 바와 같은 본 발명의 실시예들에서, 불활성 가스를 도입하는 단계는 플라즈마 중합(polymerization) 이전에 수행될 수 있다.
장치 구성
도 1은 본 발명의 일 실시예에서 사용될 수 있는 기화기 및 플라즈마 CVD 반응기가 결합된 장치를 보여주는 개략적인 구성도이다. 그러나, 본 발명은 도 1에 도시된 장치의 예에 의해 제한되지는 않는다.
도 1을 참조하면, 한 쌍의 도전성 전극들(2, 4)이 제공된다. 상기 전극들(2, 4)은 반응 챔버(11) 내부에서 서로 평행하게 그리고 서로 마주하여 배치될 수 있다. 도시된 바에 의하면, 상부 전극(4)에 RF 파워(5)가 인가되고 있으며, 하부 전극(2)은 전기적으로 접지(12)되어 있으며, 플라즈마는 상기 전극들(2, 4) 사이에서 여기된다. 반도체 장치용 기판(1)은 상기 하부 전극(2) 상에 위치된다. 그러나, RF 파워(5) 및 접지(12)는 도시된 바와 반대로 연결될 수도 있다. 온도 조절기(미도시)는 하부 전극(2)에 제공될 수 있으며, 온도는 하부 전극(2) 상에 위치되는 기판의 온도를 조절하기 위하여 약 0℃ 내지 650℃ 정도의 범위 내에서 설정된 온도로 유지될 수 있다. 상기 상부 전극(4)은 샤워 플레이트로서 기능할 수 있으며, 반응 가스는 샤워 플레이트를 통해 반응 챔버(11) 내부로 도입될 수 있다. 또한, 가스를 반응 챔버(11)로부터 배기시키기 위한 배기 배관(6) 상기 반응 챔버(11)와 연결되어 있다.
액상 유기 모노머를 기화시키기 위한 기화기(10, 일 예는 도 2에 도시됨)는 일 예로서 액체 도입구와 불활성 가스 도입구를 갖는다. 또한, 상기 기화기(10)는 도입된 가스와 액상 유기 모노머를 혼합하기 위한 혼합 유닛과 혼합물(일 예로서, 에어로졸 미스트(aerosol mist) 형태의 혼합물)을 가열하기 위한 가열 유닛을 포함할 수 있다. 도 1에 도시된 본 발명의 일 실시예에서, 불활성 가스는 불활성 가스 유량 제어기(8)로부터 기화기(10)로 제공되며, 액상 모노머는 액상 모노머 유량 제어기(9)로부터 기화기(10)로 제공된다. 상기 혼합물의 가열 온도는 상기 액상 모노머의 증기압 특성으로부터 결정될 수 있다. 일 실시예로서, 상기 온도는 약 30℃ 내지 350℃ 정도의 범위에서 유지될 수 있다. 기화된 가스는 가스 배관을 통해 반응 챔버(11)로 도입된다. 또한, 도 1에 도시된 실시예에서는, 가스 유량 제어기(7)로부터 첨가 가스가 반응 챔버(11)로 도입될 수 있도록 구성되고 있다. 한편, 도시된 바와는 다르게, 상기 불활성 가스는 기화기(10)를 경유하지 않고 반응 챔버(11) 로 직접 도입될 수도 있다. 또한, 도시된 바에 의하면, 첨가 가스를 제공하기 위한 가스 유량 제어기가 하나만 도시되어 있으나, 사용 가능한 첨가 가스의 종류에 따라 다수의 가스 유량 제어기들이 사용될 수도 있다.
상기 기화기(10)로부터 반응 챔버(11)로 상기 가스들을 제공하기 위한 배관들 및 상기 반응 챔버(11) 내부에 배치된 샤워 플레이트(상부 전극; 4)는 히터에 의해 약 30℃ 내지 350℃ 정도의 온도 범위 내에서 설정된 온도로 가열 및 유지될 수 있으며, 절연 물질로 커버될 수 있다.
액상 유기 모노머
본 발명의 일 실시예에서 소스 가스로서 사용되는 액상 유기 모노머로는 하이드로카본 함유 액상 모노머(CαHβXγ; 여기서, α 및 β는 5 이상의 자연수이고, γ는 0(zero)을 포함하는 정수이며, X는 산소(O), 질소(N) 또는 불소(F)이다)가 사용될 수 있다. 상기 하이드로카본 함유 액상 모노머는 상온 이상(예를 들면, 약 20℃ 내지 350℃)의 끓는점을 가지며, 비닐기 또는 아세틸렌기에 의해 치환되지 않는다. 바람직하게, 카본 수는 6 내지 30일 수 있으며, 본 발명의 일 실시예에서의 카본 수는 6 내지 12일 수 있다. 또한, 끓는점은 약 30℃ 내지 350℃ 정도일 수 있다. 본 발명의 다른 실시예에 따르면, 상기 끓는점은 약 50℃ 내지 200℃ 정도일 수 있으며, 본 발명의 또 다른 실시예에 따르면, 상기 끓는점은 약 100℃ 이상일 수 있다. 본 발명의 일 실시예에 따르면, 상기 액상 모노머는 고리형 하이드로카 본(cyclic hydrocarbon)일 수 있다. 상기 고리형 하이드로카본은 치환된 벤젠(substituted benzene) 또는 치환되지 않은 벤젠(non-substituted benzene; 무치환 벤젠)일 수 있다. 상기 치환된 벤젠 또는 치환되지 않은 벤젠은 C6H6-nRn(여기서, n은 0, 1, 2, 3이며, R은 독립적으로 -CH3 또는 -C2H5일 수 있다)일 수 있다. 본 발명의 일 실시예에서, 상기 액상 모노머는 치환된 또는 치환되지 않은 벤젠의 두 가지 형태 이상의 조합일 수 있다.
상기 치환된 벤젠은 1.3.5-트리메틸벤젠(trimethylbenzene), 오르소-크실렌(ortho-xylene), 메타-크실렌(meta-xylene) 또는 파라-크실렌(para-xylene) 중의 하나일 수 있으며, 벤젠 유도체(benzene derivative)에 더하여, 상기 고리형 하이드로카본은 사이클로헥산(cyclohexane), 사이클로헥센(cyclohexene), 사이클로헥사디엔(cyclohexadiene), 사이클로옥타테트라엔(cyclooctatetraene), 펜탄(pentane), 이소펜탄(iso-pentane) 또는 네오펜탄(neo-pentane) 중 어느 하나일 수 있다. 일 실시예에서, 상기 액상 모노머는 선형 하이드로카본(linear hydrocarbon)일 수 있으며, 상기 선형 하이드로카본은 헥산(hexane)일 수 있다.
추가적으로, 일 실시예에서, 상기 액상 모노머는 γ가 0(zero)인 하이드로카본일 수 있다. 일 실시예에서, 반응 가스는 상기 액상 모노머로만 구성될 수 있다.
특히, 1.3.5-트리메틸벤젠(C6H3(CH3)3; 1.3.5-trimethylbenzene (TMB); 끓는점 165℃) 또는 디메틸벤젠(C6H4(CH3)2; dimethylbenzene(xylene); 끓는점 144℃)을 들 수 있다. 상기에 더하여, 선형 알칸(linear alkane; CnH2(n+1))으로서, 펜탄(끓는점 36.1℃), 이소펜탄(끓는점 27.9℃) 또는 네오펜탄(끓는점 9.5℃)(여기서, n은 5이다) 또는 헥산(끓는점 68.7℃)(여기서, n은 6이다) 등이 소스 가스로서 사용될 수 있다.
또한, 다른 실시예로서, 액상 유기 모노머는 상온 이상의 끓는점(예를 들면, 약 20℃ 내지 350℃)을 갖는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)일 수 있다. 하드 마스크는 상기 모노머를 이용하여 형성될 수 있다. 예를 들면, 카본 수는 6 내지 30일 수 있다. 일 실시예로서, 상기 카본 수는 6 내지 12일 수 있다. 끓는점은 약 30℃ 내지 약 350℃ 정도일 수 있다. 일 실시예로서, 상기 끓는점은 약 50℃ 내지 350℃ 정도일 수 있다. 다른 실시예로서, 상기 끓는점은 약 50℃ 내지 200℃ 정도일 수 있으며, 또 다른 실시예로서, 상기 끓는점은 약 100℃ 이상일 수 있다. 또한, 상기 액상 모노머는 고리형 하이드로카본일 수 있으며, 상기 고리형 하이드로카본은 치환된 벤젠(substituted benzene) 또는 치환되지 않은 벤젠(non-substituted benzene)일 수 있다. 또한, 상기 치환된 또는 치환되지 않은 벤젠은 C6H6-nRn(여기서, n은 0, 1, 2 또는 3이다)일 수 있으며, 상기 R은 독립적으로 -CH3, -C2H5 또는 -CH=CH2일 수 있다. 추가적으로, 일 실시예에서, 상기 액상 모노머는 상기 치환되지 않은 벤젠의 두 가지 형태 이상의 조합일 수 있다.
상기 치환된 벤젠은 1.3.5-트리메틸벤젠(trimethylbenzene), 오르소-크실렌(ortho-xylene), 메타-크실렌(meta-xylene) 또는 파라-크실렌(para-xylene) 중의 하나일 수 있으며, 벤젠 유도체(benzene derivative)에 더하여, 상기 고리형 하이드로카본은 사이클로헥센(cyclohexene), 사이클로헥사디엔(cyclohexadiene), 사이클로옥타테트라엔(cyclooctatetraene), 펜탄(pentane), 이소펜탄(iso-pentane) 또는 네오펜탄(neo-pentane) 중 어느 하나일 수 있다. 일 실시예에서, 상기 액상 모노머는 선형 하이드로카본(linear hydrocarbon)일 수 있으며, 상기 선형 하이드로카본은 펜탄(pentane), 이소펜탄(iso-pentane), 네오펜탄(neo-pentane), 헥산(hexane), 1-펜텐(1-pentene), 1-헥센(1-hexene) 또는 1-펜틴(1-pentyne)일 수 있다.
또한, 일 실시예로서, 상기 액상 모노머는 γ가 0(zero)인 하이드로카본일 수 있다. 일 실시예에서, 상기 액상 모노머로만 구성된 반응 가스가 사용될 수 있다.
특히, C6H5(CH=CH2)(비닐벤젠(Vinylbenzene(Styrene)); 끓는점 145℃)를 들 수 있다. 이에 더하여, 선형 알켄(CnHn (n=5))으로서, 1-펜텐(끓는점 30.0℃), 또는 선형 알킨(linear alkyne (CnH2(n-1) (n=5))으로서, 1-펜틴(끓는점 40.2℃) 등이 소스 가스로서 사용될 수 있다.
모노머 기화
액상 모노머는 상온에서 액체 상태인 모노머를 의미한다. 그러나, 액상 모노머의 구조와 끓는점에 따라 액체 상태에서 상기 액상 모노머의 중합(polymerization) 반응이 일어나는 것을 방지하기 위한 수단이 요구된다.
약 150℃ 이하의 끓는점(1기압 하에서)과 높은 증기압을 가지며 불포화 결합(unsaturated bond)을 포함하는 반응기(reactive group)를 갖지 않는 벤젠 및 톨루엔과 같은 유기 모노머가 사용되는 경우, 기화기 내에서 중합 반응 또는 이와 유사한 반응이 문제를 야기하지 않기 때문에, 상기 액상 모노머를 탱크에 저장하는 방법을 사용할 수 있다. 상기 액상 모노머를 저장하는 탱크는 가열되며, 상기 탱크를 가열함으로써 기화된 가스는 제어된 유량으로 반응기(reactor)에 공급된다. 이 경우, 불활성 가스를 상기 반응기 내부로 도입하는 경우와 도입하지 않는 경우가 있을 수 있다.
약 150℃ 이상의 끓는점과 낮은 증기압을 갖는 유기 모노머가 사용되는 경우, 상기 탱크를 가열하는 방법이 사용된다면, 상기 액상 모노머의 분자량이 탱크 내부에서 일어나는 중합 반응에 의해 변화되는 현상 및 액체 상태에서의 모노머가 장시간 동안 고온에서 유지되기 때문에 굳어버리는 현상과 같은 문제점들이 발생될 수 있다. 이 때문에, 유량 제어 유닛을 이용하여 반응기 내부로 도입되는 모노머 가스의 유량에 상당하는 기 설정된 유량의 액상 모노머를 기화기 내부로 도입하는 형태의 기화기를 사용함으로써 상기 액상 모노머는 짧은 시간 내에 가열되고 기화될 수 있다. 이 경우, 상기 기화기로 불활성 가스를 도입하는 경우와 도입하지 않는 경우가 있을 수 있다.
추가적으로, 특히 약 170℃ 이상의 끓는점과 낮은 증기압 또는 비닐기(vinyl group)와 같은 불포화기(unsaturated group)를 포함하는 반응기(reactive group)를 갖는 모노머가 사용되는 경우, 기화기 내부에서 중합 반응이 일어나는 것을 방지하기 위한 수단이 요구된다. 이 경우, 기화기 내부로 불활성 가스를 도입하는 경우와 도입하지 않는 경우가 있을 수 있다. 그러나, 불활성 가스가 도입되는 경우가 바람직하다.
필름 형성 도중에 액상 모노머는 기화기 내부로 일정하게 공급될 수 있으며, 장시간 동안 액체 상태로 유지되고 가열되지 않는다. 그러나, 필름 형성이 완성된 후, 그리고 필름이 형성된 기판을 반출하고 후속 기판을 반응기 내부로 위치시키는 사이에는 상기 모노머가 반응기 내부로 공급되지 않기 때문에 상기 액상 모노머의 도입을 중단시킬 필요가 있다. 이 기간에, 상기 액상 모노머는 상기 기화기 내부의 히터부에 머무를 수 있으며, 상기 기화기 내부에서 중합 반응이 일어날 수 있다.
이러한 문제점을 해결하기 위하여, 상기 모노머가 반응기 내부로 공급되지 않는 동안 상기 히터/기화기 내부로 액상 모노머가 침투되지 않도록 상기 액상 모노머의 공급을 중단시키는 기능이 기화기의 상류측에 추가될 수 있다. 실시예들의 일 예는 유량 제어부가 저온을 유지하도록 상기 히터/기화기로부터 이격하여 배치되도록 하며, 액상 모노머의 공급을 중단시키는 기능이 유량 제어부에 추가되도록 하며, 또는 액상 모노머의 공급을 중단시키는 밸브가 유량 제어부에 제공되도록 한다. 상기 실시예들은 도 2에 도시된다.
도 2에서, 불활성 가스의 유량은 유량 제어 유닛(미도시)에서 제어되며, 상 기 불활성 가스는 기 설정된 유량으로 도입 배관(23)으로부터 기화 유닛(20) 내부로 도입된다. 전구체(액상 모노머) 및 상기 불활성 가스는 각각의 도입부들로부터 혼합 유닛(26)으로 각각 제공되며, 혼합 가스는 히터/기화기(25) 내에서 기화된다. 기화된 가스는 가스 배관(22)을 통해 반응 챔버로 공급된다. 상기 혼합 가스의 기화 온도는 상기 액상 모노머의 증기압 특성에 의해 결정될 수 있다. 예를 들면, 약 30℃ 내지 약 350℃ 정도의 범위 내에서 제어될 수 있다. 히터(21)는 기화 유닛(20)의 하부에 제공될 수 있다.
상기 기화기에서, 차단 밸브(24)는 혼합 유닛(26)의 상류측에 제공될 수 있다. 상기 전구체는 유량 제어 유닛(미도시) 및 차단 밸브(24)를 통과한 후 도입 배관(27)을 통해 혼합 유닛(26) 내부로 제공된다. 상기 차단 밸브(24)는 온도가 제어될 수 있으며, 예를 들어, 약 80℃ 이하 또는 히터/기화기(25)의 온도보다 약 50℃ 이상 낮은 온도에서 가열 또는 냉각 제어에 의해 유지될 수 있다. 상기 히터/기화기(25)의 온도가 약 100℃ 이하인 경우, 상기 차단 밸브(24)의 온도를 그다지 크게 고려하지 않을 수도 있다. 추가적으로, 상기 히터/기화기(25)의 온도가 약 100℃ 이하인 경우, 고온 영역으로부터 이격되도록 구성된다면 상기 차단 밸브(24)는 온도 제어 없이 자연적으로 냉각될 수 있다. 한편, 상기 차단 밸브(24)는 상기 필름을 형성하는 동안에도 상기 히터/기화기(25)로 액상 모노머가 도입되지 않도록 닫힐 수도 있다.
비록 액상 모노머가 상기 차단 밸브(24)의 상류측에서 차단되어 있는 경우라 하더라도 상기 차단 밸브(24)의 온도가 약 80℃ 이하 또는 상기 히터/기화기(25)의 온도보다 약 50℃ 이상 낮은 온도에서 유지되므로 상기 액상 모노머가 상기 차단 밸브에 의해 차단되어 있는 동안 중합 반응이 일어나기는 매우 어렵다. 결과적으로, 상기 차단 밸브(24)의 온도는 상기 액상 모노머가 차단되어 있는 동안 중합 반응이 일어나지 않을 정도이면 충분하며, 액상 모노머의 중합 반응 특성에 따라 적절하게 선택될 수 있다. 또한, 낮은 끓는점과 높은 증기압을 갖는 액상 모노머가 사용될 경우, 상기 차단 밸브(24)의 온도 제어는 불필요할 수 있다. 추가적으로, 높은 끓는점과 낮은 증기압을 갖는 액상 모노머가 사용될 경우에도, 필름 형성이 짧은 시간 내에 이루어지는 경우라면 기화기에서 액상 모노머의 중합 반응이 크게 중요하지 않을 수 있으므로, 상기 차단 밸브(24)의 온도 제어가 불필요한 경우들이 있을 수 있다.
또한, 상기 차단 밸브(24)가 차단된 후, 상기 불활성 가스가 연속적으로 상기 혼합 유닛(26) 및 상기 히터/기화기(25) 내부로 도입됨에 따라 상기 히터/기화기(25)의 내부는 상기 불활성 가스에 의해 퍼지될(purged) 수 있다. 이에 따라, 상기 기화기 내에 잔류하는 그리고 대기(on standby) 상태의 액상 모노머의 양이 감소될 수 있으며, 중합 반응을 일으킬 수 있는 액상 모노머의 양이 최소화될 수 있다.
추가적으로, 유량 제어 기능이 차단 밸브에 추가될 수 있으며, 이 경우, 별도의 유량 제어 유닛이 요구되지 않거나 간소화될 수 있다.
상기 차단 밸브가 요구되지 않는 경우, 탱크 타입의 히터/기화기 또는 노즐 타입의 히터/기화기(예를 들면, 이들은 미합중국 특허 제6277201호, 제6699524호, 제5377616호 등에 개시되어 있다)가 사용될 수 있다. 또한, 노즐 타입의 기화기는 반응 챔버 내에 제공될 수 있다.
유량 및 기타 조건들
액상 모노머의 유량은 특별하게 한정되지는 않는다. 그러나, 일 실시예에 따르면, 기화된 후 반응 챔버 내부로 도입되는 유량에 따라 약 30sccm 내지 약 1000sccm 정도일 수 있다. 예를 들면, 50sccm, 100sccm, 150sccm, 200sccm, 300sccm, 400sccm, 500sccm 또는 상기 값들 중 두 개의 값들에 의해 한정된 범위를 포함할 수 있다.
또한, 불활성 가스가 반응 챔버로 도입될 수 있다. 예를 들면, 상기 불활성 가스로는 아르곤(Ar), 헬륨(He), 네온(Ne), 크롬(Kr), 제논(Xe), 질소(N2) 등이 사용될 수 있으며, 이들의 혼합 가스가 사용될 수도 있다. 예를 들면, 아르곤(Ar) 및/또는 헬륨(He)이 사용될 수 있다. 반응 챔버로 도입되는 불활성 가스의 유량은 약 0sccm 내지 약 3000sccm 정도일 수 있다. 예를 들면, 30sccm, 50sccm, 100sccm, 150sccm, 200sccm, 300sccm, 500sccm, 1000sccm, 2000sccm 또는 상기 값들 중 두 개의 값들에 의해 한정된 범위를 포함할 수 있다.
본 발명의 일 실시예에 따르면, CO2 가스 또는 H2 가스가 상기한 바와 같이 도입될 수도 있다. 또한, 첨가 가스로서, 유기 가스 CnHm(여기서, n은 0(zero)을 포함하는 4 이하의 정수이며, m은 자연수이다)가 반응 챔버 내부로 도입될 수 있다. 또는, 첨가 가스에 더하여, 질소(N), 산소(O) 또는 불소(F)를 포함하는 건조 가스가 반응 챔버 내부로 도입될 수 있다. 또한, 환원 가스로서, 암모니아, 카본 일산화물(carbon monoxide) 등이 첨가 가스로서 사용될 수도 있다. 반응 챔버로 도입되는 첨가 가스의 유량은 약 0sccm 내지 약 300sccm 정도일 수 있다. 예를 들면, 30sccm, 50sccm, 100sccm, 150sccm, 200sccm 또는 상기 값들 중 두 개의 값들에 의해 한정된 범위를 포함할 수 있다.
결과적으로, 일 실시예에서, 유기 카본 폴리머 필름은 하이드로카본 함유 가스와 불활성 가스를 이용하여 형성될 수 있다. 또는, 상기 필름은 하이드로카본 함유 가스만을 이용하여 형성될 수도 있다. 추가적으로, 하이드로카본 함유 가스 및 불활성 가스만을 이용하거나, 하이드로카본 함유 가스, 불활성 가스 및 첨가 가스를 이용하여 형성될 수도 있다.
추가적으로, 불활성 가스가 히터/기화기에서 혼합되는 경우에서도, 상기 불활성 가스는 반응 챔버 내부로 도입될 수 있다. 상기 반응 챔버 내부로 도입될 수 있는 불활성 가스에 대한 상세한 설명은 여기에 동일하게 적용될 수 있다. 이 경우, 상기 반응 챔버 내부로 직접 도입되는 불활성 가스를 대신하여 기화기를 통해 도입되는 불활성 가스가 사용될 수도 있다. 또한, 상기 반응 챔버 내부로 직접 도입되는 불활성 가스 및 기화기를 통해 제공되는 불활성 가스는 함께 사용될 수도 있다. 일 실시예에서, 상기 기화기를 통해 제공되는 불활성 가스의 유량은 직접 도입되는 불활성 가스와 동일하거나 그 이상일 수 있다. 또한, 동일한 타입의 불활성 가스가 기화기를 통해 또는 반응 챔버로 직접 도입될 수 있다. 다른 실시예로서, 다른 타입의 불활성 가스들이 각각 사용될 수도 있다.
일 실시예에 따르면, 플라즈마 중합 반응이 약 0℃ 내지 약 650℃ 정도(150℃ 내지 450℃ 정도의 온도 범위 및 300℃ 내지 400℃ 정도의 온도 범위를 포함한다)의 기판 온도 및 약 10Pa 내지 약 1500Pa 정도(50Pa 내지 1000Pa 및 100Pa 내지 500Pa 정도의 압력을 포함한다)의 반응 압력의 조건들에서 수행될 수 있다.
RF(radio frequency) 파워 밀도와 관련하여, 플라즈마 중합 반응 단계는 기판 면적당 약 0.01W/cm2 내지 약 20W/cm2 정도(약 0.05 내지 10W/cm2 및 1 내지 5W/cm2 정도의 범위를 포함한다)의 RF 파워 밀도의 조건에서 수행될 수 있다.
단위 모노머에 따른 파워(power per unit monomer)는 다양한 다른 조건들에서 변화될 수 있다. 플라즈마 중합 반응 단계는 약 0.01 내지 100W/sccm의 조건(0.05 내지 50W/sccm 및 3 내지 20W/sccm 정도의 범위를 포함한다)에서 수행될 수 있으며, RF 파워는 모노머 단위 유량에 따라 제어될 수 있다.
또한, 플라즈마 중합 반응 단계는 5MHz를 초과하는 주파수를 이용하여 수행될 수 있다. 예를 들면, 13.56MHz, 27MHz 또는 60MHz 정도의 높은 주파수의 RF 파워를 이용하여 수행될 수 있다. 또한, 상기 높은 주파수의 RF 파워 및 약 5MHz 이하(약 2MHz 이하 또는 500kHz 이하를 포함한다)의 낮은 주파수의 RF 파워가 조합될 수 있다. 일 실시예에서, 낮은 RF 파워의 비율은 높은 RF 파워의 약 50% 이하, 약 30% 이하 또는 10% 이하일 수 있다.
유기 폴리머의 두께는 사용 목적에 따라 적절하게 선택될 수 있다. 예를 들 면, 약 50nm 내지 1000nm 또는 약 100nm 내지 500nm 정도일 수 있다. 증착 속도는 사용되는 모노머에 따라 다를 수 있다. 예를 들면, 약 0.1 내지 20nm/sec 정도일 수 있다.
획득되는 카본 폴리머 필름은 사용되는 액상 모노머에 따라 다를 수 있다. 예를 들면, 약 4 내지 10GPa 또는 약 5 내지 8GPa 정도의 탄성 계수(elastic modulus)를 가질 수 있으며, 약 0.1 내지 2GPa 또는 약 0.3 내지 1GPa 정도의 기계적 경도(mechanical hardness)를 가질 수 있다.
하드 마스크 형성
하드 마스크 형성과 사용에 대한 일 예는 도 4에 도시되어 있다. 도 4(a)는 본 발명의 일 실시예에 따른 유기 카본 폴리머 필름이 하드 마스크로서 형성된 반도체 장치 기판의 구조물을 보여주는 개략도이다. 전기적인 회로가 형성된 하부 구조물(33) 상에 유전막(실리콘 산화물, SiOF, SiC, 저유전율 물질막, 등), 커패시터 물질(SiN, Al2O3, HfO2, Ta2O3, 등), 전극 물질, 도전성 물질(폴리실리콘, TiN, TaN, Ru, Al, 등) 등과 같은 물질막(32)이 형성된다. 그 상부에는 유기 카본 폴리머 필름이 하드 마스크(31)로서 형성된다. 또한, 그 상부에는 포토레지스트 필름(30; 포토폴리머(photopolymer) 등)이 형성된다. 한편, 본 발명은 상기와 같은 구조(예를 들면, 디램(DRAM))에 의해 한정되지 않는다. 또한, 상기 구조물은 라미네이트 구조(film-laminated structure)를 가질 수도 있으며, 유전막은 스핀-온(spin-on) 공정(회전 코팅)에 의해 형성된 저유전막(low-k film)일 수도 있다.
도 4(b)에서, 상기 포토레지스트 필름(30)은 기 설정된 패턴으로 식각되며, 도 4(c)에서, 하드 마스크(31)가 식각된다. 이어서, 도 4(d)에서, 상기 유전막(32)이 식각되며, 도 4(e)에서, 마지막으로 잔류 하드 마스크(31)가 O2 애싱에 의해 제거된다. 이에 따라, 목적하는 패턴을 갖는 유전막이 형성된다.
후 처리(After-treatment)
또한, 기판 상에 필름 형성이 완료된 후, 반응 챔버의 내측 벽에 대한 세정을 수행할 수 있다. 예를 들면, 반응 챔버의 벽 표면의 세정은 산소(O2) 및/또는 CxFy 가스(여기서, x 및 y는 각각 자연수이다) 및 불활성 가스의 혼합 가스를 반응 챔버 내부로 도입하고 전극들 사이에서 플라즈마를 발생시킴으로써 수행될 수 있다. 또한, 기판 상에 필름 형성이 완료된 후, 상기 반응 챔버의 벽 표면에 대한 세정은 산소(O), 불소(F) 등을 포함하는 라디칼 분자들을 포함하는 가스를 반응 챔버 내부로 도입함으로써 수행될 수 있다. 추가적으로, 상기 기판 상에 필름 형성이 종료된 후, 산소(O), 불소(F) 등을 포함하는 라디칼 분자들을 포함하는 가스를 반응 챔버 내부로 도입하고, 전극들 사이에서 플라즈마를 발생시킴으로써 수행될 수도 있다.
또한, 상기 반응 챔버의 벽 표면에 대한 세정을 수행한 후, 환원 가스 및 환원 라디칼 분자들을 반응 챔버 내부로 도입하고 전극들 사이에서 플라즈마를 발생시킴으로써, 상기 반응 챔버의 벽 표면 상의 플루오르화물(fluoride)을 제거할 수 있다.
추가적으로, 필름의 기계적 강도를 증가시키기 위하여, 상기 형성된 필름에 대한 열 치유 공정(heat curing process)이 UV 및 EB를 조합하여 수행될 수 있다.
실험 결과
본 발명의 실시예들이 하기에서 설명될 것이다. 그러나, 본 발명은 이러한 실시예들에 의해 한정되지는 않는다.
공통 조건: 각각의 실시예들에서 공통 조건들은 다음과 같다. 도 1에 도시된 이글TM10(EagleTM10 ASM Japan) 공정 기본 설비가 반응기로서 사용되었으며, 도 2에 도시된 히터/기화기가 사용되었다. 또한, 본 실시예들에서, 액상 모노머가 액체 상태로 유량 제어 유닛에 의해 유량 제어되었으나, 상기 액체 유량으로부터 몰 농도 변환(molar conversion)에 의해 반응기 내부로 도입되는 가스의 양이 획득될 수도 있다. 또한, 본 실시예들에서, 200mm 직경을 갖는 기판이 사용되었다. 300mm 직경을 갖는 기판의 경우, 가스 유량 및 RF 파워를 제외하고는 하기의 공통 조건들이 또한 적용될 수 있다. 예를 들면, 300mm 직경을 갖는 기판의 경우, 가스 유량 및 RF 파워는 약 2배(예를 들면, 약 2.25배) 정도 커질 수 있다.
반응기 조건들
상부 전극(샤워 플레이트)의 온도: 180℃
샤워 플레이트 크기: Φ250mm
기판 크기: Φ200mm
기판 온도: 390℃
기화기 온도, 기화 부위: 150℃
가스 도입 배관의 제어 온도: 140℃
비교예 1 (첨가 가스 사용하지 않음)
본 비교예에서 공정 조건들 및 필름 형성 결과는 하기와 같다.
샤워 플레이트와 서셉터 사이의 간격: 16mm
·공정 조건들:
C6H3(CH3)3: 130sccm
기화기에 공급된 헬륨(He); 170sccm
반응기에 공급된 공정 가스 헬륨(He): 44sccm
13.56Mhz RF 파워: 400W
400kHz RF 파워: 145W
압력: 800Pa
필름 형성 시간: 29초
·필름 형성 결과:
RI(n): 633nm에서 1.690
RI(k): 633nm에서 0.018
RI(n): 193nm에서 1.438
RI(k): 193nm에서 0.400
탄성 계수: 5.06GPa
기계적 경도: 0.422GPa
(얇은 필름 형성의 제어 가능성(controllability))
도 3은 필름 형성 시간과 상기한 바와 동일한 조건들 하에서 형성된 필름의 두께의 관계를 보여준다. 필름 두께는 필름 형성 시간에 비례하며, 약 30nm 내지 약 400nm 정도의 두께를 갖는 얇은 필름들이 만족할 수 있는 정도의 제어 가능성으로 형성되었음이 확인되었다. 또한, 획득된 카본 폴리머 필름들의 RI, 탄성 계수, 기계적 경도는 모두 만족할 정도였으며, 상기 카본 폴리머 필름들이 하드 마스크로서 적당한 것으로 보여진다.
상기 비교예1에서, 첨가 가스는 사용되지 않았다. 다음의 실시예들은 첨가 가스, 특히 CO2 가스가 광학적 특성들 및 기계적 특성들을 변화시키기 위하여 사용된 예를 보여준다. 또한, 실시예들은 광학적 특성들 및 기계적 특성들이 일반적으로 또는 실질적으로 상기 첨가 가스의 유량에 비례한다는 점을 보여준다. 특히, 형성되는 필름들의 기계적 경도는 증가되었으며(화학적 구조들이 보다 복잡해지고 강화되었다), 이에 따라 식각 선택비(예를 들면, 다마신 공정에서 산화막과 하드 마스크 사이의 선택비)가 개선될 수 있다. 또한, 흡광 계수(k)는 감소되었으며, 이에 따라 반사 방지막과의 조합에서 반사도가 감소될 수 있다. 또는, 상기 반사 방지막에 대한 요구를 제거할 수 있다. 포토레지스트가 193nm의 파장을 갖는 광빔에 노출되는 경우, 193nm에서의 낮은 흡광 계수(k)는 반사 방지막을 제거하기 위하여 매우 중요하다.
실시예 1 (CO 2 가스: 3000sccm)
본 실시예에서의 공정 조건들 및 막 형성 결과들은 다음과 같다.
샤워 플레이트와 서셉터 사이의 간격: 16mm
·공정 조건들
C6H3(CH3)3: 130sccm
CO2: 3000sccm
기화기에 공급된 헬륨(He): 170sccm
반응기에 공급된 공정 가스 헬륨(He): 44sccm
13.56MHz RF 파워: 400W
400kHz RF 파워: 145W
압력: 800Pa
필름 형성 시간: 42초
·필름 형성 결과:
RI(n): 633nm에서 1.777
RI(k): 633nm에서 0.075
RI(n): 193nm에서 1.513
RI(k): 193nm에서 0.244
탄성 계수: 9.46GPa
기계적 경도: 1.288GPa
본 실시예에서, 비교예1과 비교하면, 633nm에서의 굴절률(n) 및 흡광 계수(k)는 1.690 및 0.018에서 1.777 및 0.075로 각각 증가되었다. 그러나, 193nm에서, 굴절률(n)은 1.438에서 1.513으로 증가되었으나, 흡광 계수(k)는 0.400에서 0.244로 감소되었다. 또한, 기계적 경도와 탄성 계수 모두는 0.42GPa 및 5.06GPa에서 1.29GPa 및 9.46GPa로 각각 크게 증가되었다. 이러한 현상은 놀라운 것일 수 있다. 이는 기계적 경도가 증가하는 경우, 밀도가 또한 증가하고, 이에 따라 굴절률(n)이 일반적으로 증가하며(보다 많은 굴절이 일어날 수 있음) 흡광 계수(k)가 증가하기(적은 양의 광이 필름을 통해 통과할 수 있음) 때문이다. 그러나, 첨가 가스로서 CO2가 추가되는 경우, CO2로부터 유도된 CO 및/또는 O가 예를 들면, 필름 구조들에서 나타나는 -CH2에서 가교제로서 가교 결합을 촉진시키며, 이에 따라 그 분자 결합들을 더욱 복잡하게 하지만, 633nm에서 흡광 계수(k)를 증가시키는 반면, 193nm에서 흡광 계수(k)를 감소시킨다. 즉, 193nm에서 필름을 통해 보다 많은 광을 통과시킨다. 이는 상기 필름의 분자 구조들이 보다 복잡해지지만, 필름의 밀도를 크게 증가시키지 않고도 특정 방법으로 보다 유기적으로 되기 때문이다. 그러나, 상기한 바와 같은 이론이나 메커니즘들이 본 발명을 제한하지는 않는다.
실시예 2 및 3 (CO 2 가스: 370sccm 및 740sccm)
본 실시예들에서 공정 조건들은 CO2의 유량과 표 1에 표시된 증착 속도를 제외하고 기본적으로 실시예 1과 동일하게 적용되었다. 필름 형성 결과는 표 1에 또한 나타내었다.
표 1에서 나타난 바와 같이, 상기에서 설명된 현상은 본 실시예들에서도 보여진다. 즉, 필름들의 기계적 경도와 탄성 계수는 CO2의 유량에 일반적으로 또는 실질적으로 비례하고 있다.
비교예 2 (O 2 : 15sccm)
본 비교예에서 공정 조건들은 첨가 가스와 유량 및 표 1에 표시된 증착 속도를 제외하고 기본적으로 실시예 1과 동일하게 적용되었다. 필름 형성 결과는 표 1에 나타내었다.
O2는 산화 가스이며, CO2 역시 산화 효과를 갖는다. 따라서, O2의 사용은 표 1에 나타낸 바와 같이 CO2의 경우와 유사한 현상들을 제공했다. 그러나, 기계적 경도와 193nm에서의 흡광 계수(k)의 개선 정도는 실시예 1 내지 3에서와 같이 좋지는 않았다. 기계적 경도는 0.5GPa보다 낮았으며, 193nm에서의 흡광 계수(k)는 0.38보 다 높았다. 또한, 플라즈마가 불안정해질 수 있기 때문에 O2의 유량을 증가시키기 어렵다.
실시예 4 (H 2 : 200sccm)
본 실시예에서 공정 조건들은 CO2 대신에 H2가 첨가 가스로서 약 200sccm으로 사용된 점을 제외하고 실시예 1과 기본적으로 동일하게 적용되었다. 필름 형성 시간은 24초였으며, 증착 속도는 495nm/min이었다. 필름 형성 결과는 표 1에 나타내었다.
표 1에 나타낸 바와 같이, H2를 첨가 가스로서 200sccm 정도로 사용함으로써, 633nm에서 굴절률(n) 및 흡광 계수(k)는 증가되었으나, 193nm에서 굴절률(n) 및 흡광 계수(k)는 감소되었다. 또한, 기계적 경도가 증가했다. 이러한 현상들은 실시예 1 내지 3과는 다소 다른 것을 알 수 있다. H2가 첨가 가스로서 추가된 경우, H2로부터 유도된 H는 필름 구조들에서 나타나는 -CH2 내에서 H-터미널(H-terminal)을 형성하거나 -CH2에서 환원제로서 가교 결합을 촉진시킨다. 이에 따라 필름의 분자 구조들을 더욱 복잡하게 되지만, 흡광 계수(k)는 감소하며 더 많은 광이 필름을 통해 통과한다. 이는 분자 구조들이 더욱 복잡해지지만 필름의 밀도를 크게 증가시키지 않고 CO2의 경우에서와는 다르게 특정 방법으로 더욱 유기적으로 된다. 상기와 같은 이론들 및 메커니즘들은 본 발명을 한정하고자 기재된 것은 아니다.
비교예 3 (온도: 500℃, 첨가 가스 사용되지 않음)
본 비교예에서 공정 조건들은 기판의 온도가 500℃로 제어되고 첨가 가스가 사용되지 않은 점을 제외하고는 실시예 1과 기본적으로 동일하게 적용되었다. 필름 형성 결과는 표 1에 나타내었다.
표 1에 나타낸 바와 같이, 기판의 온도가 높게 했을 때, 필름의 기계적 강도는 증가되었다. 또한, 193nm에서 굴절률(n)을 제외하고 필름의 흡광 계수(k) 및 굴절률(n)이 증가되었다. 이는 필름 내의 취약한 결합들이 고온에서 열 에너지에 의해 분해되었기 때문이다. 이에 따라 더욱 강한 결합들이 형성되었으며, 밀도와 굴절률(n)이 증가되었다. 또한, 이는 낮은 온도의 필름 내에 포함된 -CH3와 같이 수소를 포함하는 결합들이 고온에서 분해되었기 때문이며, 이에 따라 카본 성분이 증가되고 굴절률(n)이 증가된다.
표 1에 나타낸 바와 같이, 상기 고온 증착은 흡광 계수(k)의 증가와 같은 단점을 갖지만, CO2 또는 H2의 고유량이 플라즈마를 불안정하게 할 수 있는데 반하여 플라즈마를 불안정하게 하지 않으면서 기계적 강도 및 식각 선택비를 증가시킬 수 있다.
실시예 5 (온도: 450℃, CO 2 : 3000sccm)
본 실시예에서 공정 조건들은 기판의 450℃로 제어한 점을 제외하면 실시예 1과 기본적으로 동일하게 적용되었다. 필름 형성 결과는 표 1에 나타내었다.
표 1에 나타낸 바와 같이, CO2의 유량이 고온에서 높은 경우, 기계적 강도는 크게 증가되었으며(실시예들 중에서 가장 높다), 193nm에서 흡광 계수(k)는 비교예 1과 비교하여 감소되었다. 193nm에서 흡광 계수(k)가 실시예 1에서보다 다소 높기는 하지만, 그 값은 비교예 1에 비하여는 크게 낮다. 또한, 본 실시예에서, 기판의 온도는 450℃로 설정되었음을 고려하면, 약 400℃ 이상의 온도에서 본 실시예에서와 같은 우수한 효과들을 얻을 수 있음을 알 수 있다. 본 실시예에 따르면, CO2 또는 H2의 첨가와 고온 증착의 조합을 통하여 CO2 또는 H2의 첨가만을 한 경우보다 더 높은 정도의 기계적 강도를 얻을 수 있음을 알 수 있다.
[표 1]
Figure 112006082432155-PAT00001
실시예 6 (기계적 경도/ 흡광 계수(k) 및 CO 2 유량 사이의 관계)
본 실시예에서 공정 조건들은 CO2 유량 및 표 2에 표시된 증착 속도를 제외하면 실시예 1에서와 기본적으로 동일하다. 필름 형성 결과는 도 5에 도시하였다. (일반적으로, 증착 속도는 약 150nm/min 내지 약 300nm/min 정도의 범위일 수 있다.)
[표 2]
CO2 유량 (sccm) 증착 속도(nm/min)
0 420.4
37 424.0
147 450.8
369 197.8
737 202.9
1500 182.7
2998 291.1
도 5에 도시된 바와 같이, CO2 유량이 높아지면 흡광 계수(k)는 낮아진다. 반면에, CO2 유량이 높아지면, 기계적 경도는 높아진다. 또한, CO2 유량이 약 800sccm 이상인 경우, 193nm에서 흡광 계수(k)는 0.35 이하이며, 기계적 경도는 0.8GPa 이상이다. 따라서, 이러한 필름들을 하드 마스크로서 사용하는 경우, 하드 마스크는 193nm의 파장을 갖는 광 빔 효과적으로 통과할 수 있게 된다. 따라서, 포토레지스트는 반사 방지막과 함께 더욱 효과적으로 처리될 수 있다. 경우에 따라서는 반사 방지막이 필요하지 않을 수도 있다. 또한, 패턴 선폭이 좁은 경우에도, 충 분한 기계적 강도를 갖는 하드 마스크가 제조 공정에서 유지될 수 있다.
산업상 이용 가능성
본 발명의 적어도 하나의 실시예에 따르면, 플라즈마 CVD에 의해 폴리머 하드 마스크 필름을 형성하는 것이 가능하며, 차세대 고집적 반도체 장치의 제조가 용이하게 이루어질 수 있다. 동시에, 신뢰도가 높은 반도체 장치들을 저렴하게 공급할 수 있다.
상기한 바에 따르면, 본 발명은 적어도 하기의 실시예들을 포함할 수 있다.
1) 정전용량적 결합 플라즈마 CVD 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법은, 20℃ 내지 350℃의 끓는점을 갖고 비닐기(vinyl group) 또는 아세틸렌기(acetylene group)에 의해 치환되지 않는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)를 기화시키는 단계와, 상기 기화된 가스 및 CO2 가스와 같은 산화 가스 또는 H2 가스와 같은 환원 가스를 기판이 위치된 CVD 반응 챔버 내부로 도입하는 단계와, 상기 가스의 플라즈마 중합 반응을 이용하여 상기 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 단계를 포함하며, 선택적으로 기판 상에 필름을 증착하는 동안 기판의 온도를 약 400℃ 이상으로 제어할 수 있다.
2) 상기 1)에 기재된 방법에서, 상기 액상 모노머는 고리형 하이드로카본일 수 있다.
3) 상기 2)에 기재된 방법에서, 상기 고리형 하이드로카본은 치환된 또는 치환되지 않은 벤젠일 수 있다.
4) 상기 3)에 기재된 방법에서, 상기 치환된 또는 치환되지 않은 벤젠은 C6H6-nRn일 수 있다. 여기서, n은 0, 1, 2 또는 3일 수 있으며, R은 독립적으로 -CH3 또는 -C2H5일 수 있다.
5) 상기 4)에 기재된 방법에서, 상기 액상 모노머는 상기 치환된 또는 치환되지 않은 벤젠의 두 가지 타입 이상의 조합일 수 있다.
6) 상기 4)에 기재된 방법에서, 상기 치환된 벤젠은 1,3,5-트리메틸벤젠(1,3,5-trimethylbenzene), 오르소-크실렌(ortho-xylene), 메타-크실렌(meta-xylene) 또는 파라-크실렌(para-xylene) 중 어느 하나일 수 있다.
7) 상기 2)에 기재된 방법에서, 상기 고리형 하이드로카본은 사이클로헥산(cyclohexane), 사이클로헥센(cyclohexene), 사이클로헥사디엔(cyclohexadiene), 사이클로옥타테트라엔(cyclooctatetraene), 펜탄(pentane), 이소펜탄(iso-pentane) 또는 네오펜탄(neo-pentane) 중 어느 하나일 수 있다.
8) 상기 1)에 기재된 방법에서, 상기 액상 모노머는 선형 하이드로카본일 수 있다.
9) 상기 8)에 기재된 방법에서, 상기 선형 하이드로카본은 헥산(hexane)일 수 있다.
10) 상기 1)에 기재된 방법에서, 상기 액상 모노머는 γ가 0(zero)인 하이드로카본일 수 있다.
11) 상기 1)에 기재된 방법에서, 상기 액상 모노머는 반응 가스로서 단독으로 사용될 수 있다.
12) 상기 1)에 기재된 방법에서, 상기 액상 모노머는 상기 반응 챔버의 상류측에 배치된 히터로 도입되어 기화될 수 있다.
13) 상기 12)에 기재된 방법에서, 상기 액상 모노머는 상기 히터의 상류측에서 밸브에 의해 유량 제어되며, 상기 히터로의 도입은 상기 히터와 상기 유량 제어를 위한 밸브 사이에 배치된 차단 밸브에 의해 상기 필름을 형성하는 때를 제외하고 차단되고, 약 80℃ 이하 또는 기화 온도보다 약 50℃ 이상 낮은 온도에서 유지될 수 있다.
14) 상기 12)에 기재된 방법에서, 제17항에 있어서, 상기 액상 모노머는 상기 히터의 상류측에 배치된 밸브에 의해 유량 제어되고, 약 80℃ 이하 또는 기화 온도보다 약 50℃ 이상 낮은 온도에서 유지되며, 상기 히터로의 도입은 필름을 형성하는 때를 제외하고 차단될 수 있다.
15) 상기 13) 및 14)에 기재된 방법에서, 상기 액상 모노머는 상기 밸브의 하류 및 상기 히터의 상류 사이에서 불활성 가스와 혼합될 수 있다.
16) 상기 1)에 기재된 방법에서, 상기 플라즈마 중합 반응 이전에 상기 반응 챔버 내부로 불활성 가스를 도입하는 단계를 더 포함할 수 있다.
17) 상기 16)에 기재된 방법에서, 상기 불활성 가스는 아르곤(Ar), 헬륨(He), 네온(Ne), 크롬(Kr), 제논(Xe) 또는 질소(N2) 중의 하나 또는 이들의 조합일 수 있다.
18) 상기 1)에 기재된 방법에서, 첨가 가스로서, 유기 가스 CnHm(여기서, n은 0(zero)을 포함하는 4 이하의 정수이며, m은 자연수이다)가 반응 챔버로 더 도입될 수 있다.
19) 상기 1)에 기재된 방법에서, 첨가 가스로서, 질소(N), 산소(O) 또는 불소(F)를 포함하는 건조 가스가 상기 반응 챔버로 더 공급될 수 있다.
20) 상기 1)에 기재된 방법에서, 상기 플라즈마 중합 반응은 약 0℃ 내지 650℃ 정도의 기판 온도, 약 10Pa 내지 1500Pa 정도의 반응 압력 및 약 0.01W/cm2 내지 약 20W/cm2 정도의 RF 파워 밀도의 조건에서 수행될 수 있다.
21) 상기 1)에 기재된 방법에서, 상기 플라즈마 중합 반응은 13.56MHz, 27MHz 또는 60MHz의 고주파 RF 파워를 이용하여 수행될 수 있다.
22) 상기 21)에 기재된 방법에서, 상기 주파수들 중 하나의 고주파 RF 파워와 약 5MHz 이하의 저주파 RF 파워가 조합될 수 있다.
23) 상기 1)에 기재된 방법에서, 상기 기판은 반도체 장치용 기판일 수 있다.
24) 정전용량적 결합 플라즈마 CVD 장치를 이용하여 반도체 기판 상에 하이 드로카본 함유 폴리머 필름을 형성하는 방법은, 반도체 기판을 CVD 반응 챔버 내에 위치시키는 단계와, 20℃ 내지 350℃의 끓는점을 갖는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)를 기화시키는 단계와, 상기 기화된 가스 및 CO2 가스와 같은 산화 가스 또는 H2 가스와 같은 환원 가스를 기판이 위치된 CVD 반응 챔버 내부로 도입하는 단계와, 상기 가스의 플라즈마 중합 반응을 이용하여 상기 기판 상에 하이드로카본 함유 폴리머 필름을 포함하는 하드 마스크를 형성하는 단계를 포함하며, 선택적으로 기판 상에 필름을 증착하는 동안 기판의 온도를 약 400℃ 이상으로 제어할 수 있다.
25) 상기 24)에 기재된 방법에서, 상기 액상 모노머는 고리형 하이드로카본일 수 있다.
26) 상기 25)에 기재된 방법에서, 상기 고리형 하이드로카본은 치환된 또는 치환되지 않은 벤젠일 수 있다.
27) 상기 25)에 기재된 방법에서, 상기 치환된 또는 치환되지 않은 벤젠은 C6H6-nRn일 수 있다. 여기서, n은 0, 1, 2 또는 3일 수 있으며, R은 독립적으로 -CH3, -C2H5 또는 -CH=CH2일 수 있다.
28) 상기 27)에 기재된 방법에서, 상기 액상 모노머는 상기 치환된 또는 치환되지 않은 벤젠의 두 가지 타입 이상의 조합일 수 있다.
29) 상기 25)에 기재된 방법에서, 상기 치환된 벤젠은 1,3,5-트리메틸벤 젠(1,3,5-trimethylbenzene), 오르소-크실렌(ortho-xylene), 메타-크실렌(meta-xylene) 또는 파라-크실렌(para-xylene) 중 어느 하나일 수 있다.
30) 상기 25)에 기재된 방법에서, 상기 고리형 하이드로카본은 사이클로헥산(cyclohexane), 사이클로헥센(cyclohexene), 사이클로헥사디엔(cyclohexadiene), 사이클로옥타테트라엔(cyclooctatetraene), 펜탄(pentane), 이소펜탄(iso-pentane) 또는 네오펜탄(neo-pentane) 중 어느 하나일 수 있다.
31) 상기 24)에 기재된 방법에서, 상기 액상 모노머는 선형 하이드로카본일 수 있다.
32) 상기 31)에 기재된 방법에서, 상기 선형 하이드로카본은 펜탄(pentane), 이소펜탄(iso-pentane), 네오펜탄(neo-pentane), 헥산(hexane), 1-펜텐(1-pentene), 1-헥센(1-hexene) 또는 1-펜틴(1-pentyne)일 수 있다.
33) 상기 24)에 기재된 방법에서, 상기 액상 모노머는 γ가 0(zero)인 하이드로카본일 수 있다.
34) 상기 24)에 기재된 방법에서, 상기 액상 모노머는 반응 가스로서 단독으로 사용될 수 있다.
35) 상기 24)에 기재된 방법에서, 상기 액상 모노머는 상기 반응 챔버의 상류측에 배치된 히터로 도입되어 기화될 수 있다.
36) 상기 35)에 기재된 방법에서, 상기 액상 모노머는 상기 히터의 상류측에서 밸브에 의해 유량 제어되며, 상기 히터로의 도입은 상기 히터와 상기 유량 제어를 위한 밸브 사이에 배치된 차단 밸브에 의해 상기 필름을 형성하는 때를 제외하 고 차단되고, 약 80℃ 이하 또는 기화 온도보다 약 50℃ 이상 낮은 온도에서 유지될 수 있다.
37) 상기 35)에 기재된 방법에서, 제17항에 있어서, 상기 액상 모노머는 상기 히터의 상류측에 배치된 밸브에 의해 유량 제어되고, 약 80℃ 이하 또는 기화 온도보다 약 50℃ 이상 낮은 온도에서 유지되며, 상기 히터로의 도입은 필름을 형성하는 때를 제외하고 차단될 수 있다.
38) 상기 36) 및 37)에 기재된 방법에서, 상기 액상 모노머는 상기 밸브의 하류 및 상기 히터의 상류 사이에서 불활성 가스와 혼합될 수 있다.
39) 상기 24)에 기재된 방법에서, 상기 플라즈마 중합 반응 이전에 상기 반응 챔버 내부로 불활성 가스를 도입하는 단계를 더 포함할 수 있다.
40) 상기 39)에 기재된 방법에서, 상기 불활성 가스는 아르곤(Ar), 헬륨(He), 네온(Ne), 크롬(Kr), 제논(Xe) 또는 질소(N2) 중의 하나 또는 이들의 조합일 수 있다.
41) 상기 24)에 기재된 방법에서, 첨가 가스로서, 유기 가스 CnHm(여기서, n은 0(zero)을 포함하는 4 이하의 정수이며, m은 자연수이다)가 반응 챔버로 더 도입될 수 있다.
42) 상기 24)에 기재된 방법에서, 첨가 가스로서, 질소(N), 산소(O) 또는 불소(F)를 포함하는 건조 가스가 상기 반응 챔버로 더 공급될 수 있다.
43) 상기 24)에 기재된 방법에서, 상기 플라즈마 중합 반응은 약 0℃ 내지 650℃ 정도의 기판 온도, 약 10Pa 내지 1500Pa 정도의 반응 압력 및 약 0.01W/cm2 내지 약 20W/cm2 정도의 RF 파워 밀도의 조건에서 수행될 수 있다.
44) 상기 24)에 기재된 방법에서, 상기 플라즈마 중합 반응은 13.56MHz, 27MHz 또는 60MHz의 고주파 RF 파워를 이용하여 수행될 수 있다.
45) 상기 44)에 기재된 방법에서, 상기 주파수들 중 하나의 고주파 RF 파워와 약 5MHz 이하의 저주파 RF 파워가 조합될 수 있다.
46) 정전용량적 결합 플라즈마 CVD 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법은, 20℃ 내지 350℃의 끓는점을 갖는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)를 히터 내부로 도입하되, 상기 하이드로카본 함유 액상 모노머가 유량 제어 밸브와 상기 유량 제어 밸브의 하류에 배치되며 약 80℃ 이하의 온도로 유지되는 차단 밸브를 통하여 도입되도록 하여 상기 하이드로카본 함유 액상 모노머를 기화시키는 단계와, 상기 기화된 가스 및 CO2 가스와 같은 산화 가스 또는 H2 가스와 같은 환원 가스를 기판이 위치된 CVD 반응 챔버 내부로 도입하는 단계와, 상기 가스의 플라즈마 중합 반응을 이용하여 상기 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 단계를 포함하며, 선택적으로 기판 상에 필름을 증착하는 동안 기판의 온도를 약 400℃ 이상으로 제어할 수 있다.
47) 상기 46)에 기재된 방법에서, 상기 액상 모노머는 상기 차단 밸브의 하류 및 상기 히터의 상류 사이에서 불활성 가스와 혼합될 수 있다.
48) 상기 46)에 기재된 방법에서, 상기 필름 형성이 완료된 후, 상기 액상 모노머가 상기 히터 내부로 유입되는 것을 차단하기 위하여 상기 차단 밸브를 차단시키는 단계를 더 포함할 수 있다.
49) 상기 48)에 기재된 방법에서, 상기 차단 밸브를 차단한 후, 상기 히터 내부를 불활성 가스를 이용하여 정화(purge)시킬 수 있다.
50) 상기 46)에 기재된 방법에서, 상기 액상 모노머는 고리형 하이드로카본일 수 있다.
51) 상기 50)에 기재된 방법에서, 상기 고리형 하이드로카본은 치환된 또는 치환되지 않은 벤젠일 수 있다.
52) 상기 51)에 기재된 방법에서, 상기 치환된 또는 치환되지 않은 벤젠은 C6H6-nRn일 수 있다. 여기서, n은 0, 1, 2 또는 3일 수 있으며, R은 독립적으로 -CH3, -C2H5 또는 -CH=CH2일 수 있다.
상술한 바와 같은 본 발명의 실시예들에 따르면, 플라즈마 중합 반응을 이용하여 하이드로카본 함유 폴리머 필름을 형성하는 동안 CO2 또는 H2 가스의 유량을 조절함으로써 상기 하이드로카본 함유 폴리머 필름의 광학적 특성들 및 기계적 특성들을 개선할 수 있다. 또한, 상기 CO2 또는 H2 가스의 유량과 더불어 기판의 온도 를 조절함으로써 상기 하이드로카본 함유 폴리머 필름의 광학적 특성 및 기계적 특성을 더욱 개선할 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (40)

  1. 용량적 결합 플라즈마(capacitively coupled plasma) 화학기상증착 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법에 있어서,
    20℃ 내지 350℃의 끓는점을 갖고 비닐기(vinyl group) 또는 아세틸렌기(acetylene group)에 의해 치환되지 않는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)를 기화시키는 단계;
    상기 기화된 가스와 CO2 가스 또는 H2 가스를 기판이 위치된 화학기상증착 반응 챔버 내부로 도입하는 단계; 및
    상기 가스의 플라즈마 중합 반응을 이용하여 상기 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 단계를 포함하되, 상기 하이드로카본 함유 폴리머 필름이 193nm에서 0.38 이하의 흡광 계수를 갖고, 0.5GPa 이상의 기계적 경도를 갖도록 상기 CO2 가스 또는 H2 가스의 유량을 조절하는 것을 특징으로 하는 필름 형성 방법.
  2. 제1항에 있어서, 상기 CO2 가스 또는 H2 가스의 유량은 상기 기화된 가스의 유량보다 큰 것을 특징으로 하는 필름 형성 방법.
  3. 제1항에 있어서, 상기 CO2 가스의 유량은 350sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  4. 제3항에 있어서, 상기 CO2 가스의 유량은 800sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  5. 제1항에 있어서, 상기 H2 가스의 유량은 200sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  6. 제5항에 있어서, 상기 H2 가스의 유량은 300sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  7. 제1항에 있어서, 상기 CO2 가스 또는 H2 가스의 유량은 상기 하이드로카본 함유 폴리머 필름의 흡광 계수 및 기계적 경도가 각각 0.35 이하 및 0.8GPa 이상이 되도록 제어되는 것을 특징으로 하는 필름 형성 방법.
  8. 제1항에 있어서, 상기 하이드로카본 함유 폴리머 필름의 흡광 계수 및 기계적 경도를 제어하기 위하여 상기 기판의 온도를 조절하는 것을 특징으로 하는 필름 형성 방법.
  9. 제8항에 있어서, 상기 기판의 온도는 400℃ 이상으로 조절되는 것을 특징으로 하는 필름 형성 방법.
  10. 제1항에 있어서, 상기 액상 모노머는 고리형 하이드로카본인 것을 특징으로 하는 필름 형성 방법.
  11. 제10항에 있어서, 상기 고리형 하이드로카본은 치환된(substituted) 또는 치환되지 않은(non-substituted) 벤젠인 것을 특징으로 하는 필름 형성 방법.
  12. 제11항에 있어서, 상기 치환된 또는 치환되지 않은 벤젠은 C6H6-nRn(여기서, n은 0, 1, 2 또는 3이며, R은 독립적으로 -CH3, 또는 -C2H5이다)인 것을 특징으로 하는 필름 형성 방법.
  13. 제12항에 있어서, 상기 치환된 벤젠은 1,3,5-트리메틸벤젠(1,3,5-trimethylbenzene), 오르소-크실렌(ortho-xylene), 메타-크실렌(meta-xylene) 및 파라-크실렌(para-xylene)으로 이루어진 군으로부터 선택된 하나인 것을 특징으로 하는 필름 형성 방법.
  14. 제1항에 있어서, 상기 액상 모노머는 선형 하이드로카본인 것을 특징으로 하는 필름 형성 방법.
  15. 제1항에 있어서, 상기 액상 모노머는 γ가 0(zero)인 하이드로카본인 것을 특징으로 하는 필름 형성 방법.
  16. 제1항에 있어서, 상기 액상 모노머를 단독으로 반응 가스로서 사용하는 것을 특징으로 하는 필름 형성 방법.
  17. 제1항에 있어서, 상기 액상 모노머는 상기 반응 챔버의 상류측에 배치된 히터로 도입되어 기화되는 것을 특징으로 하는 필름 형성 방법.
  18. 제17항에 있어서, 상기 액상 모노머는 상기 히터의 상류측에서 밸브에 의해 유량 제어되며, 상기 히터로의 도입은 상기 히터와 상기 유량 제어를 위한 밸브 사이에 배치된 차단 밸브에 의해 필름을 형성하는 때를 제외하고 차단되고, 80℃ 이하 또는 기화 온도보다 적어도 50℃ 낮은 온도에서 유지되는 것을 특징으로 하는 필름 형성 방법.
  19. 제17항에 있어서, 상기 액상 모노머는 상기 히터의 상류측에 배치된 밸브에 의해 유량 제어되고, 80℃ 이하 또는 기화 온도보다 적어도 50℃ 낮은 온도에서 유지되며, 상기 히터로의 도입은 필름을 형성하는 때를 제외하고 차단되는 것을 특징으로 하는 필름 형성 방법.
  20. 제1항에 있어서, 상기 플라즈마 중합 반응 이전에 상기 반응 챔버로 불활성 가스를 도입하는 단계를 더 포함하는 것을 특징으로 하는 필름 형성 방법.
  21. 제1항에 있어서, 첨가 가스로서 유기 가스 CnHm(여기서, n은 0(zero)을 포함하는 4 이하의 정수이며, m은 자연수이다)가 상기 반응 챔버로 더 도입되는 것을 특징으로 하는 필름 형성 방법.
  22. 제1항에 있어서, 첨가 가스로서 질소(N), 산소(O) 또는 불소(F)를 포함하는 건조 가스가 상기 반응 챔버로 더 도입되는 것을 특징으로 하는 필름 형성 방법.
  23. 용량적 결합 플라즈마(capacitively coupled plasma) 화학기상증착 장치를 이용하여 반도체 기판 상에 하이드로카본 함유 폴리머 필름을 형성하는 방법에 있어서,
    화학기상증착 반응 챔버 내에 반도체 기판을 위치시키는 단계;
    20℃ 내지 350℃의 끓는점을 갖는 하이드로카본 함유 액상 모노머(CαHβXγ, 여기서, α 및 β는 5 이상의 자연수이며, γ는 0(zero)을 포함하는 정수이고, X는 산소(O), 질소(N) 또는 불소(F)이다)를 기화시키는 단계;
    상기 기화된 가스와 CO2 가스 또는 H2 가스를 상기 기판이 위치된 화학기상증착 반응 챔버로 도입하는 단계; 및
    상기 가스의 플라즈마 중합 반응을 이용하여 상기 기판 상에 하이드로카본 함유 폴리머 필름을 포함하는 하드 마스크를 형성하는 단계를 포함하되, 상기 하이드로카본 함유 폴리머 필름이 193nm에서 0.38 이하의 흡광 계수를 갖고, 0.5GPa 이상의 기계적 경도를 갖도록 상기 CO2 가스 또는 H2 가스의 유량을 조절하는 것을 특징으로 하는 필름 형성 방법.
  24. 제23항에 있어서, 상기 CO2 가스 또는 H2 가스의 유량은 상기 기화된 가스의 유량보다 큰 것을 특징으로 하는 필름 형성 방법.
  25. 제23항에 있어서, 상기 CO2 가스의 유량은 350sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  26. 제25항에 있어서, 상기 CO2 가스의 유량은 800sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  27. 제23항에 있어서, 상기 H2 가스의 유량은 200sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  28. 제27항에 있어서, 상기 H2 가스의 유량은 300sccm 이상인 것을 특징으로 하는 필름 형성 방법.
  29. 제23항에 있어서, 상기 CO2 가스 또는 H2 가스의 유량은 상기 하이드로카본 함유 폴리머 필름의 흡광 계수 및 기계적 경도가 각각 0.35 이하 및 0.8GPa 이상이 되도록 제어되는 것을 특징으로 하는 필름 형성 방법.
  30. 제23항에 있어서, 상기 하이드로카본 함유 폴리머 필름의 흡광 계수 및 기계적 경도를 제어하기 위하여 상기 기판의 온도를 조절하는 것을 특징으로 하는 필름 형성 방법.
  31. 제30항에 있어서, 상기 기판의 온도는 400℃ 이상으로 조절되는 것을 특징으로 하는 필름 형성 방법.
  32. 제23항에 있어서, 상기 액상 모노머는 고리형 하이드로카본인 것을 특징으로 하는 필름 형성 방법.
  33. 제32항에 있어서, 상기 고리형 하이드로카본은 치환된(substituted) 또는 치환되지 않은(non-substituted) 벤젠인 것을 특징으로 하는 필름 형성 방법.
  34. 제23항에 있어서, 상기 액상 모노머는 선형 하이드로카본인 것을 특징으로 하는 필름 형성 방법.
  35. 제23항에 있어서, 상기 액상 모노머는 γ가 0(zero)인 하이드로카본인 것을 특징으로 하는 필름 형성 방법.
  36. 제23항에 있어서, 상기 액상 모노머를 단독으로 반응 가스로서 사용하는 것을 특징으로 하는 필름 형성 방법.
  37. 제23항에 있어서, 상기 액상 모노머는 상기 반응 챔버의 상류측에 배치된 히터로 도입되어 기화되는 것을 특징으로 하는 필름 형성 방법.
  38. 제37항에 있어서, 상기 액상 모노머는 상기 히터의 상류측에서 밸브에 의해 유량 제어되며, 상기 히터로의 도입은 상기 히터와 상기 유량 제어를 위한 밸브 사이에 배치된 차단 밸브에 의해 필름을 형성하는 때를 제외하고 차단되고, 80℃ 이하 또는 기화 온도보다 적어도 50℃ 낮은 온도에서 유지되는 것을 특징으로 하는 필름 형성 방법.
  39. 제37항에 있어서, 상기 액상 모노머는 상기 히터의 상류측에 배치된 밸브에 의해 유량 제어되고, 80℃ 이하 또는 기화 온도보다 적어도 50℃ 낮은 온도에서 유지되며, 상기 히터로의 도입은 필름을 형성하는 때를 제외하고 차단되는 것을 특징으로 하는 필름 형성 방법.
  40. 제23항에 있어서, 상기 플라즈마 중합 반응 이전에 상기 반응 챔버로 불활성 가스를 도입하는 단계를 더 포함하는 것을 특징으로 하는 필름 형성 방법.
KR1020060111032A 2006-03-23 2006-11-10 플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성방법 KR100771926B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/387,527 2006-03-23
US11/387,527 US7410915B2 (en) 2006-03-23 2006-03-23 Method of forming carbon polymer film using plasma CVD

Publications (2)

Publication Number Publication Date
KR20070096770A true KR20070096770A (ko) 2007-10-02
KR100771926B1 KR100771926B1 (ko) 2007-11-01

Family

ID=38534049

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060111032A KR100771926B1 (ko) 2006-03-23 2006-11-10 플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성방법

Country Status (3)

Country Link
US (1) US7410915B2 (ko)
JP (1) JP2007256950A (ko)
KR (1) KR100771926B1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7638441B2 (en) * 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7632549B2 (en) * 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
KR101067503B1 (ko) * 2008-08-20 2011-09-27 시너스 테크놀리지, 인코포레이티드 인젝터를 구비한 플라즈마 반응기
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100189923A1 (en) * 2009-01-29 2010-07-29 Asm Japan K.K. Method of forming hardmask by plasma cvd
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120021252A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7169910B2 (ja) * 2019-03-11 2022-11-11 東京エレクトロン株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3719616A1 (de) * 1987-06-12 1988-12-29 Leybold Ag Verfahren und vorrichtung zur beschichtung eines substrats
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
WO1998008249A1 (en) 1996-08-24 1998-02-26 Trikon Equipments Limited Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
WO2003011755A1 (en) * 2001-07-27 2003-02-13 University Of Surrey Production of carbon nanotubes
KR20050004844A (ko) * 2002-05-08 2005-01-12 어플라이드 머티어리얼스, 인코포레이티드 전자 비임에 의한 저유전상수 필름의 경화 방법
KR100982419B1 (ko) * 2003-05-01 2010-09-15 삼성전자주식회사 탄소나노튜브를 이용한 반도체 소자의 배선 형성 방법 및이 방법에 의해 제조된 반도체 소자

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals

Also Published As

Publication number Publication date
JP2007256950A (ja) 2007-10-04
US20070224833A1 (en) 2007-09-27
US7410915B2 (en) 2008-08-12
KR100771926B1 (ko) 2007-11-01

Similar Documents

Publication Publication Date Title
KR100771926B1 (ko) 플라즈마 화학기상증착을 이용한 카본 폴리머 필름 형성방법
JP4737748B2 (ja) Cvd法によるポリマー膜の形成方法
US20210082692A1 (en) Method of forming a carbon-containing layer and structure including the layer
US7470633B2 (en) Method of forming a carbon polymer film using plasma CVD
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
CN108140545B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
US7632549B2 (en) Method of forming a high transparent carbon film
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
US20100104770A1 (en) Two-step formation of hydrocarbon-based polymer film
US20100189923A1 (en) Method of forming hardmask by plasma cvd
US9320387B2 (en) Sulfur doped carbon hard masks
US9240320B1 (en) Methods of depositing smooth and conformal ashable hard mask films
US8197915B2 (en) Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
KR20100135243A (ko) 개선된 밀도와 도포율을 갖는 비정질 탄소의 증착 방법
TW200928618A (en) Plasma surface treatment to prevent pattern collapse in immersion lithography
US7638441B2 (en) Method of forming a carbon polymer film using plasma CVD
KR100880874B1 (ko) 플라즈마 cvd를 사용하여 탄소 중합체 막을 형성하는방법
CN113424297A (zh) 处理基板的方法
KR20090033094A (ko) 탄소-기재 필름 전구체용으로서의 시클로펜텐

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120925

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130930

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160930

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180927

Year of fee payment: 12