KR20070091673A - 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서순차 교대하는 방법 및 장치 - Google Patents

기판을 최적화하기 위해 플라즈마 프로세스들 사이에서순차 교대하는 방법 및 장치 Download PDF

Info

Publication number
KR20070091673A
KR20070091673A KR1020077016807A KR20077016807A KR20070091673A KR 20070091673 A KR20070091673 A KR 20070091673A KR 1020077016807 A KR1020077016807 A KR 1020077016807A KR 20077016807 A KR20077016807 A KR 20077016807A KR 20070091673 A KR20070091673 A KR 20070091673A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
etch
process variable
recipe
Prior art date
Application number
KR1020077016807A
Other languages
English (en)
Other versions
KR101144021B1 (ko
Inventor
아드리안 키르마스
타마라크 판둠소포른
알퍼드 코퍼
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070091673A publication Critical patent/KR20070091673A/ko
Application granted granted Critical
Publication of KR101144021B1 publication Critical patent/KR101144021B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 처리 시스템에서, 기판의 에칭을 최적화하는 방법이 개시된다. 본 방법은, 제 1 프로세스 변수를 포함하는 제 1 플라즈마 프로세스 레시피를 선택하는 단계를 포함하고, 여기서, 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시킨다. 본 방법은 또한, 제 2 프로세스 변수를 포함하는 제 2 플라즈마 프로세스 레시피를 선택하는 단계를 포함하고, 여기서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 제 1 기판 에치 특성을 악화시키고, 제 2 기판 에치 특성을 최적화한다. 본 방법은 또한, 플라즈마 처리 챔버의 척 상에 기판을 위치시키는 단계; 및 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 단계를 포함한다. 본 방법은 또한, 제 1 플라즈마 레시피와 제 2 플라즈마 레시피 사이에서 교대하는 단계를 포함하고, 여기서, 교대의 완료시에, 제 1 기판 에치 특성과 제 2 기판 에치 특성이 실질적으로 최적화된다.
기판 에칭 최적화 방법, 플라즈마 처리 시스템

Description

기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교대하는 방법 및 장치{METHODS AND APPARATUS FOR SEQUENTIALLY ALTERNATING AMONG PLASMA PROCESSES IN ORDER TO OPTIMIZE A SUBSTRATE}
발명의 배경
본 발명은 일반적으로 기판 제조 기술에 관한 것이고, 상세하게는, 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교대하는 방법 및 장치에 관한 것이다.
플랫 패널 디스플레이 제조에서 사용되는 것과 같은 반도체 기판 또는 글라스 패널 등의 기판의 처리에서, 플라즈마가 종종 이용된다. 기판 처리의 일부분으로서, 예를 들어, 기판은 복수의 다이 또는 직사각형의 영역들로 분할되고, 이들 각각은 집적 회로가 될 것이다. 그 다음, 기판은 물질들이 선택적으로 제거 (에칭) 되고 증착되는 일련의 단계들에서 처리된다.
일반적으로, 프로세스 변수들은, 에치 레이트, 균일도, 선택도, 에치 프로파일 등의 수용가능한 플라즈마 프로세스 특성들을 유지하기 위해 종종 조정된다. 에치 레이트는 에치 프로세스에서 물질이 얼마나 빨리 제거되는 지를 나타내는 척도이다. 이는 에치 프로세스의 스루풋에 직접적인 영향을 미치기 때문에 프로세스의 중요한 특성이다. 에치 레이트는 에치 프로세스 전후의 필름 두께를 측정한 후에 이 두께 차이를 에치 시간으로 나눔으로써 계산될 수 있다.
균일도는 기판 표면에 걸친 에치 레이트 일관성의 정도이다. 이는 통상적으로 에치 프로세스 전후에 특정 포인트들에서의 두께를 측정하고 이 포인트들에서 에치 레이트를 계산함으로써 측정된다. 선택도는 상이한 물질들, 특히 제거되어서는 안되는 물질에 비해서 에치될 필요가 있는 물질 사이의 에치 레이트의 비율이다. 프로파일은 에치의 수직성의 정도이다. 일반적으로 피쳐 월 (feature wall) 의 표면 균일도가 클 수록 프로파일이 더 양호하다.
프로세스 변수들의 셋트 사이에서 조정될 수 있는 것은 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, RF 주파수 등이다. 그러나, 이론상으로는 에치 플라즈마 프로세스 특성에 대해 각각의 변수를 최적화하는 것이 유용하지만, 실제로 이를 달성하는 것은 종종 어려운 일이다. 최적의 프로세스 조건들은 좁은 범위의 파라미터들 내에서만 유지될 수 있는 경우가 빈번하고, 따라서 제조성의 관점에서는 실용적이지 못하다. 한 특성을 향상시키기 위해 하나의 변수를 조정함으로써, 다른 특성이 열화될 수도 있다.
일반적으로, 기판 상의 각종 레이어들을 에치하는데 이용되는 에치 프로세스에는 3 가지 타입: 순화학적 에치, 순물리적 에치, 및 반응 이온 에치가 있다.
순화학적 에칭은 일반적으로 물리적 충돌을 포함하지 않고, 기판 상의 물질들 (예를 들어, Al 등) 과 중성 분자들 (중성 입자들) 의 화학적 반응을 포함한다. 따라서, 화학적 반응 레이트는 프로세스에 따라 매우 높거나 매우 낮을수 있다. 예를 들어, 플루오린계 분자들은 기판 상의 유전체 물질들과 화학적으로 반응하는 경향이 있고, 산소계 분자들은 포토레지스트와 같은 기판 상의 유기 물질들과 화학적으로 반응하는 경향이 있다.
스퍼터링이라고도 종종 불리는 순수 이온 에칭은 기판으로부터 물질 (예를 들어, 산화물 등) 을 제거하는데 이용된다. 통상적으로, 아르곤과 같은 불활성 가스는, 플라즈마에서 이온화되고, 이어서 음극으로 충전된 기판을 향해 가속된다. 순수 이온 에칭은 이방성 (즉, 주로 일방향) 이고 또한 비선택적이다. 즉, 대부분의 물질들의 스퍼터링 레이트가 유사하기 때문에, 특정 물질에 대하 선택도가 매우 낮은 경향이 있다. 또한, 순수 이온 에칭의 에치 레이트는 플럭스와 이온 충돌 에너지에 일반적으로 의존하고 통상적으로 낮다.
이온 강화 에칭이라고도 불리는 반응 이온 에치 (RIE: reactive ion etch) 는 기판으로부터 물질 (예를 들어, 포토레지스ㅌ, BARC, TiN, 산화물 등) 을 제거하기 위해 화학적 및 이온 프로세스 양자를 조합한다. 일반적으로 플라즈마 강화 화학적 프로세스에서 이온들은 기판의 표면을 스트라이킹하여, 표면의 원자들의 화학적 결합들을 깨어서, 화학적 프로세스의 분자들과 더욱 반응하기 쉽도록 만든다. 이온 에칭이 주로 직교하고, 화학적 에칭은 직교하고 또한 수직이기 때문에, 직교 에치 레이트는 수평 방향보다 훨씬 더 빠른 경향이 있다. 또한 RIE 는 이방성 프로파일을 갖는 경향이 있다.
그러나, 순화학적 에칭과 RIE 에칭 양자 모두가 직면하는 한 가지 문제점은 불균일한 에치 레이트이다. 에치 레이트는 일반적으로 에치 프로세스에서 얼마나 빨리 물질이 제거되느냐에 관한 척도이다. 이는 일반적으로 에치 프로세스 전후의 두께를 측정하고, 그 두께 차이를 에치 시간으로 나눔으로써 계산된다.
일반적으로, 에치 레이트는 전형적으로 기판의 에지에서 더 높고, 로컬 에치 레이트는 표면에서의 화학적 반응들, 또는 기판 표면으로의 제한된 에천트 이송에 의해서 좌우될 수도 있다. 즉, 더 적은 기판 표면 면적이 소정 체적의 에천트에 대해 에칭하는데 이용가능하기 때문에, 더 큰 에치 레이트가 발생하는 경향이 있다.
이제 도 1 을 참조하면, 플라즈마 처리 시스템 컴포넌트들의 개략도가 나타내어져 있다. 일반적으로, 가스들의 적절한 세트가 가스 분배 시스템 (122) 으로부터 인렛 (109) 을 통해 챔버 (102) 로 유입된다. 정전 척 (116) 상에 에지 링 (115) 을 이용하여 위치된, 반도체 기판 또는 글라스 기판 등의 기판 (114) 의 노출된 영역들을 처리 (예를 들어, 에치 또는 증착) 하기 위해, 이들 플라즈마 처리 가스들은 이어서 인젝터 (108) 에서 이온화되어 플라즈마 (110) 를 형성할 수도 있다. 또한, 라이너 (117) 는 플라즈마와 플라즈마 처리 챔버 사이의 열 배리어를 제공하고, 기판 (114) 상의 플라즈마 (110) 를 최적화하는 것을 돕는다.
유도 코일 (131)은 유전체 윈도우 (104) 에 의해 플라즈마로부터 분리되고, 일반적으로 플라즈마 처리 가스들에서 시간에 따라 변하는 전기적 전류를 유발하여 플라즈마 (110) 를 형성한다. 윈도우는 플라즈마 (110) 로부터 유도 코일을 보호하고, 또한, 생성된 RF 필드가 플라즈마 처리 챔버 내로 투과하도록 한다. 유도 코일 (131) 의 리드 (130a, 130b) 에는 매칭 네트워크 (132) 가 더 결합되고, 여기에 RF 생성기 (138) 가 더 결합된다. 매칭 네트워크 (132) 는, 통상적으로 13.56 MHz 및 50Ω 에서 동작하는 RF 생성기 (138) 의 임피던스를 플라즈마 (110) 의 임피던스와 매칭시키려고 시도한다.
이제 도 2 를 참조하면,에치 프로세스의 개략도가 나타내어져 있다. 일반적으로, 플라즈마 에치 프로세스는 실질적으로 복잡하고, 많은 팩터들에 의해 영향을 받는다. 예를 들어, RF 필드는 플라즈마 (110) 에서 고에너지 전자들, 양이온들, 음이온들, 중성 입자들, 및 라디칼들과 같은 몇몇 타입의 종들을 형성한다. 양이온들은 전자가 가스 분자 또는 원자로부터 완전히 제거될 때 형성된다. 마찬가지로, 음이온들은 비록 드문 일이기는 하지만, 전자가 가스 분자 또는 원자에 부가될 때 형성된다.
라디칼들은 전자가 충돌하여 분자들을 조각들로 깨어서 그 결과 불충분한 화학 결합을 갖고 화학적으로 반응성이 있는 것이 될 때 형성된다. 그들은 네트 전하를 갖지 않고, 따라서 필드에 의해 가속되지 않거나, 전하를 띤 입자들에 의해 끌리지 않으므로, 전하를 띤 입자들에 비해 긴 생명을 갖는 경향이 있다. 중성 입자들은 안정적이고, 양전하나 음전하를 띠지 않고, 또한 화학적으로 반응성도 없다. 일반적으로, 2 가지 가장 중요한 파라미터는 전자들의 개수 밀도와 에너지 분포이고, 니는 플라즈마 점화 및 유지에 중요한 역할을 한다.
일반적으로, 플라즈마 에치 프로세스에서, 방향성 에칭은 측벽 패시베이션 (sidewall passivation) 과, 종종 에치 프론트 상의 폴리머 형성 (224) 을 통해 달성된다. 측벽 패시베이션의 양은 에치 생성물 및 마스크 영역의 양에 의존하고, 이는 고립된 피쳐들에서 집적 회로의 밀도가 높게 분포된 부분들로 이동함에 따라 극적으로 변화한다. 측벽 패시베이션 물질의 양은 구조의 프로파일을 결 정한다.
플라즈마에서 몇몇 반응물들이 기판 표면 (202) 으로 이송되고, 여기서 물리적 흡착 또는 화학적 흡착 (204) 과 같은 반응 (201) 이 일어난다. 화학적 흡착에서, 강한 "화학적 결합" 이 흡착된 원자 또는 분자와 기판 사이에 형성된다. 물리적 흡착은 더 약하고, 종종 수반되는 화학적 상호작용을 갖지 않는 것으로 간주된다.
그 다음, 다른 반응물들은 에치 프론트 (214) 로 이송될 수도 있으며, 또는 이온들 (208) 로 구성되었다면 디플렉션될 수도 있다. 기판 온도 제어 (216) 와 바이어스 형성 (218) 의 조합에서, 이들 팩터들은 결과적으로 프로파일 (210) 과 표면 퀄리티 (212) 에 영향을 미칠 수도 있다. 전술한 바와 같이, 이온들은 에치 반응 (220) 에서 기판으로부터 물질 (예를 들어, 산화물 등) 을 제거하는데 이용되고, 중성 입자들 및 라디칼들은 화학적 에치 반응 (220) 에 이용될 수도 있다. 반응 부산물들은 종종 메인 플라즈마 가스 스트림으로 다시 발산되어 플라즈마 챔버 (230) 로부터 결과적으로 펌핑 (228) 될 수도 있다.
이제 도 3 을 참조하면, 플라즈마 에치 프로세스에서 RF 전력 대 에치 레이트를 비교하는 개략도가 나타내어져 있다. 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 낮은 RF 전력에서, 기판의 표면을 스트라이킹함으로써 화학적 프로세스를 가능하게 하기 위해, 더 적은 이온들이 플라즈마에서 이용가능할 것이다. RF 전력이 증가함에 따라, 추가적인 이온들이 형성되어 전체 에치 레이트가 증가할 수 있도록 한다. 그러나, 이온들로 포화된 플라즈마는 더 작은 평균 자유 경로를 가지기 때문에, RF 전력의 증가는 더욱 추가적으로 에치 레이트를 안정화시킨다. 평균 자유 경로 (MFP: mean free path) 는 이온이 다른 입자와 충돌하기 전에 이온이 이동할 수 있는 평균 거리로서 정의될 수 있다. 일반적으로, MFP 가 짧을 수록, 기판의 표면에 도달하는 이온들의 양은 더 적게 된다.
이제 도 4 를 참조하면, 플라즈마 에치 프로세스에서 압력 대 에치 레이트를 비교하는 개략도가 나타내어져 있다. 도 3 과는 대조적으로, 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 일반적으로, 물리적으로 우세한 에칭에 대해, 압력의 증가는 평균 자유 경로를 감소시켜서, 에칭에 이용가능한 이온들의 수를 감소시키는 경향이 있다. 즉, 압력을 증가시킴으로 인해, 더 많은 이온 충돌들은 이온 에너지의 감소를 초래한다.
이제 도 5 를 참조하면, 플라즈마 에치 프로세스에서 RF 전력 대 균일도를 비교하는 개략도가 나타내어져 있다. 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 일반적으로, 낮은 RF 전력에서, 기판의 표면을 스트라이킹함으로써 화학적 프로세스를 가능하게 하기 위해, 더 적은 이온들이 플라즈마에서 이용가능할 것이다. RF 전력이 증가함에 따라, 추가적인 이온들이 형성되어 전체 에치 레이트가 증가할 수 있도록 한다. 그러나, 기판의 센터에서보다 에지에서 더 많은 이용가능한 에천트가 존재함에 따라, 에지 에치 레이트가 센터 에치 레이트보다 더 큰 경향을 보이고, 기판의 균일도를 감소시킨다. RF 전력의 증가는 더욱 추가적으로 플라즈마를 이온들로 포화시키고, 평균 자유 경로를 감소시킨다.
이제 도 6 을 참조하면, 플라즈마 에치 프로세스에서 압력대 균일도를 비교 하는 개략도가 나타내어져 있다. 도 5 와는 대조적으로, 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 일반적으로, 초기에 압력의 증가는 기판 표면으로 이송되는 에천트 또한 증가시키고, 기판의 에지와 센터 사이의 에치 레이트를 동등하게 한다. 그러나, 전과 같이, 압력의 증가는 더욱 추가적으로 플라즈마를 이온들로 포화시키고, 이는 또한 평균 자유 경로를 감소시켜, 기판의 표면에 도달하여 이용가능한 이온들의 양을 감소시킨다.
이제 도 7 을 참조하면, 플라즈마 에치 프로세스에서 RF 전력 대 선택도를 비교하는 개략도가 나타내어져 있다. 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 일반적으로, RF 전력의 증가는 에치 프로세스가 더욱 물리적이고 (즉, 스퍼터링) 덜 화학적인 경향이 있기 때문에, 에치 선택도를 감소시킨다. 전술한 바와 같이, 선택도는 상이한 물질들, 특히 제거되어서는 아니되는 물질과 에칭될 필요가 있는 물질 사이의 에치 레이트의 비율이다.
이제 도 8 을 참조하면,플라즈마 에치 프로세스에서 압력 대 선택도를 비교하는 개략도가 나타내어져 있다. 도 7 과는 대조적으로, 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 일반적으로, 압력의 증가는, 이온들로 포화된 플라즈마가 더 작은 MFP 를 갖기 때문에, 선택도를 증가시키는 경향이 있다. 더 적은 이온들이 기판 표면에 도달하여 이용가능하기 때문에, 에치 프로세스는 덜 물리적이고 더 화학적인 경향이 있다.
이제 도 9 를 참조하면, 플라즈마 에치 프로세스에서 RF 전력 대 에치 프로파일의 수직성을 비교하는 개략도가 나타내어져 있다. 다른 모든 플라즈마 프 로세스 특성들은 일정하게 유지되고, 일반적으로, RF 전력의 증가는 이온들의 수를 증가시키고, 따라서 수직 에치 레이트를 증가시키고, 결과적으로 수직 프로파일을 증가시키는 경향이 있다. 전술한 바와 같이, 이온 에칭은 주로 기판에 직교하고, 화학적 에칭은 직교하고 또한 수직이다.
이제 도 10 을 참조하면, 플라즈마 에치 프로세스에서 압력대 에치 프로파일의 수직성을 비교하는 개략도가 나타내어져 있다. 도 9 와는 대조적으로, 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 일반적으로, 압력이 증가함에 따라, 이온들로 포화된 플라즈마는 더 작은 MFP 를 갖기 때문에, 에치 프로파일의 수직성은 감소한다. 더 적은 이온들이 기판에 도달하여 이용가능하기 때문에, 에치 프로세스는 덜 물리적이고 더 화학적이게 된다.
전술한 관점에서, 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교대하는 개선된 방법 및 장치가 요망된다.
발명의 요약
본 발명은, 일 실시형태에서, 플라즈마 처리 시스템에서 기판의 에칭을 최적화하는 방법에 관한 것이다. 본 방법은 제 1 프로세스 변수를 포함하는 제 1 플라즈마 프로세스 레시피 (recipe) 를 선택하는 단계를 포함하고, 여기서, 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시킨다. 본 방법은 또한, 제 2 프로세스 변수를 포함하는 제 2 플라즈마 프로세스 레시피를 선택하는 단계를 포함하고, 여기서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 제 1 기판 에치 특성을 악화시키고, 제 2 기판 에치 특성을 최적화한다. 본 방법은 또한, 플라즈마 처리 챔버의 척 상에 기판을 위치시키는 단계; 및 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 단계를 포함한다. 본 방법은 또한, 제 1 플라즈마 레시피와 제 2 플라즈마 레시피 사이에서 교대하는 단계를 포함하고, 여기서, 교대의 완료시에, 제 1 기판 에치 특성과 제 2 기판 에치 특성이 실질적으로 최적화된다.
본 발명은, 또 다른 실시형태에서, 플라즈마 처리 시스템에서 기판의 에칭을 최적화하는 방법에 관한 것이다. 본 방법은 제 1 프로세스 변수를 포함하는 플라즈마 프로세스 레시피들의 제 1 셋트를 선택하는 단계를 포함하고, 여기서, 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시킨다. 본 방법은 또한, 제 2 프로세스 변수를 포함하는 플라즈마 프로세스 레시피들의 제 2 셋트를 선택하는 단계를 포함하고, 여기서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 제 1 기판 에치 특성을 악화시키고, 제 2 기판 에치 특성을 최적화한다. 본 방법은 또한, 플라즈마 처리 챔버의 척 상에 기판을 위치시키는 단계; 및 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 단계를 포함한다. 본 방법은 또한, 제 1 플라즈마 레시피와 제 2 플라즈마 레시피 사이에서 교대하는 단계를 포함하고, 여기서, 교대의 완료시에, 제 1 기판 에치 특성과 제 2 기판 에치 특성이 실질적으로 최적화된다.
본 발명은, 또 다른 실시형태에서, 플라즈마 처리 시스템에서 기판의 에칭을 최적화하는 장치에 관한 것이다. 본 장치는, 제 1 프로세스 변수를 포함하는 제 1 플라즈마 프로세스 레시피를 선택하는 수단을 포함하고, 여기서, 제 1 프로세 스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시킨다. 본 장치는 또한, 제 2 프로세스 변수를 포함하는 제 2 플라즈마 프로세스 레시피를 선택하는 수단을 포함하고, 여기서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 제 1 기판 에치 특성을 악화시키고, 제 2 기판 에치 특성을 최적화한다. 본 장치는 또한, 플라즈마 처리 챔버의 척 상에 기판을 위치시키는 수단; 및 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 수단을 포함한다. 본 장치는 또한, 제 1 플라즈마 레시피와 제 2 플라즈마 레시피 사이에서 교대하는 수단을 포함하고, 교대의 완료시에, 제 1 기판 에치 특성과 제 2 기판 에치 특성이 실질적으로 최적화된다.
본 발명의 이들 및 다른 특징들은 이하 첨부 도면과 함께 본 발명의 상세한 설명에서 더욱 자세히 설명될 것이다.
도면의 간단한 설명
본 발명은 첨부 도면에서 한정적이 아닌, 예시적인 방식으로 도시되고, 여기서, 동일한 참조 부호들은 동일한 엘리먼트를 나타낸다.
도 1 은 플라즈마 처리 시스템 컴포넌트들의 개략도를 나타낸다.
도 2 는 플라즈마 에치 프로세스의 개략도를 나타낸다.
도 3 은 플라즈마 에치 프로세스에서 RF 전력 대 에치 레이트를 비교하는개략도를 나타낸다.
도 4 는 플라즈마 에치 프로세스에서 압력 대 에치 레이트를 비교하는 개략도를 나타낸다.
도 5 는 플라즈마 에치 프로세스에서 RF 전력 대 균일도를 비교하는 개략도를 나타낸다.
도 6 은 플라즈마 에치 프로세스에서 압력 대 균일도를 비교하는 개략도를 나타낸다.
도 7 은 플라즈마 에치 프로세스에서 RF 전력대 선택도를 비교하는 개략도를 나타낸다.
도 8 은 플라즈마 에치 프로세스에서 압력 대 선택도를 비교하는 개략도를 나타낸다.
도 9 는 플라즈마 에치 프로세스에서 RF 전력 대 에치 프로파일의 수직성을 비교하는 개략도를 나타낸다.
도 10 은 플라즈마 에치 프로세스에서 압력 대 에치 프로파일의 수직성을 비교하는 개략도를 나타낸다.
도 11 은 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 달성될 수도 있는 실질적으로 최적의 에치 레이트를 나타낸다.
도 12 는 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 달성될 수도 있는 실질적으로 최적의 균일도를 나타낸다.
도 13 은 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 달성될 수도 있는 실질적으로 최적의 선택도를 나 타낸다.
도 14 는 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 달성될 수도 있는 실질적으로 최적의 에치 프로파일을 나타낸다.
도 15 는 본 발명의 일 실시형태에 따라, 기판을 최적화하기 위해 플라즈마 처리 시스템에서 플라즈마 프로세스들 사이에서 순차 교대하는 간략화된 방법을 나타내는 도면이다.
바람직한 실시형태들의 상세한 설명
이하, 본 발명을 첨부 도면에 도시된 바와 같은 본 발명의 몇몇 바람직한 실시형태를 참조하면서 상세히 설명한다. 이하의 설명에서, 수많은 구체적인 상세한 내용들은 본 발명의 완전한 이해를 제공하기 위한 것이다. 그러나, 당업자에게 있어 본 발명이 이들 구체적인 상세한 내용의 일부 또는 전부 없이도 실시될 수도 있다는 것은 자명하다. 다른 관점에서, 공지의 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위해 상세히 설명하지 않았다.
이론에 제한되기를 원하지 않으면서, 본 발명자는 여기서, 각각 플라즈마 프로세스 특성에 반대의 영향을 가질 수도 있는 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 실질적으로 최적의 플라즈마 프로세스 특성들 (즉, 에치 레이트, 균일도, 선택도, 에치 프로파일 등) 이 달성될 수 있다는 것을 믿는다. 즉, 제 1 에치 프로세스 레시피는 에치 레이트를 향상시키지만 선택도를 악화시 킬 수도 있는 반면, 제 2 에치 프로세스 레시피는 반대의 영향을 가질 수도 있다.
에치 프로세스 레시피들 사이에서 순환 또는 교대함으로써, 각각의 프로세스 레시피의 최적화 및 악화 영향들이 평균화될 수 있고, 그 결과, 대부분의 플라즈마 프로세스 특성들에 대해 실질적으로 최적의 결과를 가져올 수 있다. 일 실시형태에서, 프로세스 레시피들은 매 수 초마다 한 번씩 교대될 수도 있다. 또 다른 실시형태에서, 제 1 프로세스 레시피는 기판 특성들에 대해 볼록한 영향을 형성하고, 제 2 프로세스 레시피는 기판에 대해 오목한 영향을 형성할 수도 있다. 또 다른 실시형태에서, 실질적으로 최적의 결과는 포인트들의 셋트, 값들의 영역이고, 이들은 플라즈마 프로세스 특성들을 나타낸다.
이제 도 11 을 참조하면, 실질적으로 최적의 에치 레이트는, 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 달성될 수도 있다. 다른 모든 프로세스 특성들이 일정하게 유지되고, 제 1 프로세스 (1104) 에서, 낮은 RF 전력에서, 기판의 표면을 스트라이킹함으로써 화학적 프로세스가 가능하게 하기 위해, 더 적은 이온들이 플라즈마에서 이용가능할 수도 있다. RF 전력이 증가함에 따라, 추가적인 이온들이 형성되고, 전체 에치 레이트가 증가할 수 있도록 한다. 그러나, RF 전력의 증가는, 이온들로 포화된 플라즈마가 더 작은 평균 자유 경로를 가지기 때문에, 더욱 추가적으로 에치 레이트를 안정화시킨다. 일반적으로 MFP 가 더 작을 수록, 기판의 표면에 도달하는 이온들의 양은 더 작게 된다.
제 2 프로세스 (1106) 에서, 압력의 증가는 평균 자유 경로를 감소시키고, 따라서, 에칭에 이용가능한 이온들의 수를 감소시키는 경향이 있다. 에치 프로세스 레시피들 사이에 순환 또는 교대함으로써, 각 프로세스 레시피의 최적화 및 악화 영향들은 평균화될 수 있고, 결과적으로 최적화된 영역 (1102) 의 에치 레이트를 형성할 수 있다.
이제 도 12 를 참조하면, 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 중세서 교대함으로써 실질적으로 최적의 균일도가 달성될 수도 있다. 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 제 1 프로세스 (1104) 에서, 낮은 RF 전력에서, 기판의 표면을 스트라이킹함으로써 화학적 프로세스를 가능하게 하기 위해, 더 적은 이온들이 플라즈마에서 이용가능할 수도 있다. RF 전력이 증가함에 따라, 추가적인 이온들이 형성되고, 전체 에치 레이트가 증가하는 것을 가능하게 한다. 그러나, 기판의 센터에서보다 에지에서 더 많은 이용가능한 에천트가 존재함에 따라, 에지 에치 레이트가 센터 에치 레이트보다 더 큰 경향을 보이고, 기판의 균일도를 감소시킨다. RF 전력의 증가는 더욱 추가적으로 플라즈마를 이온들로 포화시키고, 평균 자유 경로를 감소시킨다.
제 2 프로세스 (1206) 에서, 일반적으로, 초기에 압력의 증가는 기판 표면으로의 에천트 이송 또한 증가시키고, 기판의 에지와 센터 사이의 에치 레이트를 동등하게 한다. 그러나, 압력의 증가는 더욱 추가적으로 플라즈마를 이온들로 포화시키고, 이는 또한 평균 자유 경로를 감소시켜, 기판의 표면에 도달하여 이용가능한 이온들의 양을 감소시킨다. 에치 프로세스 레시피들 사이에서 순환 또는 교대함으로써, 각각의 프로세스 레시피의 최적화 및 악화 영향들이 평균화될 수 있고, 그 결과, 최적화된 영역 (1202) 의 균일성을 형성할 수 있다.
이제 도 13 을 참조하면, 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 실질적으로 최적의 선택도가 달성될 수도 있다. 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 제 1 프로세스 (1304) 에서, 일반적으로, RF 전력의 증가는, 에치 프로세스가 더 물리적이고 (즉, 스퍼터링), 덜 화학적이기 때문에, 에치 선택도를 감소시킨다.
제 2 프로세스 (1306) 에서, 일반적으로, 압력의 증가는, 이온들로 포화된 플라즈마가 더 작은 MFP 를 갖기 때문에, 선택도를 증가시키는 경향이 있다. 더 적은 이온들이 기판의 표면에 도달하여 이용가능하기 때문에, 에치 프로세스는 덜 물리적이고 더 화학적인 경향이 있다. 에치 프로세스 레시피들 사이에 순환 또는 교대함으로써, 각각의 프로세스 레시피의 최적화 및 악화 영향들이 평균화될 수 있고, 그 결과, 최적화된 영역 (1302) 의 선택도를 가져온다.
이제 도 14 를 참조하면, 본 발명의 일 실시형태에 따라, 상보적인 에치 프로세스 레시피들의 셋트 사이에서 교대함으로써 실질적으로 최적의 에치 프로파일이 달성될 수도 있다. 다른 모든 플라즈마 프로세스 특성들은 일정하게 유지되고, 제 1 프로세스 (1404) 에서, 일반적으로, RF 전력의 증가는 이온들의 수를 증가시켜 수직 에치 레이트 및 결과적으로 수직 프로파일을 증가시키는 경향이 있다.
제 2 프로세스 (1406) 에서, 일반적으로, 압력이 증가함에 따라, 이온들로 포화된 플라즈마는 더 작은 MFP 를 갖기 때문에, 에치 프로파일의 수직성은 감소한 다. 더 적은 이온들이 기판의 표면에 도달하여 이용가능하기 때문에, 에치 프로세스는 덜 물리적이고 더 화학적이게 된다. 에치 프로세스 레시피들 사이에 순환 또는 교대함으로써, 에치 프로세스 레시피의 최적화 및 악화 영향들은 평균화될 수 있고, 결과적으로 최적화된 영역 (1402) 의 에치 프로파일을 형성할 수 있다.
예를 들어, Lam Research Alliance 9400 DSiETM 플라즈마 프로세싱 시스템의 예시적인 에치 프로세스에서, 2 가지 레시피들 사이에서 교대함으로써 에치 레이트가 최적화될 수도 있다. 제 1 레시피는 SF6: 50 sccm, 압력: 50mtorr, TCP 전력: 500 와트, 바이어스: -150v, 시간: 10 초 를 포함할 수도 있다. 제 2 레시피는 C4F8: 50sccm, 압력: 60mtorr, TCP 전력: 500 와트, 바이어스: -1300v, 시간: 5초를 포함할 수도 있다. 단계들의 수는 필요한 만큼 반복된다.
이제 도 15 를 참조하면, 본 발명의 일 실시형태에 따라, 기판을 최적화하기 위해 플라즈마 처리 시스템에서 플라즈마 프로세스들 사이에서 순차 교대하는 간략화된 방법을 나타내는 도면이 나타내어져 있다. 초기에, 단계 1502 에서, 제 1 프로세스 변수를 포함하는 제 1 플라즈마 프로세스 레시피가 선택되고, 여기서, 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고, 제 2 기판 에치 특성을 악화시킨다. 다음으로, 단계 1504 에서, 제 2 프로세스 변수를 포함하는 제 2 플라즈마 프로세스 레시피가 선택되고, 여기서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 제 1 기판 에치 특성을 악화시키고, 제 2 기 판 에치 특성을 최적화한다. 다음으로, 단계 1506 에서, 기판은 플라즈마 처리 챔버의 척 상에 위치된다. 그 다음, 단계 1508 에서, 플라즈마는 플라즈마 처리 챔버 내에서 스트라이킹된다. 그리고 마지막으로, 단계 1510 에서, 제 1 플라즈마 레시피는 제 2 플라즈마 레시피와 교대되고, 여기서, 교대의 완료시에, 제 1 기판 에치 특성과 제 2 기판 에치 특성은 실질적으로 최적화된다.
본 발명을 몇몇 바람직한 실시형태들의 표현으로 설명하였지만, 본 발명의 범위 내에서 교체, 변형 및 균등물 등이 존재한다. 예를 들어, 본 발명을 Lam Research 플라즈마 처리 시스템 (예를 들어, ExelanTM, ExelanTM HP, ExelanTM HPT, 2300TM, VersysTM Star 등) 과 함께 설명하였지만, 다른 플라즈마 처리 시스템이 사용될 수도 있다. 또한 본 발명은 다양한 직경의 기판 (예를 들어, 200mm, 300mm 등) 에 이용될 수도 있다. 또한, 본 발명의 방법을 구현하는 많은 다른 방식들이 존재한다.
본 발명의 이점으로는, 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교대하는 방법 및 장치를 포함한다. 추가적인 이점으로는, 상보적인 에치 프로파일을 갖는 2 가지의 프로세스들을 이용함으로써 불균일 에치 레이트를 보상하고, 기판 수율 및 스루풋을 향상시키고, 기판 대 기판의 반복성의 유지를 포함할 수도 있다.
예시적인 실시형태와 최선의 형태를 개시하였지만, 개시된 실시형태들에 대해, 이하의 청구범위에 의해 정의되는 본 발명의 주제와 사상의 범위 내에서 변형 및 변경들이 이루어질 수도 있다.

Claims (24)

  1. 플라즈마 처리 시스템에서 기판의 에칭을 최적화하는 방법으로서,
    제 1 프로세스 변수를 포함하는 제 1 플라즈마 프로세스 레시피를 선택하는 단계로서, 상기 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시키는, 상기 제 1 플라즈마 프로세스 레시피를 선택하는 단계;
    제 2 프로세스 변수를 포함하는 제 2 플라즈마 프로세스 레시피를 선택하는 단계로서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 상기 제 1 기판 에치 특성을 악화시키고, 상기 제 2 기판 에치 특성을 최적화하는, 상기 제 2 플라즈마 프로세스 레시피를 선택하는 단계;
    플라즈마 처리 챔버의 척 상에 기판을 위치시키는 단계;
    상기 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 단계; 및
    상기 제 1 플라즈마 레시피와 상기 제 2 플라즈마 레시피 사이에서 교대하는 단계로서, 상기 교대의 완료시에, 상기 제 1 기판 에치 특성과 상기 제 2 기판 에치 특성이 실질적으로 최적화되는, 상기 교대하는 단계를 포함하는, 기판 에칭 최적화 방법.
  2. 제 1 항에 있어서,
    상기 제 1 기판 에치 특성은 에치 레이트, 균일도, 선택도, 및 에치 프로파 일 중 하나를 포함하는, 기판 에칭 최적화 방법.
  3. 제 1 항에 있어서,
    상기 제 2 기판 에치 특성은 에치 레이트, 균일도, 선택도, 및 에치 프로파일 중 하나를 포함하는, 기판 에칭 최적화 방법.
  4. 제 1 항에 있어서,
    상기 제 1 프로세스 변수는 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, 및 RF 주파수 중 하나를 포함하는, 기판 에칭 최적화 방법.
  5. 제 1 항에 있어서,
    상기 제 2 프로세스 변수는 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, 및 RF 주파수 중 하나를 포함하는, 기판 에칭 최적화 방법.
  6. 제 1 항에 있어서,
    상기 기판은 반도체 웨이퍼인, 기판 에칭 최적화 방법.
  7. 제 1 항에 있어서,
    상기 기판은 글라스 패널인, 기판 에칭 최적화 방법.
  8. 제 1 항에 있어서,
    상기 교대는 몇 초마다 일어나는, 기판 에칭 최적화 방법.
  9. 플라즈마 처리 시스템에서 기판의 에칭을 최적화하는 방법으로서,
    제 1 프로세스 변수를 포함하는 플라즈마 프로세스 레시피들의 제 1 셋트를 선택하는 단계로서, 상기 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시키는, 상기 플라즈마 프로세스 레시피들의 제 1 셋트를 선택하는 단계;
    제 2 프로세스 변수를 포함하는 플라즈마 프로세스 레시피들의 제 2 셋트를 선택하는 단계로서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 상기 제 1 기판 에치 특성을 악화시키고, 상기 제 2 기판 에치 특성을 최적화하는, 상기 플라즈마 프로세스 레시피들의 제 2 셋트를 선택하는 단계;
    플라즈마 처리 챔버의 척 상에 기판을 위치시키는 단계;
    상기 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 단계; 및
    상기 플라즈마 레시피들의 제 1 셋트의 플라즈마 레시피와 상기 제 2 플라즈마 레시피들의 제 2 셋트로부터의 플라즈마 레시피 사이에서 교대하는 단계로서, 상기 교대의 완료시에, 상기 제 1 기판 에치 특성과 상기 제 2 기판 에치 특성이 실질적으로 최적화되는, 상기 교대하는 단계를 포함하는, 기판 에칭 최적화 방법.
  10. 제 9 항에 있어서,
    상기 제 1 기판 에치 특성은 에치 레이트, 균일도, 선택도, 및 에치 프로파일 중 하나를 포함하는, 기판 에칭 최적화 방법.
  11. 제 9 항에 있어서,
    상기 제 2 기판 에치 특성은 에치 레이트, 균일도, 선택도, 및 에치 프로파일 중 하나를 포함하는, 기판 에칭 최적화 방법.
  12. 제 9 항에 있어서,
    상기 제 1 프로세스 변수는 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, 및 RF 주파수 중 하나를 포함하는, 기판 에칭 최적화 방법.
  13. 제 9 항에 있어서,
    상기 제 2 프로세스 변수는 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, 및 RF 주파수 중 하나를 포함하는, 기판 에칭 최적화 방법.
  14. 제 9 항에 있어서,
    상기 기판은 반도체 웨이퍼인, 기판 에칭 최적화 방법.
  15. 제 9 항에 있어서,
    상기 기판은 글라스 패널인, 기판 에칭 최적화 방법.
  16. 제 9 항에 있어서,
    상기 교대는 몇 초마다 일어나는, 기판 에칭 최적화 방법.
  17. 플라즈마 처리 시스템에서 기판의 에칭을 최적화하는 장치로서,
    제 1 프로세스 변수를 포함하는 플라즈마 프로세스 레시피들의 제 1 셋트를 선택하는 수단으로서, 상기 제 1 프로세스 변수를 제 1 량만큼 변경하는 것은 제 1 기판 에치 특성을 최적화하고 제 2 기판 에치 특성을 악화시키는, 상기 플라즈마 프로세스 레시피들의 제 1 셋트를 선택하는 수단;
    제 2 프로세스 변수를 포함하는 플라즈마 프로세스 레시피들의 제 2 셋트를 선택하는 수단으로서, 제 2 프로세스 변수를 제 2 량만큼 변경하는 것은 상기 제 1 기판 에치 특성을 악화시키고, 상기 제 2 기판 에치 특성을 최적화하는, 상기 플라즈마 프로세스 레시피들의 제 2 셋트를 선택하는 수단;
    플라즈마 처리 챔버의 척 상에 기판을 위치시키는 수단;
    상기 플라즈마 처리 챔버 내에서 플라즈마를 스트라이킹하는 수단; 및
    상기 플라즈마 레시피들의 제 1 셋트의 플라즈마 레시피와 상기 제 2 플라즈 마 레시피들의 제 2 셋트로부터의 플라즈마 레시피 사이에서 교대하는 수단으로서, 상기 교대의 완료시에, 상기 제 1 기판 에치 특성과 상기 제 2 기판 에치 특성이 실질적으로 최적화되는, 상기 교대하는 수단을 포함하는, 기판 에칭 최적화 장치.
  18. 제 17 항에 있어서,
    상기 제 1 기판 에치 특성은 에치 레이트, 균일도, 선택도, 및 에치 프로파일 중 하나를 포함하는, 기판 에칭 최적화 장치.
  19. 제 17 항에 있어서,
    상기 제 2 기판 에치 특성은 에치 레이트, 균일도, 선택도, 및 에치 프로파일 중 하나를 포함하는, 기판 에칭 최적화 장치.
  20. 제 17 항에 있어서,
    상기 제 1 프로세스 변수는 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, 및 RF 주파수 중 하나를 포함하는, 기판 에칭 최적화 장치.
  21. 제 17 항에 있어서,
    상기 제 2 프로세스 변수는 프로세스 시간, RF 전력, 챔버 압력, 가스 조성, 가스 플로우, 기판 바이어스, 및 RF 주파수 중 하나를 포함하는, 기판 에칭 최적화 장치.
  22. 제 17 항에 있어서,
    상기 기판은 반도체 웨이퍼인, 기판 에칭 최적화 장치.
  23. 제 17 항에 있어서,
    상기 기판은 글라스 패널인, 기판 에칭 최적화 장치.
  24. 제 17 항에 있어서,
    상기 교대는 몇 초마다 일어나는, 기판 에칭 최적화 장치.
KR1020077016807A 2004-12-22 2005-12-16 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교번하는 방법 및 장치 KR101144021B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/022,983 2004-12-22
US11/022,983 US7459100B2 (en) 2004-12-22 2004-12-22 Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
PCT/US2005/045725 WO2006068971A2 (en) 2004-12-22 2005-12-16 Sequentially alternating plasma process parameters to optimize a substrate

Publications (2)

Publication Number Publication Date
KR20070091673A true KR20070091673A (ko) 2007-09-11
KR101144021B1 KR101144021B1 (ko) 2012-05-09

Family

ID=36594380

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077016807A KR101144021B1 (ko) 2004-12-22 2005-12-16 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교번하는 방법 및 장치

Country Status (7)

Country Link
US (1) US7459100B2 (ko)
EP (1) EP1831429A4 (ko)
JP (1) JP5038151B2 (ko)
KR (1) KR101144021B1 (ko)
CN (1) CN101287860B (ko)
TW (1) TWI386994B (ko)
WO (1) WO2006068971A2 (ko)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
CN102427038A (zh) * 2011-09-15 2012-04-25 上海华力微电子有限公司 一种先进的自动调整刻蚀均匀性的方法
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
US11121229B2 (en) * 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
CN116535108B (zh) * 2023-07-05 2023-09-22 上海传芯半导体有限公司 衬底回收方法、再生的光掩模基版及光掩模版的制造方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182646A (en) 1978-07-27 1980-01-08 John Zajac Process of etching with plasma etch gas
US5081590A (en) * 1988-02-29 1992-01-14 Westinghouse Electric Corp. Computer aided technique for post production tuning of microwave modules
JPH053180A (ja) * 1990-11-16 1993-01-08 Nkk Corp AlまたはAl合金のエツチング方法
JP3210469B2 (ja) * 1993-03-12 2001-09-17 株式会社日立製作所 半導体集積回路装置の製造方法
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JPH0745585A (ja) * 1993-07-29 1995-02-14 Hitachi Ltd ドライエッチング方法
JPH088231A (ja) * 1994-06-21 1996-01-12 Sanyo Electric Co Ltd 膜の平坦化方法
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6459945B1 (en) * 1999-05-13 2002-10-01 Advanced Micro Devices, Inc. System and method for facilitating determining suitable material layer thickness in a semiconductor device fabrication process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
US6770166B1 (en) 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6633793B2 (en) * 2001-08-13 2003-10-14 Promos Technologies Method to reduce lot-to-lot variation of array threshold voltage in a DRAM device
US20030139907A1 (en) * 2002-01-24 2003-07-24 Mccarthy Robert J System, Method, and Product for Nanoscale Modeling, Analysis, Simulation, and Synthesis (NMASS)
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7085676B2 (en) * 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes

Also Published As

Publication number Publication date
WO2006068971A2 (en) 2006-06-29
TW200633051A (en) 2006-09-16
JP5038151B2 (ja) 2012-10-03
WO2006068971B1 (en) 2007-12-21
CN101287860B (zh) 2011-10-05
JP2008526025A (ja) 2008-07-17
WO2006068971A3 (en) 2007-09-20
US7459100B2 (en) 2008-12-02
KR101144021B1 (ko) 2012-05-09
CN101287860A (zh) 2008-10-15
EP1831429A2 (en) 2007-09-12
TWI386994B (zh) 2013-02-21
EP1831429A4 (en) 2008-10-22
US20060131271A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
KR101144021B1 (ko) 기판을 최적화하기 위해 플라즈마 프로세스들 사이에서 순차 교번하는 방법 및 장치
KR101164829B1 (ko) 일 세트의 플라즈마 처리 단계를 튜닝하는 방법 및 장치
US4253907A (en) Anisotropic plasma etching
US5662819A (en) Plasma processing method with controlled ion/radical ratio
US8497213B2 (en) Plasma processing method
EP0814500B1 (en) Method for etching polycide structures
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20090065148A1 (en) Methods and apparatus for igniting a low pressure plasma
US20010044212A1 (en) Techniques for improving etching in a plasma processing chamber
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
US6815369B2 (en) Method for monitoring deposition reaction during processing the surface of a semiconductor substrate
US6506687B1 (en) Dry etching device and method of producing semiconductor devices
WO2004073025A2 (en) Methods of reducing photoresist distortion while etching in a plasma processing system
US20130081762A1 (en) Plasma Tuning Rods in Microwave Processing Systems
JPH11345803A (ja) プラズマ発生加工方法およびプラズマ発生加工装置
JPH09312280A (ja) ドライエッチング方法
JP3516741B2 (ja) プラズマ処理方法
JP2003031555A (ja) 表面処理装置
JPH0864585A (ja) プラズマ発生加工方法およびその装置
JPH0637046A (ja) プラズマエッチング装置
WO2000059024A1 (en) Improved techniques for etching an aluminum neodymium-containing layer

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150424

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160422

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170424

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180424

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190423

Year of fee payment: 8