KR20070042990A - 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화 - Google Patents

원자외선 레이저 어닐링 및 SiCOH 박막의 안정화 Download PDF

Info

Publication number
KR20070042990A
KR20070042990A KR1020077002340A KR20077002340A KR20070042990A KR 20070042990 A KR20070042990 A KR 20070042990A KR 1020077002340 A KR1020077002340 A KR 1020077002340A KR 20077002340 A KR20077002340 A KR 20077002340A KR 20070042990 A KR20070042990 A KR 20070042990A
Authority
KR
South Korea
Prior art keywords
thin film
dielectric
dielectric thin
layer
sicoh
Prior art date
Application number
KR1020077002340A
Other languages
English (en)
Other versions
KR101006329B1 (ko
Inventor
알레산드로 씨. 칼레가리
스테판 에이. 코헨
푸아드 이. 도아니
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20070042990A publication Critical patent/KR20070042990A/ko
Application granted granted Critical
Publication of KR101006329B1 publication Critical patent/KR101006329B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 Si, C, O 및 H(이하, SiCOH라 한다.)의 원자들을 포함하는 유전성 박막의 제조방법에 관한 것으로, 발명의 원자외선(DUV, deep ultra-violet)에 노출되지 않은 종래 기술의 SiCOH 유전성 박막을 포함한 종래 기술의 유전성 박막과 비교하여 향상된 절연성을 가지는 SiCOH 유전성 박막을 제조하는 방법을 개시하고 있다. 향상된 절연성은 유전상수에 역으로 영향(증가)을 미치지 않고 누설전류를 감소시키는 것을 포함한다. 본 발명에 따르면, 증착된 SiCOH 유전성 박막에 원자외선 어닐링을 함으로써 감소된 누설전류와 향상된 신뢰도를 보이는 SiCOH 유전성 박막을 얻을 수 있다. 본 발명의 DUV 레이저 어닐링 단계는 약하게 결합된 C를 용이하게 제거함으로써 누설전류를 감소시킨다.
유전성 박막, 어닐링, 원자외선

Description

원자외선 레이저 어닐링 및 SiCOH 박막의 안정화{DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS}
본 발명은 BEOL(back-end-of-the-line)과 같은 반도체 응용에 있어서 사용되는 유전성 박막의 제조방법과 관련된 것으로서 더 자세하게는 낮은 유전상수(k), 감소된 누설전류 및 향상된 박막 신뢰도를 가지고 Si, C, O 및 H (이하, SiCOH라 한다. C 첨가 산화물(CDO, C doped oxide)로도 불린다.)의 원자들을 포함하는 유전성 박막의 제조방법에 관한 것이다. 본 발명은 또한 본 발명의 방법으로 제조된 유전성 박막과 함께 발명의 유전성 박막을 포함하는, 예를 들어 상호접속구조물(interconnect structures)과 같은 전자구조물(electronic structure)에 관한 것이다.
최근 몇 년간 ULSI(ultra large scale integration) 회로에 사용되는 전자디바이스들의 크기의 감소는 BEOL 금속화(BEOL metallization)의 저항 증가뿐만 아니라 층내(intralayer) 또는 층간(interlayer)의 유전 물질의 캐패시턴스의 증가를 초래하였다. 이 결합된 현상은 ULSI 전자디바이스내의 신호지연을 가중시켰다. 미 래 ULSI 회로의 스위치 성능을 향상시키기 위해서는, 캐패시턴스를 줄이기 위해 저유전상수 절연체, 더 자세하게는 산화규소(silicon oxide)보다 현저하게 낮은 유전상수(k)를 가지는 절연체가 필요하다. 낮은 k값을 가지는 유전성 물질은 이미 상업적으로 가용하다. 예를 들어 그런 물질 중에 하나가 폴리테트라플루오로에틸렌(PTFF, polytetrafluoroethylene)으로서, 약 2.0의 유전상수를 가진다. 그러나 대부분의 상업적으로 가용한 유전성 물질은 대략 300℃ 이상에 노출되면 온도적으로 안정적이지 못하다. 현대 ULSI 칩 상의 저유전체 집적에는 적어도 400℃ 에서의 열안정도(thermal stability)가 필요있다.
ULSI 장치에 쓰이기 위해 고려되는 저유전상수 물질는 메틸실록산(methylsiloxane), 메틸실세스퀴오산(methylsilsesquioxanes)과 다른 유기 및 무기중합체들과 같은 Si, C, O 및 H의 원자들을 포함하는 중합체들을 포함한다. 예를 들어, 한 논문(N. Hacker et al . “Properties of new low dielectric contant spin-on silicon oxide based dielectrics" Mat . Res . Soc . Symp . Proc . 476(1997): 25)에서 비록 박막이 스핀-온(spin-on) 기술에 의해 형성될 때 상호접속구조물(interconnect structure)안에서 집적에 필요한 두께에 이르면 그 중 일부 물질이 쉽게 균열을 전파하지만, 열안정도 요구를 만족하는 것으로 보이는 물질에 대해서 개시하고 있다. 더구나 이러한 종래 기술의 전구물질들은 대량생산에 이용하기에는 가격이 너무 비싸 과중하다. 또한 대부분의 VLSI(very large scale integration) 및 ULSI 칩의 생산과정은 플라즈마 화학 혹은 물리 기상증착법(plasma enhanced chemical or physical vapor deposition technique)으로 이루 어진다.
따라서 이미 설치되어 있고 구동가능한 생산장비를 이용하여 플라즈마 화학기상증착법(PECVD)을 사용한 저유전상수 물질의 생산과정은 생산과정의 집적을 통해 간소해지고 생산비용을 절감할 수 있으며 더 적게 유해 폐기물 배출할 수 있을 것이다. 미국 특허 제 6,147,009호와 제 6,497,963호는 Si, C, O 및 H의 원소들로 구성되는 저유전상수 물질을 개시하고 있는데 이 유전물질은 3.6 이하의 유전상수를 갖고 아주 낮은 균열전파속도(crack propagation velocity)를 보인다.
미국 특허 제 6,312,793호, 제 6,441,491호, 제 6,541,398호 및 제 6,479,110 B2호에서는 Si, C, O 및 H의 원자들로 이루어진 매트릭스 상(matrix phase)과 H와 함께 대부분은 C 이루어진 다른 상으로 구성되는 다중상(multiphase) 저유전상수의 유전성 물질을 개시하고 있다. 전술한 특허에서 공개된 유전성 물질들은 3.2이상의 유전상수를 가지고 있다.
미국 특허 제 6,437,443호에서는 제 1 상은 SiCOH물질로 형성된 가운데 두 개 이상의 상을 가진 저유전상수 유전성 물질을 개시하고 있다. 저유전상수 유전성 물질은 플라즈마 화학기상증착 챔버안에서 Si, C, O 및 H의 원자들을 포함하는 제 1 전구물질 기체와 C, H 및 선택적으로 F, N, O의 원자들을 주로 포함하는 하나 이상의 제 2 전구물질 기체에 반응하여 형성된다.
저유전상수의 SiCOH 유전성 박막을 제조하는 다수의 방법이 공개되었음에도 불구하고, 종래 기술의 SiCOH 박막은 그 SiCOH 박막을 포함하는 장치들의 누설전류를 증가시키는 고함량의 C 원소를 포함하고 있다.(일반적으로 대략 10% 내지 20% 또는 그보다 많다.) 누설전류의 증가는 차례로 박막의 절연성을 저하시키고 따라서 박막의 신뢰도에 영향을 미친다. 그러므로 유전상수와 박막의 신뢰도에 영향을 미치지 않는 방법으로 누설전류가 감소된 SiCOH 박막을 제공할 필요가 있는 것이다.
본 발명은 발명의 원자외선(DUV) 레이져 어닐링을 받지 않은 종래 기술의 SiCOH 유전성 박막을 포함한 종래 기술의 유전성 박막에 비하여 향상된 절연성을 갖는 SiCOH 유전성 박막의 제조방법을 제공한다. 상기 향상된 절연성은 상기 SiCOH 유전성 박막의 유전상수에 역으로 영향(증가)을 주지 않으면서 얻어지는 감소된 전류누설을 포함한다. 본 발명에 따르면, 감소된 전류 누설과 향상된 신뢰도를 보이는 SiCOH 유전성 박막은 증착된 SiCOH 유전성 박막에 DUV 레이저 어닐링 단계를 거치게 함으로써 얻어진다. 본 발명의 상기 DUV 레이저 어닐링 단계가 박막으로부터 약하게 결합된 C 를 제거하고 따라서 전류누설이 감소되는 것이다.
전자빔은 현재 SiCOH 유전성 박막을 포함한 다수의 유전성 박막을 안정화시키는데 사용되고 있다. 전자빔 처리 사용의 단점은 약하게 결합된 C는 일반적으로 박막으로부터 제거되지 않고 유도된 전자들이 박막에 원하지 않는 변화를 초래한다는 것이다. 높은 온도의 어닐링(약 500℃ 내지 약 600℃ 상에서) 역시 일반적으로 C를 제거하지 못하고 따라서 박막을 안정화시키지 못한다. SiCOH 유전성 박막의 질을 향상시키기 위하여 C원소와 원자외선간의 광반응(photoreaction)이 필요하다.
포괄적으로, 본 발명의 방법은 다음과 같은 단계를 포함한다. :
기판의 표면 위에 Si, C, O 및 H 원자들을 포함하는 유전성 박막을 제공하는 단계 ; 그리고
유전성 박막 내에 광화학적 반응을 야기하여 DUV 레이저 처리되지 않은 SiCOH 박막에 비해 상기 박막의 절연성을 향상시키도록 원자외선(DUV) 레이저를 이용하여 상기 유전성 박막을 조사하는 단계.
상기 개시된 방법에 더하여, 본 발명은 또한 DUV 처리를 하지 않은 SiCOH 박막에 비하여 향상된 절연성, 예를 들어 감소된 누설 전류를 갖는 SiCOH 유전성 박막을 제공한다.
포괄적으로 말하면, 본 발명의 독창적인 유전성 박막은 Si, C, O 및 H의 원자들을 포함하는 유전성 물질을 포함하고, 상기 유전성 물질은 공유 결합 3차원 네트워크 구조(covalently bonded tri-dimension network structure), 2.8 이하의 유전상수와 SiO2와 실질적으로 같은 반사율 스펙트럼을 가진다.
"SiO2와 실질적으로 같은" 이란 구절은 도 6에서 도시한 바와 같이 SiCOH 유전성 박막이 DUV(248 nm)에서 SiO2의 일반적인 경우처럼 약 60%의 반사율을 가지고 있음을 뜻하고, SiO2와 레이저 어닐링 SiCOH 박막은 대략 동일한 반사율 스펙트럼을 가진다. 비노출 SiCOH 박막의 경우, DUV에서의 반사율은 낮고(대략 20%) 이는 C 원자로 인한 흡수현상을 나타낸다.
상술한 바와 같이, 본 발명의 DUV 처리 SiCOH 유전성 박막은 비DUV처리 SiCOH 유전성 박막에 비하여 향상된 절연성을 가지고 있다. 상기 향상된 절연성에는 본 발명의 박막이 전자구조물에서 사용될 때 관찰되는 감소된 누설 전류가 포함된다. 상세하게는, 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막은 비DUV 레이저(예를 들어, 증착된) SiCOH 유전성 박막과 비교하여 최소 1 내지 수 차수 배 누설전류가 감소한 전류 밀도를 가진다. 고함량의 C를 포함한 증착된 SiCOH 박막은 매우 높은 누설전류를 가지고(도 8에서 도시된 바 그래프의 범위를 벗어나고 있다.) 레이저 어닐링 후 누설 전류가 -2V에서 대략 10-7 A/cm2로 급격히 감소하는 것이 도 8에서 도시되어 있다. 이 특정 샘플의 반사율 스펙트럼은 도 7에 도시되어 있다. 일반적인 SiO2 박막의 경우, 레이저 어닐링 이전에 SiCOH 박막은 DUV에서 낮은 반사율을 (대략 15%) 가지고 (곡선 C), 레이저 어닐링 이후에 반사율은 DUV에서 대략 60%이다 (곡선 D).
본 발명은 또한 본 발명의 독창적인 DUV레이저 처리 SiCOH 유전성 박막을 포함하는 적어도 하나 이상의 절연 물질을 포함하는 전자구조물에 관한 것이다. 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막을 포함하는 적어도 하나 이상의 유전성 박막은 전자구조물 내에서 레벨간(interlevel) 혹은 레벨 내의(intralevel) 유전층, 캡핑 층(capping layer), 및/또는 하드 마스크/폴리쉬-스탑 층(hard mask/polish-stop layer)을 포함할 수 있다.
본 발명은 향상된 절연성을 가지는 SiCOH 유전성 물질의 제조방법과 그것을 포함하는 원자외선 레이저 처리 SiCOH 유전성 박막 및 전자구조물에 관해 것으로서 지금부터 자세히 설명하도록 하겠다. 본 발명에 따르면 도 1A에 도시된 바와 같이 SiCOH 유전성 박막(12)은 기판(10)의 표면에 형성된다. 기판(10)과 관련하여 사용할 때 “기판”이란 용어는 다층구조를 포함하여 반도체물질, 절연 물질, 도전성 물질 또는 이들의 조합을 포함한다. 예를 들면 기판(10)은 Si, SiGe, SiGeC, SiC, GaAs, InAs, InP 및 다른 Ⅲ/Ⅴ또는 Ⅱ/Ⅵ 화합물 반도체와 같은 반도체물질이 될 수 있다. 반도체 기판(10)은 예를 들어 Si/SiGe, Si/SiC, SOIs(silicon-on-insulators) 또는 SGOIs(silicon germanium-on-insulators)와 같은 다층기판도 포함할 수 있다. 기판(10)이 절연 물질인 경우에 그 절연 물질은 그 기판의 다중층을 포함하여 유기 절연체, 무기 절연체 또는 이들의 조합으로 될 수 있다. 기판(10)이 도전성 물질인 경우에, 기판(10)은 다중층을 포함하며, 예를 들어 폴리실리콘(polySi), 원소성 금속(elemental metal), 원소성 금속의 합금, 금속 규소화합물, 금속 질화물 또는 그 조합을 포함할 수 있다.
실시예들 중에서 기판(10)은 반도체 물질과 절연 물질의 조합, 반도체 물질과 도전성 물질의 조합 또는 반도체 물질과 절연 물질 및 도전성 물질의 조합을 포함한다. 상기 조합을 포함하는 기판의 예로 상호접속구조물이 있다.
SiCOH 유전성 박막(12)은 일반적으로 플라즈마 화학기상증착법(PECVD)을 이용하여 증착된다. PECVD에 더하여 본 발명은 또한 SiCOH 유전성 박막(12)이 화학기상증착법(CVD), 고밀도 플라즈마(HDP, high-density plasma)증착법, 펄스드 PECVD(pulsed PECVD)증착법, 스핀-온 애플리케이션(spin-on application) 또는 다른 관련된 방법을 이용하여 형성될 수도 있다는 것을 고려한다. 증착된 유전성 박막(12)의 두께는 다양하다. 증착된 유전성 박막의 일반적 두께는 약 50 nm 내지 약 1 μm이고 더 일반적으로는 100 nm 내지 약 500 nm이다.
일반적으로, SiCOH 유전성 박막은 함께 양도된 미국특허 제 6,147,009호, 제 6,312,793호, 제 6,441,491호, 제 6,437,443호, 제 6,441,491호, 제 6,541,398호, 제 6,479,110 B2 및 제 6,497,963호에서 공개된 공정기술을 이용하여 증착된다.
자세하게는, SiCOH 유전성 박막(12)은 적어도 Si, C, O 및 H 원자들을 포함하는 제 1 전구물질(액체, 기체 혹은 증기)과 He나 Ar같은 비활성 캐리어 (inert carrier)들이 반응기(reator)(바람직하게는 PECVD 반응기이다.)로 제공됨으로써 형성된다. 그리고 나서 SiCOH 유전성 물질을 형성하는데 효과적인 조건들을 이용하여 적절한 기판 위에 상기 제 1 전구물질로부터 박막을 증착한다. 본 발명은 여기에 더하여 제 1 전구물질과 O2, CO2 혹은 그 조합과 같은 산화제를 혼합하여 반응기안의 반응물질을 안정화시키고 기판(10)위에 증착되는 유전성 박막(12)의 균일성을 향상시킨다.
상기 제 1 전구물질에 더하여, C, H 및 선택적으로 O, F, N을 포함하는 제 2 전구물질(액체, 기체 혹은 증기)이 사용될 수 있다. 선택적으로, Ge를 포함하는 제 3 전구물질(액체, 기체 혹은 증기)까지도 쓰일 수 있다.
바람직하게, 제 1 전구물질은 1,3,5,7-테트라메틸시클로테트라실록산(TMCTS 또는 C4H16O4Si4, tetramethylcyclotetrasiloxane), 옥타메틸시클로테트라실록산(OMCTS, octamethylcyclotetrasiloxane), 디에톡시메틸실란(DEMS, diethoxymethylsilane), 디메틸디메톡시실란(DMDMOS, dimethyldimethoxysilane), 디에틸메톡시실란(DEDMOS, diethylmethoxysilane) 및 관련된 싸이클릭(cyclic) 혹은 비-싸이클릭(non-cyclic) 실란(silane), 실록산(siloxane) 및 유사물질들과 같이 SiCOH요소(component)로 이루어진 고리형 구조(ring structure)의 유기물질(organic molecule)들 중에 선택되어진다.
사용될 수 있는 제 2 전구물질은 하이드로카본 분자(hydrocarbon molecule)이다. 예를 들어 에틸렌 같은 임의의 하이드로카본 분자가 사용될 수도 있으나 바람직하게는 고리형 구조를 가진, 더욱 바람직하게는 하나 이상의 고리가 하이드로카본 분자에 존재하거나 링에 분기된 사슬(branched chain)이 부착된 구조의 하이드로카본 분자로 이루어진 군으로부터 상기 제 2 전구물질이 선택된다. 적어도 그 중 하나는 헤테로원자(heteroatom)를, 우선적으로 산소를 포함하는 융합된 고리들(fused rings)을 가진 종류들이 특히 유용하다. 이러한 종류 중 가장 적합한 것은 현저한 고리의 스트레인(significant ring strain)을 분배하는 크기의 고리, 이른바 3 또는 4개의 원자 또는 7개 이상의 원자들을 가진 고리를 포함하는 것이다. 특히 유용한 것은 옥사바이사이클릭(oxabicyclics)으로 알려진 화합물 군의 일원들로서 싸이클로펜틴 옥사이드("CPO" 혹은 “C5H8O”, cyclopentene oxide)같은 것들이다. 또한 유용한 물질로는 하이드로카본 고리에 부착된 분기된 3차 부틸기(t-butyl, branched tertiary butyl)와 이소프로필(i-propyl, isopropyl) 그룹들이 있다. 여기서 고리는 포화 혹은 불포화 상태이다(C=C 이중결합을 포함한다). 제 3 전구물질은 게르만 하이드라이드(germane hydride) 혹은 Ge로 구성되는 임의의 다른 반응 물질로부터 만들어질 수 있다.
SiCOH 박막(12)은, 기판 척(chuck)의 도전성 영역(conductive area)이 약 85 cm2 내지 약 750 cm2이고 기판과 상위 전극(top electrod) 간의 간격이 약 1 cm 내지 약 12 cm인 병렬 판 반응기를 제공하는 단계를 포함하는 방법을 이용하여 증착될 수 있다. 고주파 RF 파워는 전극들 중 하나에 약 0.45 Mhz 내지 약 200 Mhz의 주파수로 인가된다. 선택적으로, 추가적인 저주파 파워가 전극들 중 하나에 인가될 수 있다.
증착과정에서 사용되는 조건들은 SiCOH 유전성 박막(12)의 최종 목표 유전상수에 따라 변할 수 있다. 포괄적으로, 2.8이하의 유전상수를 가지고 Si, C, O, H의 원자들을 포함하는 안정한 유전성 물질을 제공하기 위해서는 다음과 같은 조건들이 사용된다. : 기판의 온도를 약 300℃ 내지 약 425℃로 설정한다.; 고주파 RF 파워의 밀도를 약 0.1 W/cm2 내지 약 1.5 W/cm2로 설정한다.; 제 1 액체 전구물질의 유량(flow rate)을 약 100 mg/min 내지 약 5000 mg/min으로 설정한다.; 선택적으로 제 2 액체 전구물질의 유량을 약 50 mg/min 내지 약 10,000 mg/min으로 설정한다.; 선택적으로 제 3 액체 전구물질의 유량을 약 25 mg/min 내지 약 4000 mg/min으로 설정한다.; 선택적으로 헬륨(또는 아르곤)과 같은 비활성 캐리어 기체의 유량을 약 50 sccm 내지 약 5000 sccm으로 설정한다.; 반응기 압력은 약 1000 mTorr 내지 약 7000 mTorr로 설정한다.; 고주파 파워는 약 75 W 내지 약 1000W로 설정한다.
선택적으로, 초저주파 파워는 약 30 W 내지 약 400 W로 플라즈마에 더해질 수 있다. 기판 척의 도전성 영역이 X라는 인자(factor)에 의해 변한다면 기판 척에 인가되는 RF 파워도 X라는 인자에 의해 변한다.
본 발명에서 산화제가 사용되는 경우, PECVD 반응기로 약 10 sccm 내지 약 1000 sccm의 유속으로 공급된다.
상기 실시예에서는 액체 전구물질이 사용되었지만 유기규소(organosilicon) 기체상의 전구물질(예를 들어, 트라이메틸실란(trimethylsilane))도 증착에 사용될 수 있다. 유전성 박막(12)의 증착과정에서 포로젠(porogen)이 포함될 수 있으며 이는 이후 수반되는 경화 단계에서 박막(12)내에 수반되는 구멍 발생을 유발한다. 상기 수반되는 경화 단계는 원자외선 레이저 어닐링 단계 이전 혹은 중간에 실행될 수 있다.
본 발명의 이 시점에서 유전성 박막(12)은 2.8 이하의 유전상수를 갖고 공유 결합3차원 네트워크 안에서 Si, C, O 및 H의 원자들을 포함하는 수산화규소탄소물(SiCOH, hydrogenated oxidized silicon carbon material) 매트릭스를 포함한다. 3중 결합 네트워크는 Si-O, Si-C, Si-H, C-H 및 C-C 결합을 포함하는 공유 결합 3차원 고리형 구조(covalently bonded tri-dimensional ring structure)를 포함할 수 있다. 유전성 박막(12)은 F 및 N을 포함할 수 있고 선택적으로 일부가 Ge 원자로 치환된 Si 원자를 가질 수 있다. 유전성 박막(12)은 직경 약 0.3 내지 약 50 나노미터의 분자크기 공극(예를 들어 나노미터 크기의 구멍)을 가질 수 있으며, 직경 약 0.4 내지 약 10 나노미터가 가장 바람직하고, 박막(12)의 유전상수를 약 2.0 이하로 더 감소시킨다. 박막(12)의 나노미터 크기의 구멍은 물질 부피의 약 0.5 % 내지 약 50 %의 부피를 차지한다. 더 바람직하게, 박막(12)의 유전상수는 약 1.6 내지 약 2.6 이고, 가장 바람직하게는 약 1.8 내지 약 2.2 이다. 비처리 SiCOH박막(12)은 바람직하게 두께가 1.3 마이크로미터 이하이고 수중 균열전파속도(crack propagation velocity)가 초당 10-9 미터 미만이다.
SiCOH 박막(12)은 약 5 내지 약 40 원자퍼센트(atomic percent)의 Si, 약 5 내지 약 45 원자퍼센트의 C, 0 내지 약 50 원자퍼센트의 O, 약 10 내지 약 55 원자퍼센트의 H를 포함한다. SiCOH 유전성 박막(12)은 350℃ 이상의 온도에서 안정하다.
기판(10)의 표면위에 SiCOH 유전성 박막(12)을 증착한 후, 도 1B에 도시된 바와 같은 기판(10)상부에 원자외선 처리 SiCOH 유전성 박막(14)을 포함하는 구조물을 제공하기 위하여, 결과 구조물은 원자외선(DUV) 레이저를 이용하여 조사된다. 본 발명에서 사용되는 레이저 장치는 원자외선을 방사할 수 있는 여하의 장치를 포함한다. 여기서 “원자외선”은 350 nm이하의 파장을 가지는 방사선을 말한다. 본 발명에서 사용될 수 있는 레이저 장치의 예로 함께 양도된 미국 특허 제 6,395,650호의 도 1과 도 2에서 도시된 레이저 시스템을 포함한다.
증착된 SiCOH 유전성 박막(12)을 처리하는데 사용되는 레이저원(laser source)은 레이저 기체의 혼합물에 따라 여러가지 원자외선 파장 중 하나에서 작동되는 엑시머 레이저(excimer laser)이다. 예를 들어, 308 nm 방사를 생성하는 XeF 레이저가 사용될 수 있다. 또한 248 nm 방사를 생성하는 KrF 레이저 또는, 193 nm 방사를 생성하는 ArF 레이저 모두 본 발명에서 사용될 수 있다. 엑시머 레이저는 1J까지의 펄스 에너지로 초당 수백 펄스에서 작동할 수 있고 따라서 수백 W의 출력을 낼 수 있다.
증착된 SiCOH 유전성 박막(12)을 처리하는데 사용되는 레이저는 바람직하게 펄스 모드에서 작동한다. 레이저 빔은 샘플 전체를 노출할 수 있도록 확장될 수 있다. 대안으로 큰 샘플에 대해서는 균일한 양을 제공하기 위해 샘플에 걸쳐 레이저 노출 영역을 래스터 스캔(raster scan)할 수 있다. 엑시머 레이저를 사용할 때 어블레이션(ablation)이 일어나지 않도록 보장하기 위해 에너지 밀도를 5 mJ/cm2 이하로 제한한다. 액시머 레이저의 경우에20 mJ/cm2 이상의 에너지 밀도에서 약 10 ns의 짧은 펄스지속시간이 물질의 어블레이션을 유발할 수 있다. 일반적으로, 펄스당 0.1 내지 5 mJ/cm2 의 레이저 에너지 밀도 레벨이 사용된다. 총 노출량은 1 내지 10000 J/cm2의 범위에서 변할 수 있고 500 내지 2000 J/cm2의 범위가 바람직하다. 이것은 다중 레이저 펄스 노출(multiple laser pulse exposure)에 의해서 이루어진다. 예를 들어, 1000 J/cm2의 노출량은 106 펄스동안 1 J/cm2의 에너지 밀도를 이용함으로써 얻어질 수 있다. 엑시머 레이저는 일반적으로 초당 수 백 펄스로 작동한다. 총 노출요구량에 따라 원자외선처리과정의 총 노출시간은 수 초에서 수 시간이 될 수 있다. 전형적인 500 J/cm2 노출량은 펄스당 3 J/cm2의 에너지 밀도에서 작동하는 200 Hz의 레이저를 이용하여 15 분 이하에서 얻어진다.
본 발명의 상기 DUV 레이저 어닐링된 SiCOH박막(14)은 DUV 비처리 SiCOH박막(12)에 비하여 안정하다. 본 발명의 상기 DUV 처리 SiCOH박막(14)은 증착된 SiCOH 유전성 박막(12)과 실질적으로 같은 유전 상수를 가진다. 증착된 SiCOH 박막(12)의 원래 유전상수 값에 비해 약간의 증감(±0.5)이 DUV 처리 박막에서 보여질 수 있다. 따라서, 상기 DUV 처리 SiCOH박막(14)은 2.8(±0.5) 미만의 유전상수를 가진다. 상기 DUV 처리 SiCOH 유전성 박막(14)은 또한 증착된 SiCOH 유전성 박막과 유사한 다른 특성 예를 들면, 삼중결합 네트워크(tri-bonded network), 공극률(porosity), 균열 속도(crack velocity), 350℃ 이상의 온도에서 열안정도를 갖는다.
DUV 처리 SiCOH박막(14)과 증착된 박막(12)의 차이점은 약하게 결합된 C가 처리된 박막(14)으로부터 제거된다고 믿어지는, 박막 내 광화학적 반응이 일어난다는 것이다. 따라서DUV 레이저 어닐링된 박막(14) 안의 C 함량은 처리되지 않은 유전성 박막(12)보다 소량 감소한다. 발명의 DUV 처리 SiCOH박막(14)에서 C 양의 감소가 일어난 것이다. DUV 처리 박막(14) 내부의 C함량의 감소로 인해 유전성 박막은 SiO2와 실질적으로 같은 반사율 스펙트럼을 가지게 되고 이는 DUV 레이저 처리 동안 박막에서 C가 제거되었다는 것을 보여준다. 도 6과 도 7에서 명백히 도시하는 바와 같이, DUV에서 증착된 박막의 반사율 스펙트럼은 약 15% 내지 약 20%이다. 이러한 낮은 반사율은 C의 흡수현상에 기인한다. 레이저 처리 후, DUV에서 SiCOH의 반사율 스펙트럼은 SiO2와 대략적으로 유사하고, C가 최소한 부분적으로라도 제거되었음을 나타낸다.
일정 실시예에서 DUV 처리 유전성 박막(14)은 레이저 처리 이후 10배 이상의 누설전류 감소를 보인다. 본 발명의 유전성 박막(14)은 또한 248 nm에서 약 60 내지 약 70%의 반사율 스펙트럼을 가지는 특성을 보인다.
본 발명의 SiCOH 유전성 박막(14)은 레벨 안 혹은 레벨 간 유전체, 캐핑 층(capping layer), 및/또는 전자구조물내의 하드 마스크/폴리쉬 스탑 층(hard mask/polish stop layer)으로 사용된다.
본 발명의 전자구조는 절연 물질의 제 1 층에 함입(embedded)되어 있는 금속의 제 1 영역, 절연 물질의 제 2 층에 함입된 도전체의 제 1 영역, 절연체의 제 1 층과 바로 맞닿아 있는 절연 물질의 제 2 층, 금속의 제 1 영역과 전기적 통신을 하는 도전체의 제 1 영역, 도전체의 제 1 영역과 전기적 통신을 하며 절연 물질의 제 3 층에 함입된 도전체의 제 2 영역, 절연 물질의 제 2 층과 바로 맞닿아 있는 절연 물질의 제 3 층을 가진 전처리된 반도체 기판을 포함한다.
상기 구조에서, 각 절연층들은 DUV 레이저 노출로 처리된 본 발명의 SiCOH 유전성 박막(14)을 포함할 수 있다.
전자구조물은 절연물질의 제 1 층과 절연물질의 제 2 층 중간에 놓여진 유전성 캡 층(dielectric cap layer)을 더 포함할 수 있고, 절연물질의 제 2 층과 제 3 층 중간에 놓여진 유전성 캡 층을 더 포함할 수 있다. 또한 전자구조물은 절연물질의 제 2 층과 절연 물질의 제 3 층 사이에 놓여진 제 1 유전성 캡 층 및 절연물질의 제 3 층위에 놓여진 제 2 유전성 캡 층을 더 포함할 수 있다.
유전성 캡 물질은 산화규소(silicone oxide), 질화규소(silicon nitride), 실리콘 옥시나이트라이드(silicon oxynitride), 실리콘 카본 나이트라이드(SiCN, silicon carbon nitride), Ta, Zr, Hf 또는 W와 같은 내화금속으로 이루어진 규소질화 내화금속(refractory metal silicon nitride), 탄화규소(silicon carbide), 탄산화 규소(silicon carbooxide), 탄소 도핑된 산소(carbon doped oxides)및 그것들의 수소 혹은 질소 화합물로 이루어진 군에서 선택될 수 있다. 일부 실시예에서, 유전성 캡 자체도 상기 본 발명의 DUV 처리된 SiCOH 유전성 물질을 포함할 수 있다. 제 1 그리고 제 2 유전성 캡 층은 유전성 물질의 같은 군에서 선택되어질 수 있다. 절연체의 제 1 층은 산화규소 또는 질화규소 또는, PSG나 BPSG와 같은, 상기 물질들의 도핑된 변형물로 구성될 수 있다.
전자구조물은 절연체의 제 2 층 혹은 제 3 층 중 적어도 하나의 위에 증착된 유전성 물질의 확산방지층(diffusion barrier layer)을 포함할 수 있다. 전자구조물은 또한 절연물질의 제 2 층위에 RIE 하드 마스크/폴리쉬 스탑 층의 역할로서의 유전층과 상기 유전성 RIE 하드 마스크/폴리쉬-스탑 층위에 유전성 확산방지층을 포함할 수 있다. 전자구조물은 또한 상기 절연물질의 제 2 층 위의 제 1 유전성 RIE 하드 마스크/폴리쉬-스탑 층, 상기 제 1 유전성 폴리쉬-스탑 층 위의 제 1 유전성 RIE 확산방지층, 상기 절연물질의 제 3 층 위의 제 2 유전성 RIE 하드 마스크/폴리쉬-스탑 층 및 상기 제 2 유전성 폴리쉬-스탑 층위에 제 2 유전성 확산방지층을 포함할 수 있다. 상기 유전성 RIE 하드 마스크/폴리쉬-스탑 층도 역시 본 발명의 SiCOH 유전성 물질으로 이루어질 수 있다.
본 발명의 DUV 레이저 처리 SiCOH 유전성 박막을 포함할 수 있는 전자디바이스가 도 2 내지 도 5에 도시되어 있다. 도 2 내지 도 5에서 도시된 디바이스는 단지 본 발명의 실례가 되는 실시예이며, 무한한 다른 장치들이 본 발명의 독창적인 방법들에 의해 구현될 수 있다.
도 2에서, 반도체 기판(32) 위에 설치된 전자디바이스(30)가 도시된다. 반도체 기판(32) 위에, 절연 물질 층(34)이 그 안에 함입된 금속의 제 1 영역(36)과 함께 먼저 형성된다. 금속의 제 1 영역(36)위에 CMP 공정이 시행된 후, 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막(38)은 절연 물질의 제 1 층(34)과 금속의 제 1 영역(36)위에 형성된다. 절연 물질의 제 1 층(34)은 산화규소, 질화규소, 이런 물질들의 도핑된 변형물 또는 다른 적합한 절연 물질로 적절하게 형성될 수 있다. 그리고 DUV 레이저 처리 SiCOH 유전성 박막(38)은 에칭(etching)이 뒤따르는 포토리소그래피(photolithography)공정에서 패턴화되고 도전체 층(40)이 그 위에 증착된다. CMP 공정이 제 1 도전체 층(40)위에 수행된 후, 본 발명의 DUV 레이저 처리 SiCOH 박막(44)의 제 2 층이 제 1 DUV 레이저 처리 SiCOH 유전성 박막(38) 및 제 1 도전체 층(40) 위로 플라즈마 화학기상 증착 공정에 의해 증착된다. 도전체 층(40) 은 금속성 물질 또는 비금속 도전성 물질로 증착될 수 있다. 예를 들어, 알루미늄이나 구리 같은 금속성 물질, 또는 질화물이나 폴리실리콘(polysilicon)같은 비금속성 물질이다. 제 1 도전체(40)는 금속의 제 1 영역(36)과 전기적 통신을 한다.
도전체의 제 2 영역(50)은 DUV 레이저 처리 SiCOH 유전성 박막(44) 위의 포토리소그래피 공정 후에 형성되고, 에칭과 제 2 도전성 물질의 증착 과정이 뒤따른다. 도전체의 제 2 영역(50) 또한 제 1 도전체 층(40)을 증착할 때 사용되는 것과 유사한 금속성 물질 또는 비금속성 물질로 증착될 수 있다. 도전체의 제 2 영역(50)은 도전체의 제 1 영역(40)과 전기적 통신을 하고 DUV 레이저 처리 SiCOH 유전성 박막(44)안에 함입되어 있다. DUV 레이저 처리 SiCOH 유전성 박막(44)의 제 2 층은 DUV 레이저 처리 SiCOH 유전성 물질(38)의 제 1 층과 맞닿아 있다. 이 실시예에서, DUV 레이저 처리 SiCOH 유전성 박막의 제 1 층(38)은 레벨내 유전성 물질인 반면, DUV 레이저 처리 SiCOH 유전성 박막의 제 2 층(44)은 레벨간 및 레벨내 유전성 박막이다. 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막의 특성에 기초하여, 우수한 절연성은 제 1 절연 층(38)과 제 2 절연 층(44)에 의해 달성된다.
도 3에서 보여주는 본 발명의 전자디바이스(60)는 도2에서 보여지는 전자디바이스(30)와 유사하나, 제 1 절연 물질 층(38)과 제 2 절연 물질 층(44) 사이에 증착되는 추가적인 유전성 캡 층(62)을 구비한다. 유전성 캡 층(62)은 산화규소, 질화규소, 실리콘 옥시나이트라이드, Ta, Zr, Hf 또는 W와 같은 내화금속으로 이루어진 규소질화 내화금속, 탄화 규소(silicon carbide), 탄질화 규소(SiCN), 탄산화 규소 (SiCO) 및 그것들의 수소화 화합물와 같은 물질로 적합하게 형성될 수 있다. 추가적인 유전성 캡 층(62)은 제 2 절연 물질 층(44)안으로 혹은 더 하위 층, 특히 층(34) 및 층(32)안으로 제 1 도전체 층(40)의 확산을 막기 위한 확산방지층으로서 기능을 한다.
본 발명의 전자디바이스(70)에 대한 다른 대체 실시예는 도 4와 같이 도시된다. 전자디바이스(70)안에서, RIE 마스크 및 CMP(chemical mechanical polishing) 폴리쉬 스탑 층로서 역할을 하는 두 개의 추가적인 유전성 캡 층(72 및 74)이 사용된다. 제 1 유전성 캡 층(72)는 제 1 DUV 레이저 처리 SiCOH 유전성 물질(38)위에 증착되고 RIE 마스크 및 CMP 스탑 으로 사용되고, 따라서 제 1 도전체 층(40)과 층(72)은 CMP후 거의 동일한 평면에 있게 된다. 제 2 유전 층(74)의 기능은 층(72)과 비슷하나, 층(74)은 제 2 도전체 층(50)의 평탄화에 사용된다. 폴리쉬 스탑 층(74)은 산화규소, 질화규소, 실리콘 옥시나이트라이드, Ta, Zr, Hf 또는 W와 같은 내화금속으로 이루어진 규소질화 내화금속, 탄화규소, 탄산화 규소 (SiCO), 및 그것들의 수소화 화합물와 같은 적합한 유전성 물질의 증착으로 될 수 있다. 층(72) 또는 층(74)의 경우 바람직한 폴리쉬 스탑 층 구성물은 SiCH 또는 SiCOH 이다. 층(72)이 SiCOH를 포함할 때, 본 발명의 DUV 레이저 처리 SiCOH 박막이 사용되는 것이 바람직하다. 제 2 유전 층(74)은 제 2 DUV 레이저 처리 SiCOH 유전성 박막(44)위에 같은 목적으로 추가될 수 있다.
본 발명의 전자디바이스(80)의 또 다른 대체 실시예는 도 5와 같이 도시된다. 이 대체 실시예에서, 유전성 물질의 추가 층(82)이 증착되고 따라서 제 2 절연 물질 층(44)을 층(84)와 층(86) 두 개의 분리된 층으로 나눈다. 그러므로 도 2에서 도시되는 바와 같이 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막으로 구성된 레벨간 및 레벨내의 유전층(44)은 비아(via)(92) 와 상호접속부(interconnect)(94)의 경계에서 층간 유전층(84)과 레벨내 유전층(86)으로 나누어진다. 추가적인 확산방지층(96)은 상부 유전층(74)의 위에 더 증착된다. 이러한 대체 실시예 전자구조물(80)에 의해 생기는 추가적인 이득은 유전층(82)이 우수한 상호접속 깊이 조절기능(interconnect depth control)을 제공하는 RIE 에치 스탑(etch stop)으로서 역할을 하는 것이다. 따라서, 층(82)의 조성물은 층(86)에 대해서 에치 선택성(etch selectivity)을 제공하도록 선택된다.
또 다른 대체 실시예는 절연 물질의 제 1 층에 함입된 금속의 제 1 영역, 절연 물질의 제 1 층과 맞닿아 있는 절연 물질의 제 2 층에 함입되어 있는 도전체의 제 1 영역, 그리고 금속의 제 1 영역과 전기적 통신을 하는 도전체의 제 1 영역, 도전체의 제 1 영역과 전기적 통신을 하고, 절연 물질의 제 2 층과 맞닿아 있는 절연 물질의 제 3 층에 함입된 도전체의 제 2 영역, 절연 물질의 제 2 층과 절연 물질의 제 3 층의 사이에 있는 제 1 유전성 캡 층, 그리고 절연 물질의 제 3 층 위에 있는 제 2 유전성 캡 층을 구비하며 제 1 및 제 2 유전성 캡 층들은 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막을 포함하는 물질로 구성된 반도체 기판을 포함하는 배선 구조물(wiring structure)에서 레벨간 또는 레벨내의 유전층으로 절연 물질 층들을 가진 전자구조물을 포함할 수 있다.
본 발명의 또 다른 대체 실시예는 절연 물질의 제 1 층에 함입된 금속의 제 1 영역, 절연 물질의 제 1 층과 맞닿아 있는 절연 물질의 제 2 층에 함입되어 있는 도전체의 제 1 영역, 금속의 제 1 영역과 전기적 통신을 하는 도전체의 제 1 영역, 도전체의 제 1 영역과 전기적 통신을 하고 절연 물질의 제 3 층에 함입된 도전체의 제 2 영역, 절연 물질의 제 2 층과 맞닿아 있는 절연 물질의 제 3 층, 그리고 절연 물질의 제 2 또는 제 3 층 중 적어도 하나의 위에 본 발명의 DUV 레이저 처리 SiCOH 박막을 포함하는 확산방지층을 가진 전처리된 반도체 기판을 포함하는 배선 구조물에서 레벨간 또는 레벨내의 유전층으로 절연 물질 층들을 가진 전자구조물을 포함한다.
또 다른 대체 실시예는 절연 물질의 제 1 층에 함입된 금속의 제 1 영역, 절연 물질의 제 1 층과 맞닿아 있는 절연 물질의 제 2 층에 함입되어 있는 도전체의 제 1 영역, 금속의 제 1 영역과 전기적 통신을 하는 도전체의 제 1 영역, 도전체의 제 1 영역과 전기적 통신을 하고 절연 물질의 제 3 층에 함입된 도전체의 제 2 영역, 절연 물질의 제 2 층과 맞닿아 있는 절연 물질의 제 3 층, 절연 물질의 제 2 층 위의 반응성 이온 에칭(RIE, reactive ion etching) 하드 마스크/폴리쉬 스탑 층, 및 RIE 하드 마스크/폴리쉬 스탑 층 위의 확산방지층을 구비하며 RIE 하드 마스크/폴리쉬 스탑 층과 확산방지층들은 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막을 포함하는 전처리된 반도체 기판을 포함하는 배선 구조물에서 레벨간 또는 레벨내의 유전층으로 절연 물질 층들을 가진 전자구조물을 포함한다.
또 다른 대체 실시예는 절연 물질의 제 1 층에 함입된 금속의 제 1 영역, 절연 물질의 제 1 층과 맞닿아 있는 절연 물질의 제 2 층에 함입되어 있는 도전체의 제 1 영역, 금속의 제 1 영역과 전기적 통신을 하는 도전체의 제 1 영역, 도전체의 제 1 영역과 전기적 통신을 하고 절연 물질의 제 3 층에 함입된 도전체의 제 2 영역, 절연 물질의 제 2 층과 맞닿아 있는 절연 물질의 제 3 층, 절연 물질의 제 2 층위의 제 1 RIE 하드 마스크/폴리쉬 스탑 층, 제 1 RIE 하드 마스크/폴리쉬 스탑 층위에 있는 제 1 확산방지층, 절연 물질의 제 3 층위의 제 2 RIE 하드 마스크/폴리쉬 스탑 층, 그리고 제 2 RIE 하드 마스크/폴리쉬 스탑 층위에 있는 제 2 확산방지층을 구비하며 RIE 하드 마스크/폴리쉬 스탑 층과 확산방지층들은 본 발명의 레이저 처리 SiCOH 유전성 박막을 포함하는 전처리된 반도체 기판을 포함하는 배선 구조물에서 레벨간 또는 레벨내의 유전층으로 절연 물질 층들을 가진 전자구조물을 포함한다.
본 발명의 또 다른 대체 실시예는 레벨간 유전층과 레벨내의 유전층 사이에 위치해 있는 본 발명의 DUV 레이저 처리 SiCOH 유전성 박막을 포함하는 유전성 캡 층을 더 포함하는 것을 제외하고는 상기 직전에 설명한 것과 유사한 배선 구조물에서 레벨간 또는 레벨내의 유전층으로서 절연 물질 층들을 가진 전자구조물을 포함한다.
이하의 실시예는 본 발명의 방법을 설명하고 결과로서 생기는 DUV 레이저 처리 SiCOH 유전성 박막의 다수 이점들을 보여주기 위해 제공된 것이다.
도 1A 내지 1B는 본 발명의 기본적인 공정단계를 도시하기 위한 단면도이다.
도 2는 레벨내(intralevel) 또는 레벨간(interlevel) 유전층으로서 본 발명의 원자외선 레이저처리 SiCOH 유전성 박막을 포함하는 본 발명의 전자 디바이스에 대한 확대 단면도이다.
도 3은 도 2의 본 발명의 원자외선 레이저처리 SiCOH유전성 박막의 위에 추가로 확산 방지 유전성 캡 층(diffusion barrier dielectric cap layer)을 가지는 전자구조물에 대한 확대 단면도이다.
도 4는 도 3에 추가적인 RIE 하드 마스크/폴리쉬-스탑 유전성 캡 층(RIE hard mask/polish-stop dielectric cap layer)과 폴리쉬-스탑 층(polish-stop layer) 위에 증착된 유전성 캡 확산 방지 층(dielectric cap diffusion barrier layer)을 가지는 전자구조물의 확대단면도이다.
도 5는 도 4에 본 발명의 원자외선 레이져 처리 SiCOH유전성 박막 위에 증착된 RIE 하드 마스크/폴리쉬-스탑 유전성 캡 층을 추가로 가지는 전자구조물에 대한 확대 단면도이다.
도 6은 SiO2, SiLK®(The Dow Chemical. Co.의 폴리아릴렌 에테르(polyarylene ether)), 레이저 비처리 SiCOH 박막, 원자외선 레이저 처리 SiCOH 박막을 포함하는 다양한 유전성 박막에 대한 반사율 대 파장의 그래프이다.
도 7은 원자외선 레이저 비처리 SiCOH 유전성 박막과 원자외선 레이저처리 SiCOH 유전성 박막에 대한 반사율 대 파장의 그래프이다.
도 8은 SiCOH 박막에 대한 원자외선 레이저 처리의 전후의 전류-전압특성을 보여주는 그래프이다.
이 실시예에서, 다양한 유전성 박막들이 기판 위에 증착되고 각 유전성 박막의 반사율 스펙트럼은 n&k Technology (Santa Clara, CA)사의 n&k 분석기(n&k analyzer)를 통해 얻어진 것이다. 결과 반사율 스펙트럼(반사율 대 파장(nm))은 도 6에서 도시된다. SiLK® 박막 (곡선 A, 불연속 선), 증착된 SiCOH 유전성 박막(곡선 C, 연속 선) 및 DUV 처리 SiCOH 유전성 박막(곡선 D, 굵은 연속 선)을 포함하는 샘플들이 SiO2 시뮬레이션 스펙트럼(곡선 B, 점선)과 비교되어 있다.
SiLK® 막을 포함하는 샘플은 Si 기판위에 SiLK®의 500nm층을 스핀-온 코팅함으로써 준비된다. SiO2 샘플은 n&k 툴 생산자(n&k tool manufacturer)에서 제공되는 내부 박막 라이브러리를 이용하여 시뮬레이션하였다. 도 6의 비처리 SiCOH 샘플은 TMCTS의 PECVD에 의하여 준비되었다. 최종 DUV 레이저 비처리 SiCOH 박막은 400nm의 두께로 증착된다. 동일한 박막을 248nm 레이저 광원을 이용하여 레이저 처리하였다. 레이저 어닐링의 조건은 다음과 같았다. : 총량 1800J 으로 30 J/min.
도 6에 도시된 반사율 스펙트럼은 고함량의 C를 포함하는 SiLK® 박막(곡선 A) 이 DUV에서 처리되지 않은 SiCOH 박막(곡선 C, 연속 선)에 비교해 대략 30%인 약 10%의 낮은 반사율을 가진다는 것을 보여준다. 전술한 바와 같이, 박막 내부의 C 함량이 높을수록, 흡수율은 높아진다(저반사율). 레이저 처리 후 SiCOH 박막(곡선 D, 굵은 연속 선)은 SiO2(DUV에서 약 60%의 반사율, 곡선 B, 점선)와 비슷한 반사율 스펙트럼을 보이고 이는 C의 함량이 현저하게 줄어들었음을 나타낸다.
도 7은 DUV 비처리 SiCOH 유전성 박막(곡선 C) 와 DUV 레이저 처리 SiCOH 박막(곡선 D)만을 포함한 간략한 스펙트럼을 도시한다. 이 경우, 박막은 TMCTS(유량 100sccm) 및 He내의 10% 아세틸렌(유량 40 sccm)을 전구물질로 사용하여 20mTorr에서 PECVD에 의해 증착된다. 아세틸렌은 SiCOH 박막의 탄소 함량을 높이기 위해 사용된다. 박막의 두께는 약 150nm이다. 다시 여기서 앞서 본 것처럼 증착된 SiCOH 박막은 DUV에서 도 6의 SiCOH 박막의 30%보다 낮은 약 15%의 반사율을 갖는다. 이것은 도 7에서 보여지듯 박막의 C 함량이 증가했기 때문이다. 약 5kJ의 레이저 처리 후 반사율 스펙트럼은 일반적인 SiO2처럼 60%이고 이는 다시 한번 C의 제거를 보여준다(도 7, 곡선 D). 도 7의 같은 예에 대한 전류-전압 특성은 도 8에서 도시된다. 레이저 처리 이전에는 -2V 전압에서 전류가 매우 높아 그래프의 범위를 벗어나는 것을 볼 수 있다(곡선 C). 레이저 처리후 누설 전류는 -2V에서 약 10-7A/cm2이고, 처리되지 않은 샘플에 비하여 수 배 가량 감소한 것이다. 이는 다시 한번 누설 전류를 감소시키는데 있어서 C의 제거가 중요하다는 것을 보여준다.
본 발명이 상세히 도시되고 양호한 실시예에 대해서 설명되었지만, 본 발명 의 정신 및 범위에서 벗어나지 않는 한도에서 형태 및 세부항목에 있어서 전술한 변형 또는 다른 변형이 있을 수 있다는 것을 발명의 기술들은 통해 이해할 것이다. 따라서 본 발명이 상술한 일정형태 및 세부항목에 한정된다는 의도는 없는 것이다.

Claims (10)

  1. 유전성 박막 형성방법에 있어서,
    기판의 표면상에 Si, C, O 및 H의 원자들을 포함하는 유전성 박막을 제공하는 단계와,
    원자외선 레이저로 처리되지 않은 SiCOH 박막에 비해 절연성을 향상시키는, 유전성 박막 내 광화학적 반응을 야기하기 위해 원자외선 레이저을 이용하여 상기 유전성 박막을 조사하는 단계를 포함하는 것을 특징으로 하는 유전성 박막 형성방법.
  2. 제 1항에 있어서,
    상기 기판은 반도체 물질, 절연 물질, 도전성 물질 또는 그 조합을 포함하며 이들의 다중층을 포함하는 유전성 박막 형성방법.
  3. 제 2항에 있어서,
    상기 기판은 반도체 물질인 유전성 박막 형성방법.
  4. 제 1항에 있어서,
    상기 유전성 박막을 제공하는 단계는, 플라즈마 화학기상증착법(PECVD, plasma-enhanced chemical vapor deposition), 고밀도 플라즈마 증착법(HDP, high-density plasma deposition), 펄스드 PECVD 증착법(pulsed PECVD)  및 스핀-온 코팅(spin-on coating)으로 이루어진 군으로부터 선택된 증착공정을 포함하는, 유전성 박막 형성방법.
  5. 제 4항에 있어서,
    상기 증착 공정은 PECVD를 포함하는 유전성 박막 형성방법.
  6. 제 1항에 있어서,
    상기 유전성 박막을 제공하는 단계는, 적어도 Si, C, O 및 H의 원자들을 포함하는 하나 이상의 제 1 전구물질을 선택하는 유전성 박막 형성방법.
  7. 제 6항에 있어서,
    상기 유전성 박막을 제공하는 단계는, C, H 및 선택적으로 O, F, N의 원자들을 포함하는 제 2 전구물질을 선택하는 단계를 더 포함하는 유전성 박막 형성방법.
  8. 제 7항에 있어서,
    Ge를 포함하는 제 3 전구물질을 선택하는 단계를 더 포함하는 유전성 박막 형성방법.
  9. 제 6항에 있어서,
    상기 제 1 전구물질이 고리형 구조(ring structure)를 포함하는 유기물질(organic molecule)인 유전성 박막 형성방법.
  10. 유전성 박막에 있어서,
    Si, C, O 및 H의 원자들을 포함하는 유전성 물질을 포함하고, 상기 유전성 물질은 공유 결합 3차원 네트워크 구조(covalently bonded tri-dimensional network structure), 2.8 이하의 유전상수 및 SiO2와 실질적으로 동일한 흡수율 스펙트럼을 가지는 유전성 박막.
KR1020077002340A 2004-08-20 2005-03-17 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화 KR101006329B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/923,247 2004-08-20
US10/923,247 US7223670B2 (en) 2004-08-20 2004-08-20 DUV laser annealing and stabilization of SiCOH films

Publications (2)

Publication Number Publication Date
KR20070042990A true KR20070042990A (ko) 2007-04-24
KR101006329B1 KR101006329B1 (ko) 2011-01-06

Family

ID=35910180

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002340A KR101006329B1 (ko) 2004-08-20 2005-03-17 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화

Country Status (7)

Country Link
US (3) US7223670B2 (ko)
EP (1) EP1794781A4 (ko)
JP (1) JP5102618B2 (ko)
KR (1) KR101006329B1 (ko)
CN (1) CN101006559B (ko)
TW (1) TWI348191B (ko)
WO (1) WO2006022856A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110135950A (ko) * 2009-03-04 2011-12-20 제록스 코포레이션 구조화 유기 필름을 포함하는 전자 장치

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10202036A1 (de) 2002-01-18 2003-07-31 Zeiss Carl Meditec Ag Femtosekunden Lasersystem zur präzisen Bearbeitung von Material und Gewebe
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) * 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050008789A1 (en) * 2003-06-26 2005-01-13 Rafac Robert J. Method and apparatus for stabilizing optical dielectric coatings
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070210421A1 (en) * 2006-03-13 2007-09-13 Texas Instruments Inc. Semiconductor device fabricated using a carbon-containing film as a contact etch stop layer
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
CN102157439A (zh) * 2011-03-01 2011-08-17 复旦大学 一种超低介电常数材料薄膜及其制备方法
US9881833B1 (en) * 2016-10-26 2018-01-30 International Business Machines Corporation Barrier planarization for interconnect metallization

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6473729A (en) * 1987-09-16 1989-03-20 Matsushita Electric Ind Co Ltd Formation of thin film
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
JP3170533B2 (ja) * 1992-08-27 2001-05-28 株式会社半導体エネルギー研究所 薄膜状半導体装置の作製方法
JP3521737B2 (ja) * 1998-03-30 2004-04-19 セイコーエプソン株式会社 薄膜半導体装置の製造方法、アクティブマトリックス基板の製造方法及びテトラメトキシシランの塗布装置
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6720522B2 (en) * 2000-10-26 2004-04-13 Kabushiki Kaisha Toshiba Apparatus and method for laser beam machining, and method for manufacturing semiconductor devices using laser beam machining
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US6645853B1 (en) 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6903001B2 (en) 2002-07-18 2005-06-07 Micron Technology Inc. Techniques to create low K ILD for BEOL
US20040063308A1 (en) * 2002-09-27 2004-04-01 Taiwan Semiconductor Manufacturing Company Method for forming openings in low-k dielectric layers
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP4344841B2 (ja) * 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7371461B2 (en) * 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110135950A (ko) * 2009-03-04 2011-12-20 제록스 코포레이션 구조화 유기 필름을 포함하는 전자 장치

Also Published As

Publication number Publication date
WO2006022856A3 (en) 2007-01-11
JP2008511135A (ja) 2008-04-10
EP1794781A4 (en) 2010-10-20
US20060040513A1 (en) 2006-02-23
US7560794B2 (en) 2009-07-14
KR101006329B1 (ko) 2011-01-06
CN101006559A (zh) 2007-07-25
WO2006022856A2 (en) 2006-03-02
CN101006559B (zh) 2011-10-26
TWI348191B (en) 2011-09-01
JP5102618B2 (ja) 2012-12-19
US20080230875A1 (en) 2008-09-25
EP1794781A2 (en) 2007-06-13
US7223670B2 (en) 2007-05-29
US20070284698A1 (en) 2007-12-13
TW200618106A (en) 2006-06-01
US7755159B2 (en) 2010-07-13

Similar Documents

Publication Publication Date Title
KR101006329B1 (ko) 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화
US7202564B2 (en) Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7265437B2 (en) Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US7491658B2 (en) Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7485582B2 (en) Hardmask for improved reliability of silicon based dielectrics
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
KR101124781B1 (ko) 층간 부착 개선 방법
US9219037B2 (en) Low k porous SiCOH dielectric and integration with post film formation treatment
US20090061237A1 (en) LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
KR20050110649A (ko) 초저 K(ULK) SiCOH 막 및 그 형성 방법
WO2009032488A1 (en) Improved low k porous sicoh dielectric and integration with post film formation treatment

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131128

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161129

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee