KR20060085281A - 반도체 플라즈마 처리 장치 및 방법 - Google Patents

반도체 플라즈마 처리 장치 및 방법 Download PDF

Info

Publication number
KR20060085281A
KR20060085281A KR1020050005790A KR20050005790A KR20060085281A KR 20060085281 A KR20060085281 A KR 20060085281A KR 1020050005790 A KR1020050005790 A KR 1020050005790A KR 20050005790 A KR20050005790 A KR 20050005790A KR 20060085281 A KR20060085281 A KR 20060085281A
Authority
KR
South Korea
Prior art keywords
process chamber
gas
plasma source
processing apparatus
supplied
Prior art date
Application number
KR1020050005790A
Other languages
English (en)
Other versions
KR100725037B1 (ko
Inventor
김형준
이기영
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020050005790A priority Critical patent/KR100725037B1/ko
Priority to US11/332,169 priority patent/US20060162863A1/en
Priority to TW095102024A priority patent/TW200629336A/zh
Priority to JP2006011279A priority patent/JP4388020B2/ja
Priority to CNB2006100016452A priority patent/CN100566502C/zh
Publication of KR20060085281A publication Critical patent/KR20060085281A/ko
Application granted granted Critical
Publication of KR100725037B1 publication Critical patent/KR100725037B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M3/00Medical syringes, e.g. enemata; Irrigators
    • A61M3/02Enemata; Irrigators
    • A61M3/0279Cannula; Nozzles; Tips; their connection means
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M3/00Medical syringes, e.g. enemata; Irrigators
    • A61M3/02Enemata; Irrigators
    • A61M3/0233Enemata; Irrigators characterised by liquid supply means, e.g. from pressurised reservoirs
    • A61M3/0254Enemata; Irrigators characterised by liquid supply means, e.g. from pressurised reservoirs the liquid being pumped
    • A61M3/0262Enemata; Irrigators characterised by liquid supply means, e.g. from pressurised reservoirs the liquid being pumped manually, e.g. by squeezing a bulb
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M3/00Medical syringes, e.g. enemata; Irrigators
    • A61M3/02Enemata; Irrigators
    • A61M3/0266Stands, holders or storage means for irrigation devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61MDEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
    • A61M2205/00General characteristics of the apparatus
    • A61M2205/27General characteristics of the apparatus preventing use
    • A61M2205/273General characteristics of the apparatus preventing use preventing reuse, e.g. of disposables

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Hematology (AREA)
  • Biomedical Technology (AREA)
  • Anesthesiology (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명의 유도결합 플라즈마 소스의 단점인 라디칼 측면 집중 현상을 보완하여 식각 균일도를 높일 수 있는 반도체 플라즈마 처리 장치에 관한 것으로, 공정가스를 공급받아 공정가스를 활성화하여 다량의 라디칼과 이온을 생성하는 리모트 플라즈마 발생부와; 상기 활성화된 공정가스가 유입되는 유입포트를 갖는 공정챔버와; 상기 공정챔버내에 위치되는 웨이퍼가 안착되는 서셉터; 및 상기 공정챔버에 설치되어 상기 활성화된 공정가스에 고주파 에너지를 제공하는 유도결합 플라즈마 발생부를 포함하는 것을 특징으로 한다. 본 발명에 의하면, 유도결합 플라즈마 소스와 리모트 플라즈마 소스를 사용하여 식각 반응에 필요한 라디칼과 이온을 풍부하게 생성시킴으로써, 식각 반응이 활발히 일어나서 식각 효율을 향상시킬 수 있다.

Description

반도체 플라즈마 처리 장치 및 방법{APPARATUS AND METHOD FOR TREATING SEMICONDUCTOR DEVICE WITH PLASMA}
도 1은 본 발명의 바람직한 실시예에 따른 반도체 플라즈마 처리 장치를 도시한 사시도;
도 2는 본 발명의 바람직한 실시예에 따른 반도체 플라즈마 처리 장치의 정단면도;
도 3은 본 발명의 바람직한 실시예에 따른 반도체 플라즈마 처리 장치의 개략적인 구성을 보여주는 블록도이다.
< 도면의 주요부분에 대한 부호의 설명 >
110 : 공정챔버
120 : 가스 분배 플레이트
130 : 리모트 플라즈마 소스
140 : 유도결합 플라즈마 소스
본 발명은 플라즈마 처리 장치에 관한 것으로, 보다 상세하게는 유도결합 플 라즈마 소스의 단점인 라디칼 측면 집중 현상을 보완하여 식각 균일도를 높일 수 있는 반도체 플라즈마 처리 장치 및 방법에 관한 것이다.
최근의 반도체 소자의 고집적화, 반도체 웨이퍼의 대구경화, 액정 디스플레이의 대면적화 등에 따라 에칭 처리나 성막 처리를 하는 처리 장치의 수요가 날로 증가하고 있다. 플라즈마 에칭 장치, 플라즈마 CVD 장치, 플라즈마 애싱 장치와 같은 플라즈마 처리 장치에 있어서도 그 상황은 마찬가지이다. 즉, 생산량(Throughput)을 향상시키기 위하여 플라즈마의 고도화, 피처리물(반도체 웨이퍼, 글래스 기판)의 대면적화에 대한 대응 및 클린화 등의 실현이 중요과제로 대두되고 있다.
이러한 플라즈마 처리 장치에 사용되는 플라즈마원으로서, 고주파 용량결합형 플라즈마원, 마이크로파 ECR 플라즈마원, 고주파 유도결합형 플라즈마원 등이 있다. 이들 각각은 그 특징을 살려 여러가지 처리 프로세스마다 구분하여 사용되고 있다.
이들 플라즈마원 중에서 고주파 유도결합형 플라즈마원을 구비한 플라즈마 처리 장치는, 단순한 안테나와 고주파 전원이라는 간단하고 값싼 구성에 의해 수 mTorr의 저압하에서 비교적 고밀도의 플라즈마를 발생시킬 수 있고, 피처리물에 대해 평면적으로 코일을 배치함으로써 면적이 큰 플라즈마를 용이하게 발생시킬 수 있으며, 처리 챔버 내부가 간단하므로 처리중에 피처리물 위로 날아오는 이물질 발생을 줄일 수 있다는 장점이 있어 최근 널리 보급되고 있다.
그러나, 기존의 고밀도 플라즈마원인 유도결합 플라즈마원은 단일 플라즈마 원으로 구성되어 있다. 즉, RF 전원장치에 연결된 RF 안테나가 공정챔버 외부에 설치된 단일형으로, RF 안테나에 전력을 공급하면 공정챔버 내부의 가스가 RF 안테나를 따라 형성된 전자기장의 영향을 받아 플라즈마를 형성한다. 이때 측면으로부터 발생한 전자기장이 중심부에서 중첩되어 중심부의 플라즈마의 이온 밀도가 측면보다 높아지고, 라디칼 분포는 이와 반대의 분포를 갖게 된다. 결국, 식각 반응은 라디칼의 화학반응과 이온의 물리력에 의해 촉진되는데, 라디칼 분포가 불균일하면 화학 반응이 불균일해져서 식각의 균일도를 떨어뜨릴 수 있고 라디칼이 충분하지 않을 경우 식각율 또한 감소하게 된다.
이에 본 발명은 상술한 종래 기술상의 문제점을 해결하기 위하여 안출된 것으로, 본 발명의 목적은 라디칼 분포를 균일하게 하여 식각 균일도를 향상시킬 수 있는 반도체 플라즈마 처리 장치 및 방법을 제공하는데 있다.
본 발명의 다른 목적은 공정챔버로 공급되기 직전에 비활성의 프로세스가스를 활성화하여 생성된 다량의 라디칼과 이온을 공정챔버로 공급하여 식각율을 향상시킬 수 있는 반도체 플라즈마 처리장치 및 방법을 제공하는데 있다.
상술한 목적을 달성하기 위한 본 발명에 따른 플라즈마 처리 장치는 공정가스를 공급받아 공정가스를 활성화하여 다량의 라디칼과 이온을 생성하는 리모트 플라즈마 발생부와; 상기 활성화된 공정가스가 유입되는 유입포트를 갖는 공정챔버와; 상기 공정챔버내에 위치되는 웨이퍼가 안착되는 서셉터; 및 상기 공정챔버에 설치되어 상기 활성화된 공정가스에 고주파 에너지를 제공하는 유도결합 플라즈마 발생부를 포함한다.
상기 본 발명의 일 실시예에 있어서, 상기 유도결합 플라즈마 발생부는 상기 공정챔버의 상부 외벽을 둘러싸는 코일 안테나와; 상기 코일안테나에 RF 전력을 인가하기 위한 RF 전원부를 포함한다.
상기 본 발명의 일 실시예에 있어서, 상기 반도체 플라즈마 처리장치는 상기 공정챔버의 최상부에 배치되는 그리고 이너트(inert) 가스가 공급되는 적어도 하나의 가스 유입포트를 갖으며, 상기 이너트 가스가 상기 공정챔버에 균일하게 분배되도록 하는 가스 분배 플레이트(Gas Distribution Plate, GDP)를 더 포함한다.
상기 본 발명의 일 실시예에 있어서, 상기 가스 분배 플레이트는 상기 리모트 플라즈마 발생부로부터 제공되는 상기 활성화된 공정가스가 상기 공정챔버로 곧바로 공급되도록 하는 통로를 더 포함한다.
상술한 목적을 달성하기 위한 본 발명에 따른 반도체 플라즈마 처리 장치는 웨이퍼가 안착되는 서셉터가 내부에 설치되는 공정챔버; 공정가스가 상기 공정챔버로 공급되기 전에 상기 공정가스에 플라즈마를 인가하는 1차 플라즈마 발생부; 및 상기 1차 플라즈마 발생부를 거쳐 상기 공정챔버로 제공되는 상기 공정가스에 플라즈마를 인가하는 2차 플라즈마 발생부를 포함한다.
상기 본 발명의 일 실시예에 있어서, 상기 1차 플라즈마 발생부는 상기 공정가스를 활성화하여 라디칼을 생성하는 리모트 플라즈마 소스이다.
상기 본 발명의 일 실시예에 있어서, 상기 2차 플라즈마 발생부는 상기 공 정챔버의 상부 외벽을 둘러싸는 코일 안테나와; 상기 코일안테나에 RF 전력을 인가하기 위한 RF 전원부를 포함하는 유도결합 플라즈마 소스이다.
상기 본 발명의 일 실시예에 있어서,상기 반도체 플라즈마 처리장치는 상기 공정챔버의 최상부에 위치하면서 상기 공정가스가 상기 공정챔버에 균일하게 분배되도록 하는 가스 분배 플레이트(Gas Distribution Plate, GDP)를 더 포함한다.
상기 본 발명의 일 실시예에 있어서,상기 반도체 플라즈마 처리장치는 상기 공정챔버의 최상부에 배치되는 그리고 이너트(inert) 가스가 공급되는 적어도 하나의 가스 유입포트를 갖으며, 상기 이너트 가스가 상기 공정챔버에 균일하게 분배되도록 하는 가스 분배 플레이트(Gas Distribution Plate, GDP)를 더 포함한다.
상기 본 발명의 일 실시예에 있어서, 상기 가스 분배 플레이트는 상기 제1플라즈마 발생부로부터 제공되는 상기 공정가스가 상기 공정챔버로 곧바로 공급되도록 하는 통로를 더 포함한다.
상술한 목적을 달성하기 위한 본 발명에 따른 반도체 플라즈마 처리 장치방법는 활성화 되지 않은 공정가스가 리모트 플라즈마 소스로 공급되는 단계; 상기 리모트 플라즈마 소스내에서 여기되어 생성된 라디칼과 이온이 프로세스 챔버내로 공급되는 단계; 활성되지 않은 이너트(Inert) 가스가 공정챔버내로 공급되는 단계; 및 상기 공정챔버내로 공급되는 라디칼과 이온 그리고 상기 이너트 가스가 유도결합 플라즈마소스에 의해 활성화되는 단계를 포함한다.
상기 본 발명의 일 실시예에 있어서, 상기 활성되지 않은 이너트 가스는 가스 분배 플레이트를 통해 상기 공정챔버에 균일하게 공급된다.
상기 본 발명의 일 실시예에 있어서, 상기 리모트 플라즈마 소스로부터 공급되는 라디칼과 이온은 상기 이너트 가스와는 분리된 경로를 통해 상기 공정챔버내로 공급된다.
본 발명에 따른 플라즈마 처리 장치에 의하면, 유도결합 플라즈마 소스와 리모트 플라즈마 소스를 사용하여 식각 반응에 필요한 라디칼을 풍부하게 생성시킴으로써, 식각 반응이 활발히 일어나서 식각 효율을 향상시킬 수 있다.
이하, 본 발명에 따른 반도체 플라즈마 처리 장치를 첨부한 도면을 참조하여 상세히 설명한다.
본 발명은 여기서 설명되는 실시예에 한정되지 않고 다른 형태로 구현될 수 있다. 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다. 도면들에 있어서, 각각의 장치는 본 발명의 명확성을 기하기 위하여 개략적으로 도시된 것이다. 또한, 각각의 장치에는 본 명세서에서 자세히 설명되지 아니한 각종의 다양한 부가 장치가 구비되어 있을 수 있다. 명세서 전체에 걸쳐서 동일한 도면부호는 동일한 구성요소를 나타낸다.
(실시예)
도 1은 본 발명의 바람직한 실시예에 따른 반도체 플라즈마 처리 장치를 도시한 사시도이고, 도 2는 본 발명의 바람직한 실시예에 따른 반도체 플라즈마 처리 장치의 정단면도이다. 도 3은 본 발명의 바람직한 실시예에 따른 반도체 플라즈마 처리 장치의 개략적인 구성을 보여주는 블록도이다.
도 1 내지 도 3에 도시된 바와 같이, 본 발명의 반도체 플라즈마 처리 장치(100)는 리모트 플라즈마 소스와 유도결합 플라즈마 소스에 의해 생성되는 라디칼과 이온을 이용하여 반도체 소자 제조용 기판(이하 기판이라고 함)의 표면을 식각 또는 애싱하기 위한 반도체 제조 장치이다.
상기 반도체 플라즈마 처리 장치(100)는, 그 내부에 플라즈마 형성 공간이 마련된 공정챔버(process chamber, 110)를 구비한다. 상기 공정챔버(110)의 내부 아래쪽에는 기판(W)을 지지하는 정전척(electrostatic chuck, 112)이 마련되어 있고, 이 정전척(112)에는 RF 전원(114)이 연결되어 공정챔버(110) 내에 생성된 플라즈마로부터 빠져나온 이온과 라디칼이 웨이퍼(W)의 표면에 충분히 높은 에너지를 가지고 충돌할 수 있도록 바이어스 전압을 제공한다. 상기 공정챔버(110)의 바닥에는 진공펌프(미도시됨)에 연결되는 진공흡입포트(vacuum suction port, 116)가 형성되어 있으며, 이를 통해 공정챔버(110) 내부를 진공상태로 만들게 된다.
상기 공정챔버(110)의 상부에는 가스 분배 플레이트(Gas Distribution Plate, GDP;120)가 설치된다. 상기 가스 분배 플레이트(120)는 이너트(inert) 가스가 공급되는 2개의 가스 유입포트(122)를 갖는다. 2개의 가스 유입포트(122)를 통해 유입되는 이너트 가스는 상기 가스 분배 플레이트의 분사공(124)들을 통해 균일하게 상기 공정챔버(110)로 공급된다. 상기 가스 분배 플레이트(120)는 중앙에 리모트 플라즈마 소스(130)와 연결되는 연결포트(126)를 갖는다. 상기 리모트 플라즈마 소스(130)로부터 활성화된 프로세스가스는 상기 연결포트(126)의 통로(126a)를 통해 공정챔버(110) 내부로 곧바로 공급된다.
상기 리모트 플라즈마 소스(130)에는 활성화되지 않은 프로세스가스(Cl2, HBr, CF4)가 유입되는 유입포트(132)를 갖는다. 상기 리모트 플라즈마내에서 여기되서 생성된 Cl 라디칼과 이온은 상기 가스 분배 플레이트(120)의 연결포트(126)를 통해 상기 공정챔버(110)의 중앙쪽으로 유입되게 된다.
상기 반응 챔버(110)의 상부 측벽(118)은 RF 파워가 투과될 수 있도록 유전체 윈도우(Dielectric Window)로 이루어진다. 상기 유도결합 플라즈마 소스(140)의 코일 안테나(142)는 상기 상부 측벽(118)의 외벽을 둘러싸도록 설치된다. 상기 코일 안테나(142)에는 RF 전원(144)이 연결되어 RF 전류가 흐르게 된다. 코일 안테나(142)를 통해 흐르는 RF 전류에 의해 자기장(magnetic field)이 발생되며, 이 자기장의 시간에 따른 변화에 의해 공정챔버(110) 내부에는 전기장(electric field)이 유도된다. 이 유도 전기장은 상기 공정챔버(110) 내부로 유입되는 상기 이너트 가스와 상기 리모트 플라즈마 소스(130)로부터 공급받은 활성화된 프로세스가스(Cl 라디칼과 이온)를 이온화시켜 공정챔버(110)내에 플라즈마를 생성한다. 생성된 플라즈마는 웨이퍼(W)에 충돌하여 웨이퍼(W)를 원하는 바에 따라 처리, 예컨대 식각하게 된다.
본 발명의 반도체 플라즈마 처리 장치에서의 식각 공정은 다음과 같이 이루어진다.
먼저, 활성화되지 않은 프로세스가스(Cl2, HBr, CF4)는 상기 리모트 플라즈마 소스(130)의 유입포트(132)를 통해 리모트 플라즈마 소스(130)로 공급된다. 전력이 상기 리모트 플라즈마 소스(130)에 인가되면, 상기 리모트 플라즈마 소스 (130)내에서 상기 프로세스가스가 여기되면서 염소(이하 'Cl'이라함) 라디칼(Radical)과 이온이 생성된다. 이렇게 리모트 플라즈마 소스(130)내에서 생성된 Cl 라디칼(Radical)과 이온은 연결포트(126)를 통해 상기 공정챔버(110)의 내부 중앙으로 공급된다. 그리고, 이너트(Inert) 가스(O2, N2)는 상기 유도결합 플라즈마 소스(140) 상부의 상기 가스 분배 플레이트(120)의 분사구(124)들을 통해 공정챔버(110)내로 균일하게 공급된다. 이렇게 상기 공정챔버(110)내로 공급된 Cl 라디칼과 이온 그리고 산소(O2), 질소(N2) 가스는 유도결합 플라즈마 소스(140)에 의해 식각 반응에 필요한 이온이 생성되고, 상기 리모트 플라즈마 소스에서 공급된 라디칼과 함께 식각 반응에 참여하게 된다. 상기 리모트 플라즈마 소스(130)에서 생성되어 공급된 일부의 Cl 라디칼은 공정챔버(110)내에서 서로 반응하여 Cl2로 안정화되게 되는데, 이때 상기 유도결합 플라즈마 소스(140)에 의해서 다시 활성화되면 Cl 라디칼 생성 효율이 더욱 상승하게 된다. 이처럼, 공정챔버 내에 Cl 라디칼이 많이 생성되면 식각이 활발히 일어나서 식각율(etch rate)이 상승하고 당연히 처리량(throughput)의 개선으로 이어지게 된다.
다시 말해, 상기 리모트 플라즈마 소스에서 라디칼이 공정챔버 중앙으로 풍부하게 공급되면, 유도결합 플라즈마 소스에 의해 생성된 플라즈마와 함께 식각 반응이 더욱 활발하게 이루어지면서 식각율이 향상된다.
일반적으로, 식각 장비에 많이 사용되는 유도결합 플라즈마 소스는 주 식각 가스로 쓰이는 Cl2가스를 라디칼로 만드는데 효율이 떨어지고, Cl 라디칼의 분포가 중심보다는 가장자리가 높은 단점을 갖는다. 본 발명은 이러한 단점을 보완하기 위 하여, 유도결합 플라즈마소스 상부의 가스 주입부에 리모트 플라즈마 소스를 장착하여, 리모트 플라즈마 소스에서 발생한 다량의 라디칼을 공정챔버로 공급하는데 그 특징이 있다.
본 발명은 Cl2 가스를 라디칼로 생성하는 효율이 떨어지는 유도결합 플라즈마 소스의 단점을 보완하기 위하여 리모트 플라즈마 소스를 사용하여 식각 공정에 참여하는 Cl 라디칼을 많이 생성해주는데 그 특징이 있다.
이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내고 설명하는 것에 불과하며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 그리고, 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위 내에서 변경 또는 수정이 가능하다. 전술한 실시예들은 본 발명을 실시하는데 있어 최선의 상태를 설명하기 위한 것이며, 본 발명과 같은 다른 발명을 이용하는데 당업계에 알려진 다른 상태로의 실시, 그리고 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서, 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.
이상에서 상세히 설명한 바와 같이, 유도결합 플라즈마 소스의 단점인 라디칼 측면 집중 현상이 리모트 플라즈마 소스로부터 공급되는 라디칼에 의해 개선되고, 라디칼이 많이 생성되면 식각이 활발히 일어나서 식각율이 상승하게 된다. 결 과적으로, 식각 처리 성능 및 장치 가동률이 향상되는 효과가 있다.



Claims (13)

  1. 반도체 플라즈마 처리 장치에 있어서:
    공정가스를 공급받아 공정가스를 활성화하여 다량의 라디칼과 이온을 생성하는 리모트 플라즈마 소스;
    상기 활성화된 공정가스가 유입되는 유입포트를 갖는 공정챔버;
    상기 공정챔버내에 위치되는 웨이퍼가 안착되는 서셉터; 및
    상기 공정챔버에 설치되어 상기 활성화된 공정가스에 고주파 에너지를 제공하는 유도결합 플라즈마 소스를 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 유도결합 플라즈마 소스는
    상기 공정챔버의 상부 외벽을 둘러싸는 코일 안테나와;
    상기 코일안테나에 RF 전력을 인가하기 위한 RF 전원부를 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  3. 제1항에 있어서,
    상기 반도체 플라즈마 처리장치는
    상기 공정챔버의 최상부에 배치되는 그리고 이너트(inert) 가스가 공급되는 적어도 하나의 가스 유입포트를 갖으며, 상기 이너트 가스가 상기 공정챔버에 균일하게 분배되도록 하는 가스 분배 플레이트(Gas Distribution Plate, GDP)를 더 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  4. 제3항에 있어서,
    상기 가스 분배 플레이트는
    상기 리모트 플라즈마 소스로부터 제공되는 상기 활성화된 공정가스가 상기 공정챔버로 곧바로 공급되도록 하는 통로를 더 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  5. 반도체 플라즈마 처리 장치에 있어서:
    웨이퍼가 안착되는 서셉터가 내부에 설치되는 공정챔버;
    공정가스가 상기 공정챔버로 공급되기 전에 상기 공정가스에 플라즈마를 인가하는 1차 플라즈마 소스; 및
    상기 1차 플라즈마 소스를 거쳐 상기 공정챔버로 제공되는 상기 공정가스에 플라즈마를 인가하는 2차 플라즈마 소스를 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  6. 제5항에 있어서,
    상기 1차 플라즈마 소스는 상기 공정가스를 활성화하여 라디칼을 생성하는 리모트 플라즈마 소스인 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  7. 제6항에 있어서,
    상기 2차 플라즈마 소스는
    상기 공정챔버의 상부 외벽을 둘러싸는 코일 안테나와;
    상기 코일안테나에 RF 전력을 인가하기 위한 RF 전원부를 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  8. 제5항에 있어서,
    상기 반도체 플라즈마 처리장치는
    상기 공정챔버의 최상부에 위치하면서 상기 공정가스가 상기 공정챔버에 균일하게 분배되도록 하는 가스 분배 플레이트(Gas Distribution Plate, GDP)를 더 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  9. 제5항에 있어서,
    상기 반도체 플라즈마 처리장치는
    상기 공정챔버의 최상부에 배치되는 그리고 이너트(inert) 가스가 공급되는 적어도 하나의 가스 유입포트를 갖으며, 상기 이너트 가스가 상기 공정챔버에 균일하게 분배되도록 하는 가스 분배 플레이트(Gas Distribution Plate, GDP)를 더 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  10. 제9항에 있어서,
    상기 가스 분배 플레이트는
    상기 제1플라즈마 소스로부터 제공되는 상기 공정가스가 상기 공정챔버로 곧바로 공급되도록 하는 통로를 더 포함하는 것을 특징으로 하는 반도체 플라즈마 처리 장치.
  11. 반도체 플라즈마 처리 장치방법에 있어서:
    활성화 되지 않은 공정가스가 리모트 플라즈마 소스로 공급되는 단계;
    상기 리모트 플라즈마 소스내에서 여기되어 생성된 라디칼과 이온이 프로세스 챔버내로 공급되는 단계;
    활성되지 않은 이너트(Inert) 가스가 공정챔버내로 공급되는 단계; 및
    상기 공정챔버내로 공급되는 라디칼과 이온 그리고 상기 이너트 가스가 유도결합 플라즈마 소스에 의해 활성화되는 단계를 포함하는 반도체 플라즈마 처리 방법.
  12. 제11항에 있어서,
    상기 활성되지 않은 이너트 가스는 가스 분배 플레이트를 통해 상기 공정챔버에 균일하게 공급되는 것을 특징으로 하는 반도체 플라즈마 처리 방법.
  13. 제12항에 있어서,
    상기 리모트 플라즈마 소스로부터 공급되는 라디칼과 이온은 상기 이너트 가스와는 분리된 경로를 통해 상기 공정챔버내로 공급되는 것을 특징으로 하는 반도체 플라즈마 처리 방법.
KR1020050005790A 2005-01-21 2005-01-21 반도체 플라즈마 처리 장치 및 방법 KR100725037B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020050005790A KR100725037B1 (ko) 2005-01-21 2005-01-21 반도체 플라즈마 처리 장치 및 방법
US11/332,169 US20060162863A1 (en) 2005-01-21 2006-01-17 Semiconductor plasma-processing apparatus and method
TW095102024A TW200629336A (en) 2005-01-21 2006-01-19 Semiconductor plasma-processing apparatus and method
JP2006011279A JP4388020B2 (ja) 2005-01-21 2006-01-19 半導体プラズマ処理装置及び方法
CNB2006100016452A CN100566502C (zh) 2005-01-21 2006-01-20 半导体等离子处理设备及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050005790A KR100725037B1 (ko) 2005-01-21 2005-01-21 반도체 플라즈마 처리 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20060085281A true KR20060085281A (ko) 2006-07-26
KR100725037B1 KR100725037B1 (ko) 2007-06-07

Family

ID=36695463

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050005790A KR100725037B1 (ko) 2005-01-21 2005-01-21 반도체 플라즈마 처리 장치 및 방법

Country Status (5)

Country Link
US (1) US20060162863A1 (ko)
JP (1) JP4388020B2 (ko)
KR (1) KR100725037B1 (ko)
CN (1) CN100566502C (ko)
TW (1) TW200629336A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100800726B1 (ko) * 2006-08-24 2008-02-01 동부일렉트로닉스 주식회사 웨이퍼의 플라즈마 식각 챔버와 이를 이용한 식각 방법
KR100920773B1 (ko) * 2007-07-05 2009-10-08 세메스 주식회사 기판 제조 장치
WO2013062831A2 (en) * 2011-10-27 2013-05-02 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
KR20140009370A (ko) * 2011-02-15 2014-01-22 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
KR20150011317A (ko) * 2013-07-22 2015-01-30 에이에스엠 아이피 홀딩 비.브이. 플라즈마 능력을 지닌 반도체 반응 챔버

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101254574B1 (ko) * 2007-01-22 2013-04-15 주식회사 뉴파워 프라즈마 이중 가스 공급 채널을 갖는 플라즈마 처리 장치
KR101281191B1 (ko) * 2007-01-24 2013-07-02 최대규 유도 결합 플라즈마 반응기
KR100978131B1 (ko) 2007-12-27 2010-08-26 세메스 주식회사 기판 처리 장치
JP2010016139A (ja) * 2008-07-03 2010-01-21 Ulvac Japan Ltd エッチング装置
NL2003950C2 (nl) * 2009-12-11 2011-06-15 Panalytical Bv Werkwijze voor het vervaardigen van een meerlagenstructuur met een lateraal patroon voor toepassing in het xuv-golflengtegebied en volgens deze werkwijze vervaardigde bt- en lmag-structuren.
US20130012030A1 (en) * 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10032604B2 (en) * 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9653310B1 (en) * 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102307233B1 (ko) * 2017-08-01 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물 후처리를 위한 방법들
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
KR100231345B1 (ko) 1996-02-12 1999-11-15 장홍영 그리드형 가스 분사를 이용한 유도결합 플라즈마 발생장치
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
KR100433006B1 (ko) * 2001-10-08 2004-05-28 주식회사 플라즈마트 다기능 플라즈마 발생장치
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100800726B1 (ko) * 2006-08-24 2008-02-01 동부일렉트로닉스 주식회사 웨이퍼의 플라즈마 식각 챔버와 이를 이용한 식각 방법
KR100920773B1 (ko) * 2007-07-05 2009-10-08 세메스 주식회사 기판 제조 장치
KR20140009370A (ko) * 2011-02-15 2014-01-22 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
WO2013062831A2 (en) * 2011-10-27 2013-05-02 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013062831A3 (en) * 2011-10-27 2013-07-11 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US10096496B2 (en) 2011-10-27 2018-10-09 Applied Materials, Inc. Process chamber for etching low K and other dielectric films
US10923367B2 (en) 2011-10-27 2021-02-16 Applied Materials, Inc. Process chamber for etching low K and other dielectric films
US11410860B2 (en) 2011-10-27 2022-08-09 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
KR20150011317A (ko) * 2013-07-22 2015-01-30 에이에스엠 아이피 홀딩 비.브이. 플라즈마 능력을 지닌 반도체 반응 챔버

Also Published As

Publication number Publication date
JP4388020B2 (ja) 2009-12-24
US20060162863A1 (en) 2006-07-27
CN1842241A (zh) 2006-10-04
KR100725037B1 (ko) 2007-06-07
TW200629336A (en) 2006-08-16
JP2006203210A (ja) 2006-08-03
CN100566502C (zh) 2009-12-02

Similar Documents

Publication Publication Date Title
KR100725037B1 (ko) 반도체 플라즈마 처리 장치 및 방법
US5744049A (en) Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
KR101689916B1 (ko) 중력에 의한 가스 확산 분리(gigds) 기술에 의해 제어되는 플라즈마 발생 시스템
KR100774228B1 (ko) 동적 가스 분배 제어를 갖는 플라즈마 처리 시스템
KR20210042939A (ko) 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US10418224B2 (en) Plasma etching method
US20150053346A1 (en) Plasma processing apparatus and plasma processing method
US20100190350A1 (en) Plasma etching apparatus, plasma etching method and storage medium
US11075057B2 (en) Device for treating an object with plasma
US20060021704A1 (en) Method and apparatus for etching Si
KR20130129937A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20200161138A1 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
US10121674B2 (en) Method for etching silicon layer and plasma processing apparatus
KR20070041220A (ko) 플라즈마 처리 장치
JP2001181848A (ja) プラズマ処理装置
KR100625319B1 (ko) 유도 결합 플라즈마 처리 장치
US20240021412A1 (en) Substrate processing apparatus and substrate processing method
JP6871550B2 (ja) エッチング装置
US20060061287A1 (en) Plasma processing apparatus and control method thereof
JP4324541B2 (ja) プラズマ処理装置
KR20230100215A (ko) 기판 처리 장치 및 기판 처리 방법
KR20050008066A (ko) 반도체 소자 제조용 플라즈마 반응기
KR20030042529A (ko) 플라즈마 식각 장치
KR20140018059A (ko) 하이브리드 벨자형 전극 및 이를 이용한 플라즈마 발생장치
JP2003037102A (ja) プラズマエッチング装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100528

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee