KR20050094735A - Apparutus for manufacturing substrate - Google Patents

Apparutus for manufacturing substrate Download PDF

Info

Publication number
KR20050094735A
KR20050094735A KR1020040059042A KR20040059042A KR20050094735A KR 20050094735 A KR20050094735 A KR 20050094735A KR 1020040059042 A KR1020040059042 A KR 1020040059042A KR 20040059042 A KR20040059042 A KR 20040059042A KR 20050094735 A KR20050094735 A KR 20050094735A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
transfer chamber
load lock
transfer
Prior art date
Application number
KR1020040059042A
Other languages
Korean (ko)
Other versions
KR101039231B1 (en
Inventor
최재욱
김영록
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to US11/083,838 priority Critical patent/US7905960B2/en
Priority to CNB200510056909XA priority patent/CN100483614C/en
Priority to TW094108883A priority patent/TWI358078B/en
Publication of KR20050094735A publication Critical patent/KR20050094735A/en
Priority to US13/041,338 priority patent/US8328940B2/en
Application granted granted Critical
Publication of KR101039231B1 publication Critical patent/KR101039231B1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nonlinear Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 반도체, LCD 등에 사용되는 기판을 제조하기 위한 기판 제조 장치 에 관한 것이다. The present invention relates to a substrate manufacturing apparatus for manufacturing a substrate for use in semiconductors, LCDs and the like.

본 발명의 목적은, 다수의 공정챔버에 대한 배치 및 수에 대한 제한을 개선하고, 기판의 면적 증가에 따른 이송챔버 및 공정챔버의 증가 비율을 개선할 수 있는 기판 제조 장치 및 이를 이용한 기판 운송 방법을 제공함에 있다. SUMMARY OF THE INVENTION An object of the present invention is to improve a limitation on the number and arrangement of process chambers, and to improve the rate of increase of the transfer chamber and the process chamber according to the increase in the area of the substrate, and a substrate transport method using the same. In providing.

본 발명은, 일방향으로 연장되며, 내부가 진공상태로 유지되는 이송챔버와 상기 이송챔버의 장축방향을 따라 상기 이송챔버의 측 방향에 연결되는 하나 이상의 공정챔버와 상기 이송챔버의 측면에 연결되어 기판을 이송하는 하나 이상의 로드락챔버를 포함하는 기판 제조 장치를 제공한다.The present invention extends in one direction, the substrate is connected to the side of the transfer chamber and the transfer chamber and the one or more process chambers connected to the side of the transfer chamber along the long axis direction of the transfer chamber is maintained in a vacuum state inside the substrate; Provided is a substrate manufacturing apparatus including one or more load lock chambers for transporting the same.

본 발명은, 공정챔버와 로드락챔버를 필요에 따라 이송챔버에 자유롭게 연결할 수 있어 공정챔버에 대한 배치 및 수에 대한 제한을 개선할 수 있고, 기판을 클러스터 방식과 달리 인라인 방식으로 이송하게 되어 기판의 면적 증가에 따라 이송챔버 및 공정챔버의 증가 비율을 종래에 비해 상당히 개선할 수 있는 효과가 있다. According to the present invention, the process chamber and the load lock chamber can be freely connected to the transfer chamber as needed, thereby improving the restriction on the arrangement and the number of process chambers, and transferring the substrate in an in-line manner unlike the cluster method. In accordance with the increase in the area of the transfer chamber and the process chamber has an effect of significantly improving the ratio compared to the conventional.

Description

기판 제조 장치{Apparutus for manufacturing substrate} Substrate manufacturing apparatus {Apparutus for manufacturing substrate}

본 발명은 반도체, LCD(liquid crystal display device) 등에 사용되는 기판을 제조하기 위한 기판 제조 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate manufacturing apparatus for manufacturing a substrate for use in semiconductors, liquid crystal display devices (LCDs), and the like.

일반적으로 기판을 제조하기 위해서는, 기판 상에 유전체 물질 등을 박막으로 증착하는 박막증착공정, 감광성 물질을 사용하여 이들 박막 중 선택된 영역을 노출 또는 은폐시키는 포토리소그라피(photolithography) 공정, 선택된 영역의 박막을 제거하여 목적하는 대로 패터닝(patterning)하는 식각공정, 잔류물을 제거하기 위한 세정공정 등을 수 차례 반복하여야 한다.In general, to manufacture a substrate, a thin film deposition process for depositing a dielectric material or the like on a substrate as a thin film, a photolithography process for exposing or concealing a selected region of the thin films using a photosensitive material, and a thin film of the selected region The etching process of removing and patterning as desired and the cleaning process to remove residues should be repeated several times.

또한 이들 각각의 공정은 해당공정의 진행을 위한 최적의 환경이 조성된 공정 챔버에서 진행되어야 하는데, 특히 근래에는 단시간에 다량의 기판을 처리하기 위한 다수의 공정 챔버와, 기판을 공정 챔버로 이송 또는 회송하는 이송 챔버와, 기판을 일시 저장하며 이송챔버와 연결되는 로드락챔버를 포함하는 복합형 기판 제조 장치로서 클러스터(cluster)가 많이 사용된다. 클러스터를 구성하는 공정챔버에는 플라즈마 화학기상증착장치(PECVD)나 건식 식각장치(Dry Etcher) 등의 공정챔버가 포함된다. In addition, each of these processes must be carried out in a process chamber in which an optimal environment for the process is established. In particular, in recent years, a plurality of process chambers for processing a large amount of substrates in a short time, and transfer the substrates to the process chamber or Clusters are often used as a hybrid substrate manufacturing apparatus including a transfer chamber for returning and a load lock chamber for temporarily storing a substrate and connected to the transfer chamber. The process chamber constituting the cluster includes a process chamber such as a plasma chemical vapor deposition apparatus (PECVD) or a dry etching apparatus (Dry Etcher).

도 1은 일반적인 클러스터의 개략적인 구성을 도시한 것으로서, 클러스터는 로드락챔버(20), 이송챔버(40) 및 복수 개의 공정챔버(30)로 구성되며, 로드락챔버(20)는 다수의 기판(50)을 적재할 수 있는 기판 저장부(10)에 연결된다.1 illustrates a schematic configuration of a general cluster, in which a cluster includes a load lock chamber 20, a transfer chamber 40, and a plurality of process chambers 30, and the load lock chamber 20 includes a plurality of substrates. It is connected to the substrate storage 10 capable of loading 50.

이송챔버(40)의 내부에는 로드락챔버(20)와 공정챔버(30) 사이에 기판(50)을 이송하기 위해 이송챔버 로봇(42)이 설치되며, 기판저장부(10)에는 기판(50)을 로드락챔버(20)로 반입하거나, 로드락 챔버(20)로부터 기판(50)을 반출하기 위해 저장부 로봇(12)이 설치된다.A transfer chamber robot 42 is installed in the transfer chamber 40 to transfer the substrate 50 between the load lock chamber 20 and the process chamber 30, and the substrate storage unit 10 has a substrate 50. ) Is loaded into the load lock chamber 20, or the storage robot 12 is installed to carry the substrate 50 from the load lock chamber 20.

한편 공정상 필요에 따라, 상기 공정챔버(30) 중 일부는 공정챔버에 기판을 반입하기 전에 기판을 예열/냉각하는 예열/냉각챔버로 사용될 수 있으며, 로드락챔버(20)나 공정챔버(30)의 수는 달라질 수 있다. On the other hand, if necessary in the process, some of the process chamber 30 may be used as a preheating / cooling chamber for preheating / cooling the substrate before bringing the substrate into the process chamber, the load lock chamber 20 or the process chamber 30 The number of) may vary.

이하, 클러스터를 사용하여 기판을 제조하는 공정에서 기판이 이동하는 과정을 설명한다.Hereinafter, a process of moving the substrate in the process of manufacturing the substrate using the cluster will be described.

먼저 저장부 로봇(12)이 기판 저장부(10)로부터 로드락챔버(20) 내부로 기판(50)을 반입하며, 이때 로드락챔버(20)는 대기압상태이며, 이송챔버측 도어(24)는 닫힌 상태에 있다.First, the storage robot 12 loads the substrate 50 into the load lock chamber 20 from the substrate storage unit 10. At this time, the load lock chamber 20 is at atmospheric pressure, and the transfer chamber side door 24 is moved. Is in the closed state.

기판(50)이 로드락챔버(20)에 안착되고, 저장부 로봇(12)이 로드락챔버(20)로부터 빠져나가면, 저장부측 도어(22)가 닫히고, 로드락 챔버(20) 내부를 진공상태로 만들기 위한 펌핑(pumping)이 실시된다. When the substrate 50 is seated in the load lock chamber 20 and the storage robot 12 exits from the load lock chamber 20, the storage side door 22 is closed and the inside of the load lock chamber 20 is vacuumed. Pumping is performed to bring the state.

로드락챔버(20) 내부가 공정챔버(30) 또는 이송챔버(40)와 같은 정도의 진공상태가 되면, 이송챔버측 도어(24)가 열리고, 이송챔버 로봇(42)이 로드락챔버(20)에 있는 미처리 기판(50)을 공정챔버(30)로 이송한다. When the inside of the load lock chamber 20 is in the same vacuum as the process chamber 30 or the transfer chamber 40, the transfer chamber side door 24 is opened, and the transfer chamber robot 42 loads the load lock chamber 20. The unprocessed substrate 50 in) is transferred to the process chamber 30.

공정챔버(30) 내부로 기판(50)이 반입되면, 공정챔버(30)에 따른 공정이 진행되고, 공정챔버에서 공정이 완료되면, 이송챔버 로봇(42)이 공정챔버(30) 내부로 진입하여 기판(50)을 반출한 후, 로드락챔버(20)의 플레이트에 안치하면, 저장부 로봇(12)이 이를 기판저장부(10)로 반출하게 된다. When the substrate 50 is loaded into the process chamber 30, the process according to the process chamber 30 is performed, and when the process is completed in the process chamber, the transfer chamber robot 42 enters the process chamber 30. After the substrate 50 is taken out and placed in the plate of the load lock chamber 20, the storage robot 12 is carried out to the substrate storage 10.

이와 같은 클러스터로 구성되는 종래의 기판 제조 장치는 이송챔버가 다각형의 형태를 가지며 다수의 공정 챔버가 이송챔버 모서리 면을 따라 배치되는데, 이송챔버가 다각형의 형태를 갖게 됨으로써, 이송챔버에 연결되는 다수의 공정챔버의 배치 형태 및 수가 제한을 받을 수 밖에 없다. In the conventional substrate manufacturing apparatus composed of such a cluster, the transfer chamber has a polygonal shape and a plurality of process chambers are arranged along the edge of the transfer chamber, and the transfer chamber has a polygonal shape, and thus the multiple transfer chambers are connected to the transfer chamber. The arrangement and number of process chambers in the process can only be limited.

한편, 최근에 기판의 대면적화 추세에 따라 공정챔버와 이송챔버의 크기도 함께 증가하여야 하는데, 기판의 면적 증가 비율에 비해 다각형의 형태를 갖는 이송챔버와 공정챔버의 크기 증가 비율이 더욱 크게 되며, 특히 이송챔버는 공정챔버에 비해 크기 증가 비율이 더욱 크도록 제조되어야 하므로, 기판 제조 장치의 전체 설치 면적이 상당히 증가하게 된다. On the other hand, the size of the process chamber and the transfer chamber should also increase in accordance with the recent trend of the larger area of the substrate, the size increase rate of the transfer chamber and the process chamber having a polygonal shape is larger than the area increase ratio of the substrate, In particular, since the transfer chamber must be manufactured to have a larger size increase rate than the process chamber, the total installation area of the substrate manufacturing apparatus is significantly increased.

전술한 바와 같은 문제를 해결하기 위한 본 발명의 목적은, 다수의 공정챔버에 대한 배치 및 수에 대한 제한을 개선하고, 기판의 면적 증가에 따른 이송챔버 및 공정챔버의 증가 비율을 개선할 수 있는 기판 제조 장치를 제공함에 있다. SUMMARY OF THE INVENTION An object of the present invention for solving the above problems is to improve the limitations on the arrangement and number of a plurality of process chambers and to improve the rate of increase of the transfer chamber and the process chamber as the area of the substrate increases. In providing a substrate manufacturing apparatus.

전술한 바와 같은 목적을 달성하기 위해, 본 발명은, 일방향으로 연장되며, 내부가 진공상태로 유지되는 이송챔버와 상기 이송챔버의 장축방향을 따라 상기 이송챔버의 측 방향에 연결되는 하나 이상의 공정챔버와 상기 이송챔버의 측면에 연결되어 기판을 이송하는 하나 이상의 로드락챔버를 포함하는 기판 제조 장치를 제공한다.In order to achieve the object as described above, the present invention, one or more process chambers extending in one direction, the interior of the transfer chamber is maintained in a vacuum state and connected to the side of the transfer chamber along the long axis direction of the transfer chamber And at least one load lock chamber connected to the side of the transfer chamber to transfer the substrate.

상기 이송챔버의 내부에는 상기 이송챔버의 장축 방향을 따라 이동하여 기판을 운송하는 이송챔버 로봇이 설치되는 것이 바람직하며, 상기 이송챔버 로봇은 서로 다른 높이를 갖는 두 개의 로봇암을 가질 수 있다.The transfer chamber robot may be installed inside the transfer chamber to move the substrate by moving along the long axis of the transfer chamber. The transfer chamber robot may have two robot arms having different heights.

상기 이송챔버 내부에서 상기 이송챔버의 장축방향을 따라 연장되고, 상기 이송챔버 로봇과 연결되는 수평 이동축을 더 포함할 수 있고, 상기 이송챔버 로봇은 상하로 이동하기 위하여 상기 수평 이동축과 연결되는 수직 이동축에 결합될 수 있다.The transfer chamber may further include a horizontal movement axis extending along the long axis direction of the transfer chamber and connected to the transfer chamber robot, wherein the transfer chamber robot is vertically connected to the horizontal movement axis to move up and down. It can be coupled to the moving shaft.

상기 하나 이상의 공정챔버는 상기 이송챔버의 양 측 방향에 연결될 수 있으며, 상기 이송챔버의 양 측 방향에 연결되어 서로 마주하는 상기 공정챔버는 서로 다른 높이를 가질 수 있다.The one or more process chambers may be connected to both side directions of the transfer chamber, and the process chambers connected to both side directions of the transfer chamber and facing each other may have different heights.

상기 하나 이상의 로드락챔버는 상기 이송챔버의 양 측 방향에 연결될수 있으며, 상기 이송챔버의 양 측 방향에 연결되어 서로 마주하는 상기 로드락챔버는 서로 다른 높이를 가질 수 있다.The one or more load lock chambers may be connected to both side directions of the transfer chamber, and the load lock chambers connected to both side directions of the transfer chamber and facing each other may have different heights.

상기 로드락챔버는 상기 이송챔버의 장축방향의 양 끝단에 각 하나씩 연결될 수 있고, 상기 로드락챔버 중 하나는 이송챔버로 기판을 반입하는 반입 로드락챔버이며, 다른 하나는 이송챔버로부터 기판을 반출하는 반출 로드락챔버일 수 있다.Each of the load lock chambers may be connected to each of both ends in the long axis direction of the transfer chamber, one of the load lock chambers may be an incoming load lock chamber for carrying the substrate into the transfer chamber, and the other may take out the substrate from the transfer chamber. It may be an export load lock chamber.

상기 이송챔버는 2층 이상의 적층 구조를 가지며, 각 층마다 기판운송을 위한 이송챔버 로봇을 포함할 수 있고, 상기 공정챔버 또는 로드락챔버는 일층 이상으로 적층될 수 있다.The transfer chamber has a laminated structure of two or more layers, each layer may include a transfer chamber robot for substrate transport, and the process chamber or load lock chamber may be stacked in one or more layers.

또한 상기 기판제조장치는 기판을 적재하는 기판 저장부와 상기 로드락챔버와 상기 기판저장부 사이를 연결하는 기판수용부를 더 포함할 수 있으며, 이때 기판수용부는 상기 로드락챔버에 상기 기판을 전달하는 기판전달수단을 포함할 수 있고, 상기 기판전달수단은 콘베이어 방식, 롤러구동 방식 중 선택된 하나로 기판을 전달할 수 있다.The substrate manufacturing apparatus may further include a substrate storage unit for loading a substrate and a substrate accommodation unit connecting the load lock chamber and the substrate storage unit, wherein the substrate accommodation unit transfers the substrate to the load lock chamber. It may include a substrate transfer means, the substrate transfer means may transfer the substrate to one selected from the conveyor method, the roller drive method.

또한 상기 기판수용부는 상기 기판의 방향전환수단을 포함할 수 있다. In addition, the substrate receiving portion may include a direction changing means of the substrate.

이하에서는 도면을 참조하여 본 발명의 바람직한 실시예를 설명한다. Hereinafter, with reference to the drawings will be described a preferred embodiment of the present invention.

<제 1 실시예><First Embodiment>

도 2는 본 발명의 제 1 실시예에 따른 기판 제조 장치를 도시한 구성도이다. 2 is a block diagram showing a substrate manufacturing apparatus according to a first embodiment of the present invention.

본 발명의 제 1 실시예에 따른 기판 제조 장치는 인라인 방식으로 기판을 운송하게 된다. 이를 위해 이송챔버 내부에서 기판이 일직선 상에서 이동하도록 이송챔버 및 공정챔버를 구성하게 된다. The substrate manufacturing apparatus according to the first embodiment of the present invention carries the substrate in an inline manner. To this end, the transfer chamber and the process chamber are configured to move the substrate in a straight line in the transfer chamber.

도 2에 도시한 바와 같이, 본 발명의 제 1 실시예에 따른 기판 제조 장치는, 일방향으로 연장된 이송챔버(240)와, 이송챔버(240)의 장축방향을 따라 이송챔버(240)의 측 방향에 연결된 다수의 공정챔버(230) 및 로드락 챔버(220)와, 기판(100)을 적재하는 기판저장부(210)를 구비한다. 그리고, 기판저장부(210)와 로드락챔버(220) 사이에 연결되어 기판(100)을 회전시켜 전달하는 기판수용부(260)를 더욱 구비한다. As shown in FIG. 2, the substrate manufacturing apparatus according to the first embodiment of the present invention includes a transfer chamber 240 extending in one direction and a side of the transfer chamber 240 along a long axis direction of the transfer chamber 240. A plurality of process chambers 230 and a load lock chamber 220 connected to the direction, and a substrate storage unit 210 for loading the substrate 100 is provided. In addition, the substrate storage part 210 and the load lock chamber 220 is further provided with a substrate receiving portion 260 for rotating and transferring the substrate 100.

도면에는 공정챔버(230) 및 로드락 챔버(220)가 이송챔버(240)의 양측에 동수로 배치되는 것으로 도시되어 있으나, 이는 공간을 효율적으로 활용하기 위한 것이므로 이송챔버(240)의 일 측에만 배치되는 것을 배제하는 것은 아니다. Although the drawing shows that the process chamber 230 and the load lock chamber 220 are equally arranged on both sides of the transfer chamber 240, this is to effectively utilize the space so that only one side of the transfer chamber 240 is provided. It does not exclude the deployment.

한편, 이송챔버(240) 내부에는 이송챔버의 장축을 따라 수평이동축(251)과, 상기 수평이동축(251)을 따라 이동하면서 기판을 이송하는 이송챔버 로봇(250)이 설치되어 있고, 기판저장부(210)에는 기판(100)을 기판수용부(260)로 반입하거나, 기판수용부(260)로부터 기판(100)을 반출하기 위해 저장부 로봇(212)이 설치된다. On the other hand, the transfer chamber 240 is provided with a horizontal movement axis 251 along the long axis of the transfer chamber, and a transfer chamber robot 250 for transferring the substrate while moving along the horizontal movement axis 251, the substrate The storage unit 210 is provided with a storage robot 212 to carry the substrate 100 into the substrate receiving unit 260 or to carry the substrate 100 out of the substrate receiving unit 260.

이하, 도면을 참조하여 본 발명의 제 1 실시예에 따른 기판 제조 장치의 연결 관계를 보다 상세히 설명한다.Hereinafter, the connection relation of the substrate manufacturing apparatus according to the first embodiment of the present invention will be described in detail with reference to the drawings.

도 3a는 도 2의 Ⅲ-Ⅲ을 따라 도시한 단면도로서, 이송챔버(240)와 로드락챔버(220)의 연결 구조를 도시하고 있는데, 여기서 이송챔버(240)는 항상 진공상태를 유지하여야 하는데 반하여, 이와 연결되는 로드락챔버(220)는 대기압상태와 진공상태가 교차되는 점에서 차이가 있다. 3A is a cross-sectional view taken along line III-III of FIG. 2, which illustrates a connection structure between the transfer chamber 240 and the load lock chamber 220, wherein the transfer chamber 240 should always maintain a vacuum state. On the other hand, the load lock chamber 220 connected thereto has a difference in that the atmospheric pressure and the vacuum state intersect.

도 3a에 도시한 바와 같이, 로드락챔버(220)는 이송챔버(240)를 사이에 두고 양 측에 위치하게 되는데, 양 측에 위치하는 로드락챔버(220)는 서로 다른 높이로 설치된다. 로드락챔버(220)와 이송챔버(240) 사이에 기판(100)을 효과적으로 전달하기 위해 이송챔버 로봇(250)은 서로 다른 높이를 갖는 상층 로봇암(250-1)과 하층 로봇암(250-2)을 구비하게 된다. As shown in FIG. 3A, the load lock chamber 220 is positioned at both sides with the transfer chamber 240 interposed therebetween, and the load lock chamber 220 positioned at both sides is installed at different heights. In order to effectively transfer the substrate 100 between the load lock chamber 220 and the transfer chamber 240, the transfer chamber robot 250 has an upper robot arm 250-1 and a lower robot arm 250- having different heights. 2) will be provided.

상층 로봇암(250-1)은 도면 상에서 높은 위치의 우측 로드락챔버(220)와 이송챔버(240) 사이에 기판(100)을 전달하게 되며, 하층 로봇암(250-2)은 도면 상에서 낮은 위치의 좌측 로드락챔버(220)와 이송챔버(240) 사이에 기판을 전달하게 된다.The upper robot arm 250-1 transfers the substrate 100 between the right load lock chamber 220 and the transfer chamber 240 at a high position on the drawing, and the lower robot arm 250-2 is lower on the drawing. The substrate is transferred between the left load lock chamber 220 and the transfer chamber 240 at the position.

여기서, 이송챔버 로봇(250)은 이송챔버(240)와 공정챔버(230)의 사이에서 기판(100)을 전달하기 위해 수평이동축(251)의 방향과 수직하게 이동하게 되는데, 도면 상에서 상하 및 좌우 방향으로 이동하여 공정챔버(230) 또는 로드락챔버(220)와의 사이에서 기판(100)을 전달하게 된다.Here, the transfer chamber robot 250 is moved perpendicularly to the direction of the horizontal movement axis 251 to transfer the substrate 100 between the transfer chamber 240 and the process chamber 230, the top and bottom and The substrate 100 is transferred between the process chamber 230 or the load lock chamber 220 by moving in the horizontal direction.

특히 본 발명의 실시예에 적용되는 이송챔버 로봇(250)은 기판을 안치한 채 회전운동을 하는 도 1의 이송챔버 로봇(42)과는 달리 기판을 안치한 채 상하 또는 좌우로 직선운동을 하여 기판을 이송한다는 점에서 특징이 있다.In particular, the transfer chamber robot 250 is applied to the embodiment of the present invention, unlike the transfer chamber robot 42 of FIG. It is characteristic in that it transfers.

그리고, 이송챔버(240)와 로드락챔버(220) 사이에는 도어(245)가 설치되어, 도어(245)의 개폐에 따라 이송챔버(240)와 로드락챔버(220) 사이에 기판(100)의 전달이 이루어진다. Then, the door 245 is installed between the transfer chamber 240 and the load lock chamber 220, the substrate 100 between the transfer chamber 240 and the load lock chamber 220 in accordance with the opening and closing of the door 245. Is delivered.

한편, 로드락챔버(220)는 기판의 교환을 위하여 두 매 이상의 기판(100)을 동시에 수용할 수 있도록 기판(100)이 놓여지는 기판 슬롯(224)을 두 개 이상 구비하게 된다. Meanwhile, the load lock chamber 220 includes two or more substrate slots 224 on which the substrate 100 is placed so as to accommodate two or more substrates 100 simultaneously.

도 3a 및 이송챔버 로봇(250)의 측면을 도시한 도 3b를 참고로, 이송챔버 로봇(250)을 자세히 설명하면 다음과 같다.Referring to Figure 3a and Figure 3b showing the side of the transfer chamber robot 250, the transfer chamber robot 250 will be described in detail as follows.

이송챔버 로봇(250)은 상층 로봇암(250-1)과 하층 로봇암(250-2)이 상하로 위치하는 이층 구조를 가지며, 상, 하층 로봇암(250-1, 250-2)은 양자를 연결하는 결합부재(254)에 의해 결합되어 있어 있다.The transfer chamber robot 250 has a two-layer structure in which the upper robot arm 250-1 and the lower robot arm 250-2 are positioned up and down, and the upper and lower robot arms 250-1 and 250-2 are both. It is coupled by a coupling member 254 for connecting the.

또한 상기 결합부재(254)는 수직이동축(252)의 수직이동가이드(259)에 결합되고, 상기 수직이동축(252)은 일단이 수평이동축(251)에 결합되므로, 상, 하층 로봇암(250-1, 250-2)은 이송챔버(240) 내에서 일체로 이동하게 된다. In addition, the coupling member 254 is coupled to the vertical movement guide 259 of the vertical movement axis 252, the vertical movement axis 252 is one end is coupled to the horizontal movement axis 251, the upper, lower robot arm 250-1 and 250-2 are integrally moved within the transfer chamber 240.

상, 하층 로봇암(250-1, 250-2)은 각각 상기 결합부재(254)에 결합되는 상, 하층 로봇암 지지부재(253a, 253b)를 구비하며, 각 지지부재(253a, 253b) 위에는 제1,2 로봇암(255, 256)이 위치한다. The upper and lower robot arms 250-1 and 250-2 have upper and lower robot arm support members 253a and 253b coupled to the coupling member 254, respectively, and are disposed on the support members 253a and 253b. First and second robot arms 255 and 256 are positioned.

제1,2 로봇암(255, 256)은 각 지지부재(253a, 253b)의 상면에 고정되는 제1,2 이동레일(257,258)을 따라 각각 수평이동하게 되며, 제1,2 로봇암(255, 256)은 각각 상기 이동레일에 각 결합하는 로봇암 몸체(255a, 256a)와 기판(100)이 직접 안치되는 안치부(255b, 256b)로 구성된다.The first and second robot arms 255 and 256 are horizontally moved along the first and second moving rails 257 and 258 fixed to the upper surfaces of the respective supporting members 253a and 253b, respectively. And 256 are respectively composed of robot arm bodies 255a and 256a respectively coupled to the moving rails and settling portions 255b and 256b on which the substrate 100 is directly placed.

각 이동레일(257,258)이 동일평면 상에 위치하기 때문에 제1,2 로봇암(255,256)이 이동시에 상호 방해되지 않도록 하기 위하여, 도 3b에 도시된 바와 같이, 제1 로봇암(255)이 이동하는 제1 이동레일(257)은 각 지지부재(253a, 253b)의 바깥쪽에 위치시키고, 제2 로봇암(256)이 이동하는 제1 이동레일(258)은 각 지지부재(253a, 253b)의 안쪽에 위치시킨다. Since the moving rails 257 and 258 are located on the same plane, the first robot arm 255 is moved as shown in FIG. 3B so that the first and second robot arms 255 and 256 do not interfere with each other during the movement. The first moving rail 257 is positioned on the outside of each of the supporting members 253a and 253b, and the first moving rail 258 to which the second robot arm 256 moves moves to each of the supporting members 253a and 253b. Place it inside.

또한 제1 안치부(255b)를 제2 안치부(256b)보다 높게 위치시키고, 제1 로봇암 몸체(255a)의 내측으로 제2 로봇암 몸체(256a)가 삽입될 수 있도록 하였다.In addition, the first settlement portion 255b is positioned higher than the second settlement portion 256b, and the second robot arm body 256a can be inserted into the first robot arm body 255a.

이와 같이 상, 하층 로봇암(250-1, 250-2)이 각각 2개씩의 로봇암(255, 256)을 구비하는 것은 기판의 반입과 반출이 각각 다른 로봇암에 의해 수행되도록 함으로써 기판의 운송효율을 보다 높일 수 있도록 하기 위한 것이다.As described above, the upper and lower robot arms 250-1 and 250-2 each have two robot arms 255 and 256, respectively, so that the loading and unloading of the substrate is performed by different robot arms. The purpose is to increase the efficiency.

그런데 제1,2 로봇암(255, 256)이 서로 상하로 배치됨으로 인하여, 기판(100)의 이송 중에 인접한 기판으로 파티클 등의 오염물질이 확산될 수 있으므로 이를 방지하기 위하여, 도 4에 도시된 바와 같이 제1,2 로봇암(255, 256) 사이에 차단부재(290)를 설치할 수도 있다. However, since the first and second robot arms 255 and 256 are disposed up and down with each other, contaminants such as particles may be diffused to the adjacent substrates during the transfer of the substrate 100, so as to prevent this, as illustrated in FIG. 4. As described above, the blocking member 290 may be installed between the first and second robot arms 255 and 256.

도 5는 도 2의 Ⅳ-Ⅳ를 따라 도시한 단면도로서, 이송챔버(240)와 공정챔버(230)의 연결 구조를 도시하고 있다. FIG. 5 is a cross-sectional view taken along line IV-IV of FIG. 2 and illustrates a connection structure between the transfer chamber 240 and the process chamber 230.

도 5에 도시한 바와 같이, 이송챔버(240)와 공정챔버(230)는 로드락챔버(220)와 이송챔버(240)의 연결 구조와 유사한 구조로 연결되는데, 공정챔버(230)는 이송챔버(240)를 사이에 두고 양 측에 위치하며, 양 측에 위치하는 공정챔버(230)는 서로 다른 높이로 설치된다. As shown in FIG. 5, the transfer chamber 240 and the process chamber 230 are connected in a structure similar to the connection structure of the load lock chamber 220 and the transfer chamber 240, and the process chamber 230 is a transfer chamber. Located on both sides with the 240 interposed therebetween, the process chamber 230 located on both sides is installed at different heights.

특히, 서로 마주하는 공정챔버(230)는 동일한 공정이 진행되는 공정챔버(230)를 배치하는 것이 바람직하다. 공정챔버(230)와 이송챔버(240) 사이에 기판(100)을 효과적으로 전달하기 위해 이송챔버 로봇(250)은 서로 다른 높이를 갖는 상층 로봇암(250-1) 및 하층 로봇암(250-2)을 구비하게 된다.In particular, it is preferable that the process chambers 230 facing each other have a process chamber 230 in which the same process is performed. In order to effectively transfer the substrate 100 between the process chamber 230 and the transfer chamber 240, the transfer chamber robot 250 has an upper robot arm 250-1 and a lower robot arm 250-2 having different heights. ) Will be provided.

상층 로봇암(250-1)은 도면 상에서 높은 위치의 우측 공정챔버(230)와 이송챔버(240) 사이에 기판(100)을 전달하게 되며, 하층 로봇암(250-2)은 도면 상에서 낮은 위치의 좌측 공정챔버(230)와 이송챔버(240) 사이에 기판(100)을 전달하게 된다.The upper robot arm 250-1 transfers the substrate 100 between the right process chamber 230 and the transfer chamber 240 in a high position on the drawing, and the lower robot arm 250-2 is lower in the drawing. The substrate 100 is transferred between the left process chamber 230 and the transfer chamber 240.

그리고 이송챔버(240)와 공정챔버(230) 사이에는 도어(246)가 설치되어, 도어(246)의 개폐에 따라 이송챔버(240)와 공정챔버(230) 사이에서 기판(100)의 전달이 이루어진다. 한편, 공정챔버(230) 내부에는 공정 진행을 위해 기판(100)이 놓여지는 서셉터(235)가 위치한다. And the door 246 is installed between the transfer chamber 240 and the process chamber 230, the transfer of the substrate 100 between the transfer chamber 240 and the process chamber 230 in accordance with the opening and closing of the door 246 Is done. Meanwhile, the susceptor 235 on which the substrate 100 is placed is disposed in the process chamber 230.

전술한 바와 같이 도 3a, 도 3b 및 도 5의 모든 이송챔버 로봇(250)은 상층 로봇암(250-1)과 하층 로봇암(250-2)을 구비하며, 각 층 로봇암(250-1, 250-2)은 다시 제1,2 로봇암(255,256)을 포함하고 있으나, 이와 같은 구성의 이송챔버 로봇(250)은 예시에 불과한 것이므로, 이와 다르게 구성할 수도 있다.As described above, all the transfer chamber robots 250 of FIGS. 3A, 3B, and 5 have an upper robot arm 250-1 and a lower robot arm 250-2, and each floor robot arm 250-1. , 250-2 again includes the first and second robot arms 255 and 256, but the transfer chamber robot 250 having such a configuration is merely an example, and thus may be configured differently.

예를 들어, 제1,2 이동레일(257, 258)을 전술한 바와 같이 동일한 로봇암 지지부재(253a, 253b) 위에 위치시키지 않고, 도 6에 도시된 바와 같이, 상층 로봇암(250-1)이 서로 높이를 달리하는 제1, 2 로봇암 지지부재(253a-1, 253a-2)를 포함하고, 하층 로봇암(250-2)도 서로 높이를 달리하는 제3, 4 로봇암 지지부재(253b-1, 253b-2)를 포함하도록 구성한다.For example, as shown in FIG. 6, the upper and lower robot arms 250-1 are not positioned on the same robot arm support members 253a and 253b as described above. ) Includes first and second robot arm support members 253a-1 and 253a-2 which differ in height from each other, and the lower robot arm 250-2 also includes third and fourth robot arm support members that vary in height from each other. It is configured to include (253b-1, 253b-2).

그리고 각 지지부재(253a-1, 253a-2, 253b-1, 253b-2)마다 이동레일(257, 258)을 하나씩만 설치하여 제1,2 로봇암(255, 256)이 각각 이동할 수 있도록 한다.In addition, only one movable rail 257, 258 is installed in each of the supporting members 253a-1, 253a-2, 253b-1, and 253b-2 so that the first and second robot arms 255 and 256 can move. do.

이와 같이 하나의 로봇암 지지부재에 하나의 이동 레일을 설치하게 되면, 각 지지부재가 기판간의 오염물 확산을 방지하는 차단부재의 역할도 함께 수행할 수 있다는 장점이 있다. As such, when one moving rail is installed on one robot arm support member, each support member may also serve as a blocking member that prevents contaminant diffusion between substrates.

또한 도 7에 도시된 바와 같이, 상, 하층 로봇암(250-1, 250-2)에 각각 하나의 로봇암만을 포함시킬수도 있다. 즉 상층의 제1 로봇암 지지부재(253a)에는 제1 이동레일(257)만이 설치되어 상기 이동레일을 따라 제1 로봇암(255)이 이동하게 되며, 하층의 제2 로봇암 지지부재(253b)에도 제1 이동레일(257)만이 설치되어 상기 이동레일을 따라 제1 로봇암(255)이 이동하게 된다.In addition, as shown in FIG. 7, only one robot arm may be included in the upper and lower robot arms 250-1 and 250-2, respectively. That is, only the first moving rail 257 is installed on the first robot arm supporting member 253a of the upper layer to move the first robot arm 255 along the moving rail, and the second robot arm supporting member 253b of the lower layer. ) Only the first moving rail 257 is installed so that the first robot arm 255 moves along the moving rail.

이 경우에는 하나의 로봇암(255)을 통해 기판의 반입과 반출이 이루어지게 되므로, 기판 운송의 효율이 낮아지는 단점이 있다. In this case, since the loading and unloading of the substrate is made through one robot arm 255, there is a disadvantage in that the efficiency of substrate transportation is lowered.

도 8과 같이, 상층의 제1 로봇암 지지부재(253a)는 이송챔버의 일측으로 치우쳐서 위치시키고, 하층의 제2 로봇암 지지부재(253b)는 이송챔버의 타측으로 치우쳐서 위치시켜 기판 상호간의 불순물 확산을 방지할 수 있게 구성할 수도 있다.As shown in FIG. 8, the first robot arm support member 253a in the upper layer is positioned to one side of the transfer chamber, and the second robot arm support member 253b in the lower layer is positioned to the other side of the transfer chamber to impart impurities between the substrates. It can also be configured to prevent diffusion.

그런데 이 경우는 이송챔버의 폭이 넓어져서 공간활용의 효율성이 떨어진다는 단점이 있으므로, 도 9와 같이 하나의 지지부재(253)에 2개의 이송레일을 설치하여, 각 이송레일을 따라 제1 로봇암(255)과 제2 로봇암(256)이 서로 반대 방향으로 이동할 수 있도록 하고, 각 로봇암(255,256)의 로봇암 안치부(255b, 256b)사이에 차단부재(290)를 설치하면, 공간활용도를 높이면서도 기판(100)간의 오염물질 확산을 방지할 수 있다. However, in this case, since the width of the transfer chamber is widened, the efficiency of space utilization decreases. Thus, two transfer rails are installed on one support member 253 as shown in FIG. By allowing the arm 255 and the second robot arm 256 to move in opposite directions, and installing the blocking member 290 between the robot arm set-up portions 255b and 256b of each robot arm 255 and 256, While increasing the utilization, it is possible to prevent the spread of contaminants between the substrate 100.

도 9에는 제1 이송레일(257)만이 도시되어 있으나, 이는 측단면도이기 때문이며 제1 이송레일(257)의 내측에 제2 로봇암(256)이 이동할 수 있는 제2 이송레일이 위치함은 물론이다. 9, only the first transfer rail 257 is shown, but this is because it is a side cross-sectional view and a second transfer rail to which the second robot arm 256 can move is located inside the first transfer rail 257. to be.

한편 이상에서는 이송챔버(240)의 양 측방에 로드락챔버(220) 또는공정챔버(230)가 위치하는 것을 가정하여, 이송챔버 로봇(250)의 로봇암이 이송챔버(240)의 양 측방으로 이동하는 경우를 설명하였다.Meanwhile, in the above description, it is assumed that the load lock chamber 220 or the process chamber 230 is positioned at both sides of the transfer chamber 240, so that the robot arms of the transfer chamber robot 250 move to both sides of the transfer chamber 240. The case of moving was described.

그러나 로드락챔버(220) 및 공정챔버(230)는 공정상 필요에 의하여 이송챔버(240)의 일 측방에만 위치할 수도 있으므로, 이러한 경우에는 이송챔버(240)의 일 측방으로만 이송챔버 로봇(250)의 로봇암이 이동하도록 구성하면 된다.However, since the load lock chamber 220 and the process chamber 230 may be located only on one side of the transfer chamber 240 as required by the process, in this case, the transfer chamber robot (only one side of the transfer chamber 240) The robot arm of 250 may be configured to move.

즉, 도 10a에서 도시하고 있는 바와 같이, 이송챔버 로봇(250)이 하나의 로봇암 지지부재(253)상에 설치되는 이동레일(257)을 따라 이송챔버(240)의 일 측방으로만 이동하는 제1,2 로봇암(255,256)으로 구성하거나, 도 10b에 도시된 바와 같이 서로 다른 높이를 가지는 제1,2 로봇암 지지부재(253a, 253b) 위에 각 설치되는 이동레일을 따라 이송챔버(240)의 일 측방으로만 이동하는 제1,2 로봇암(255,256)으로 구성할 수도 있다. That is, as shown in FIG. 10A, the transfer chamber robot 250 moves only to one side of the transfer chamber 240 along the moving rail 257 installed on one robot arm support member 253. The transfer chamber 240 may be configured of the first and second robot arms 255 and 256 or may be installed on the first and second robot arm support members 253a and 253b having different heights as shown in FIG. 10B. The first and second robot arms 255 and 256 may move to only one side of the head.

도 11a는 도 2의 Ⅴa-Ⅴa를 따라 도시한 단면도로서, 기판저장부(210)와 기판수용부(260)와 로드락챔버(220)의 연결 관계를 도시하고 있다. 그리고, 도 11b는 도 2의 Ⅴb-Ⅴb를 따라 도시한 측면도로서, 이송챔버(240)와 공정챔버(230) 및 로드락챔버(220)의 연결 관계를 도시하고 있다. FIG. 11A is a cross-sectional view taken along line Va-Va of FIG. 2, and illustrates a connection relationship between the substrate storage unit 210, the substrate receiving unit 260, and the load lock chamber 220. 11B is a side view taken along line Vb-Vb of FIG. 2, and illustrates a connection relationship between the transfer chamber 240, the process chamber 230, and the load lock chamber 220.

또한, 도 12는 기판수용부(260)의 동작 과정을 도시한 도면이다.12 is a diagram illustrating an operation process of the substrate accommodating part 260.

도 11a에 도시한 바와 같이, 기판수용부(260)는 기판저장부(210)와 로드락챔버(220) 사이에 위치하게 되고, 기판수용부(260)에는 모터(미도시)에 의해 구동되어 90도나 180도로 회전 가능한 기판회전수단(265)이 구비된다.As shown in FIG. 11A, the substrate accommodating part 260 is positioned between the substrate storage part 210 and the load lock chamber 220. The substrate accommodating part 260 is driven by a motor (not shown). Substrate rotating means 265 that can rotate 90 degrees or 180 degrees is provided.

기판회전수단(265)은 기판저장부(210)로부터 전달된 기판(100)을 회전시켜 로드락챔버(220)에 전달하게 되는데, 예를 들면, 도 12에 도시한 바와 같이, 기판(100)의 단변이 투입방향에 수직하게 기판수용부(260)로 투입되고, 투입된 기판(100)은 기판회전수단(265)에 의해, 화살표로 표시한 바와 같이, 90도 회전하여 기판(100)의 장변이 투입방향에 수직하게 로드락챔버(220)에 투입된다. The substrate rotating means 265 rotates the substrate 100 transferred from the substrate storage unit 210 and transmits the substrate 100 to the load lock chamber 220. For example, as illustrated in FIG. 12, the substrate 100 is rotated. The short side of the substrate is inserted into the substrate accommodating unit 260 perpendicular to the feeding direction, and the inserted substrate 100 is rotated by 90 degrees by the substrate rotating means 265, as indicated by the arrow, so that the long side of the substrate 100 is rotated. It is fed to the load lock chamber 220 perpendicular to this feeding direction.

기판수용부(260)의 기판회전수단(265)은 기판의 방향을 전환하기 위한 것이므로, 방향 전환된 기판을 후술하는 기판전달부(270)를 거쳐 로드락챔버(220)로 이동시키기 위해서는 기판수용부(260)에 롤러 등과 같은 이송수단이 추가로 구비되어야 한다. Since the substrate rotating means 265 of the substrate accommodating part 260 is for changing the direction of the substrate, the substrate accommodating part 260 moves the substrate to the load lock chamber 220 via the substrate transferring part 270 which will be described later. The transfer unit such as a roller should be additionally provided in the unit 260.

이때 기판의 장변이나 단변 중 어느 방향으로도 이송할 수 있도록, 상기 롤러는 x축 롤러 및 y축 롤러를 모두 구비하는 것이 바람직하며, 롤러구동축을 상하로 이동시킬 수 있도록 하여 필요한 방향의 롤러만을 상승시켜 기판을 이송할 수 있도록 한다. In this case, the roller is preferably provided with both an x-axis roller and a y-axis roller, so that the roller can be moved in any direction of the long side or short side of the substrate, and only the roller in the required direction is raised to move the roller driving shaft up and down. To transfer the substrate.

한편, 기판수용부(260)와 로드락챔버(220) 사이의 기판전달부(270)는, 예를 들면, 컨베이어 방식이나 롤러구동 방식 등을 사용하여 기판수용부(260)와 로드락챔버(220) 사이에 기판(100)을 전달하게 된다. 그리고, 기판전달부(270)와 로드락챔버(220) 사이에는 로드락챔버(220)를 개폐하는 도어(미도시)가 위치한다. On the other hand, the substrate transfer portion 270 between the substrate receiving portion 260 and the load lock chamber 220, for example, using a conveyor method, a roller drive method or the like, the substrate receiving portion 260 and the load lock chamber ( The substrate 100 is transferred between the 220. In addition, a door (not shown) for opening and closing the load lock chamber 220 is positioned between the substrate transfer unit 270 and the load lock chamber 220.

그런데 컨베이어나 롤러로 기판을 이송하는 기판전달부(270)로부터 로드락챔버(220)로 기판이 전달되기 위해서는, 로드락챔버(220)의 내부에도 기판전달부(270)의 기판운송수단과 연결되는 컨베이어나 롤러 등이 구비되어야 하는데, 예를 들어 로드락챔버 내부의 플레이트를 다수의 롤러가 돌출되어 설치되는 롤러 플레이트로 구성할 수 있다. However, in order to transfer the substrate from the substrate transfer part 270 that transfers the substrate to the conveyor or roller, the substrate is connected to the substrate transport means of the substrate transfer part 270 in the load lock chamber 220. Conveyors or rollers to be provided should be provided, for example, the plate inside the load lock chamber may be composed of a roller plate is provided with a plurality of rollers protruding.

도 11b는 다수의 공정챔버(230)와 로드락챔버(220)가 이송챔버(240)의 측방에 연결되고, 이송챔버(240)와 로드락챔버 및 이송챔버(220, 230) 사이에 기판(100)을 전달하기 위해 이송챔버 로봇(250)이 수평이동축(251) 및 수직이동축(252)에 연결되는 모습을 도시하고 있다. 11B illustrates a plurality of process chambers 230 and a load lock chamber 220 connected to the side of the transfer chamber 240, and a substrate (between the transfer chamber 240, the load lock chamber, and the transfer chambers 220 and 230). The transfer chamber robot 250 is connected to the horizontal movement shaft 251 and the vertical movement shaft 252 to transmit the 100.

수평이동축(251)은 이송챔버(240)의 장축 방향으로 연장되어 있고, 이송챔버 로봇(250)은 수평이동축(251)을 따라 이동하여 이송챔버(240)와 로드락챔버(220) 및 이송챔버(230) 사이에 기판(100)을 전달하게 된다. 수직이동축(252)은 이송챔버 로봇(250) 로봇암이 상하로 이동하는 가이드 역할을 하게 된다.The horizontal movement shaft 251 extends in the long axis direction of the transfer chamber 240, and the transfer chamber robot 250 moves along the horizontal movement shaft 251 to transfer the transfer chamber 240 and the load lock chamber 220. The substrate 100 is transferred between the transfer chambers 230. The vertical movement shaft 252 serves as a guide in which the transfer chamber robot 250 robot arm moves up and down.

전술한 바와 같이, 이송챔버 로봇(250)이 서로 다른 높이를 갖는 상, 하층 로봇암(250-1, 250-2)을 구비하는 경우에, 두 개의 로봇암(250-1, 250-2)이 각각에 대응하는 로드락챔버 및 공정챔버(220, 230)에 위치할 수 있도록 이송챔버 로봇(250)은 상하운동을 하게 된다. As described above, when the transfer chamber robot 250 includes the upper and lower robot arms 250-1 and 250-2 having different heights, the two robot arms 250-1 and 250-2 are provided. The transfer chamber robot 250 moves up and down so as to be located in the load lock chamber and the process chamber 220 and 230 corresponding to each of them.

그리고, 이송챔버 로봇(250)의 상하 운동에 대신하여 수평이동축(251)이 상하 운동하여, 상, 하층 로봇암(250-1, 250-2)이 로드락챔버 및 공정챔버(220, 230)에 위치하도록 할 수 있다. In addition, the horizontal movement shaft 251 moves up and down instead of the up and down movement of the transfer chamber robot 250, so that the upper and lower robot arms 250-1 and 250-2 are loaded with the lock chamber and the process chamber 220, 230. ) Can be placed.

한편, 공정챔버와 로드락챔버는 이송챔버의 양 측 또는 일 측에 다층구조로 배치될 수 있는데, 도 13은 도 11b와 달리 공정챔버(230)와 로드락챔버(220)를 이층구조로 배치한 것을 도시한 도면으로서, 공정상 필요에 따라 이층 이상의 다층 구조로 배치될 수 있다.On the other hand, the process chamber and the load lock chamber may be arranged in a multi-layer structure on both sides or one side of the transfer chamber, Figure 13 is unlike the process chamber 230 and load lock chamber 220 is arranged in a two-layer structure As one figure, it may be arranged in a multilayer structure of two or more layers as necessary in the process.

도 14에서 도시된 바와 같이, 이송챔버(240)의 양 측에 연결되는 로드락챔버가 2층 이상으로 적층되는 경우에, 상,하층 로봇암(250-1,250-2)이 수직이동축(252)을 따라 상하로 이동하면서 이송챔버(240)와 각 층의 로드락챔버(220-1,220-2) 사이에 기판을 이송하게 된다. 이것은 공정챔버가 2층이상으로 적층되는 경우에도 마찬가지이다.As illustrated in FIG. 14, when the load lock chambers connected to both sides of the transfer chamber 240 are stacked in two or more layers, the upper and lower robot arms 250-1 and 250-2 are vertically moved. The substrate is transferred between the transfer chamber 240 and the load lock chambers 220-1 and 220-2 of each layer while moving up and down along). This is also the case when the process chambers are laminated in two or more layers.

또한 도 15에서 도시된 바와 같이, 이송챔버(240) 자체를 2층 이상의 적층구조로 형성할 수 있는데, 여기서는 상층 이송챔버(240-1)와 하층 이송챔버(240-2)의 양 측면에 상하로 적층된 상,하층 공정챔버(230-1,230-2)가 각 연결된 모습을 도시하고 있다. In addition, as shown in FIG. 15, the transfer chamber 240 itself may be formed in a laminated structure of two or more layers, in which the upper and lower transfer chambers 240-1 and the lower transfer chamber 240-2 are disposed on both sides thereof. The upper and lower process chambers 230-1 and 230-2 which are stacked as shown are connected to each other.

상,하층 이송챔버(240-1, 240-2)의 내부에는 단층 구조의 이송챔버와 마찬가지로 상, 하층 이송챔버 로봇(250-1, 250-2)이 각 위치하는데, 이때 각 이송챔버 로봇(250-1, 250-2)은 각각 별도의 이동축을 따라 이동하게 된다. The upper and lower transfer chamber robots 250-1 and 250-2 are positioned in the upper and lower transfer chambers 240-1 and 240-2, like the single-layer transfer chambers. 250-1 and 250-2 are moved along separate movement axes, respectively.

물론 각 이송챔버(240-1, 240-2)의 양 측에는 적층구조의 공정챔버(230)뿐만 아니라, 하나 이상의 로드락챔버(220)를 단층 또는 적층으로 결합할 수도 있다. Of course, not only the process chamber 230 of the laminated structure but also one or more load lock chambers 220 may be coupled to both sides of each transfer chamber 240-1 and 240-2 in a single layer or a stack.

이하, 도면을 참조하여 본 발명의 제 1 실시예에 따른 기판 제조 장치를 사용하여 기판을 제조하는 공정에서 기판이 이동하는 과정을 설명한다.Hereinafter, a process of moving a substrate in a process of manufacturing a substrate using the substrate manufacturing apparatus according to the first embodiment of the present invention will be described with reference to the drawings.

먼저, 저장부 로봇(212)이 기판저장부(210)로부터 기판수용부(260)에 기판(100)을 전달하게 된다. 기판수용부(260)에 전달된 기판(100)은 내부의 기판회전수단(265)에 의해 90도 회전한 후 기판전달부(270)를 거쳐 로드락챔버(220) 내부로 반입된다. 여기서, 로드락챔버(220)는 대기압상태이며, 이송챔버(240)와 로드락챔버(220) 사이에 위치한 도어(245)는 닫힌 상태에 있다.First, the storage robot 212 transfers the substrate 100 from the substrate storage 210 to the substrate receiving part 260. The substrate 100 transferred to the substrate accommodating part 260 is rotated 90 degrees by the substrate rotating means 265 therein and then loaded into the load lock chamber 220 via the substrate transferring part 270. Here, the load lock chamber 220 is in an atmospheric pressure state, the door 245 located between the transfer chamber 240 and the load lock chamber 220 is in a closed state.

기판(100)이 로드락챔버(220)에 안착되면, 로드락챔버(220)와 기판전달부(270) 사이에 위치한 도어가 닫히고, 로드락 챔버(220) 내부를 진공상태로 만들기 위한 펌핑(pumping)이 실시된다. When the substrate 100 is seated in the load lock chamber 220, the door located between the load lock chamber 220 and the substrate transfer unit 270 is closed, and the pumping for making the inside of the load lock chamber 220 into a vacuum state ( pumping) is carried out.

로드락챔버(220) 내부가 공정챔버(230) 또는 이송챔버(240)와 같은 정도의 진공상태가 되면, 이송챔버(240)와 로드락챔버(220) 사이에 위치한 도어(245)가 열리고, 이송챔버 로봇(250)이 로드락챔버(220)에 있는 미처리 기판(100)을 반출하게 된다. When the inside of the load lock chamber 220 is in the same vacuum as the process chamber 230 or the transfer chamber 240, the door 245 located between the transfer chamber 240 and the load lock chamber 220 is opened, The transfer chamber robot 250 carries the unprocessed substrate 100 in the load lock chamber 220.

이송챔버 로봇(250)은 수평이동축(251)을 따라, 즉 이송챔버(240)의 장축방향을 따라 이동하여 이송챔버(240) 장축의 양 측에 위치한 공정챔버(230) 내부로 기판을 이송한다. The transfer chamber robot 250 moves along the horizontal movement axis 251, that is, along the long axis direction of the transfer chamber 240, and transfers the substrate into the process chamber 230 located at both sides of the long axis of the transfer chamber 240. do.

공정챔버(230) 내부로 기판(100)이 반입되고 공정이 완료되면, 이송챔버 로봇(250)이 공정챔버(230) 내부로 진입하여 기판(100)을 반출하고, 반출된 기판(100)은 이송챔버 로봇(250)에 의해 이송챔버(240)의 장축방향을 따라 이동하여 다음 공정이 진행될 공정챔버(230)에 전달된다. When the substrate 100 is loaded into the process chamber 230 and the process is completed, the transfer chamber robot 250 enters the process chamber 230 to take out the substrate 100, and the substrate 100 is taken out. The transfer chamber robot 250 moves along the long axis of the transfer chamber 240 and is transferred to the process chamber 230 where the next process is to proceed.

공정챔버(230)에서 모든 공정을 마친 기판(100)은, 전술한 바와 반대 순서로, 이송챔버 로봇(250)에 의해 로드락챔버(220)에 안치되고, 기판전달부(270), 기판수용부(260)로 전달된다. 기판수용부(260)에 전달된 기판(100)은 90도 회전한 후, 저장부 로봇(212)에 의해 기판저장부(210)로 반출하게 된다. The substrate 100, which has completed all the processes in the process chamber 230, is placed in the load lock chamber 220 by the transfer chamber robot 250 in the reverse order as described above, and the substrate transfer part 270 and the substrate accommodation are provided. Is passed to the unit 260. The substrate 100 transferred to the substrate accommodating part 260 is rotated by 90 degrees and then transported to the substrate storage part 210 by the storage part robot 212.

한편 이상에서는 기판저장부(210)와 기판수용부(260) 사이에서는 저장부 로봇(212)이 기판을 운송하는 것으로 설명하고 있으나, 이는 수십 장의 기판을 적재한 카세트가 AGV에 의해 기판저장부로 전달되고, 카세트에 적재된 기판이 저장부 로봇(212)에 의해 순차적으로 공정챔버로 반입되는 것을 가정하였기 때문이다. Meanwhile, although the storage robot 212 transports the substrate between the substrate storage unit 210 and the substrate receiving unit 260, the cassette is loaded with several tens of substrates to the substrate storage unit by AGV. This is because it is assumed that the substrate loaded in the cassette is sequentially loaded into the process chamber by the storage robot 212.

따라서 공정장비 외부에서의 기판이송이 AGV에 의해 이루어지지 않고, 컨베이어나 롤러 등을 이용한 인라인 방식으로 이루어지는 경우에는 굳이 기판저장부(210)나 저장부로봇(212)을 설치하지 아니할 수도 있다.Therefore, when the substrate transfer from the outside of the process equipment is not made by AGV, and is made in an in-line method using a conveyor or a roller, the substrate storage unit 210 or the storage robot 212 may not be installed.

즉, 기판수용부(260)의 전단까지 외부의 인라인 설비를 연결하여 기판이 곧바로 기판수용부(260)로 반입되고, 공정을 마친 기판은 동일한 기판수용부(260)나 다른 기판수용부(260)를 통해서 상기 외부의 인라인 설비로 반출할 수 있다. That is, the substrate is immediately brought into the substrate receiving portion 260 by connecting an external inline facility up to the front end of the substrate receiving portion 260, and the finished substrate is the same substrate receiving portion 260 or another substrate receiving portion 260. ) Can be taken out to the external inline facility.

또한 이상에서는 이송챔버(240)와 로드락챔버(220) 사이에서 단변이 전달방향에 수직하게 기판(100)이 전달되고, 또한 공정챔버(230)와 이송챔버(240) 사이에도 단변이 전달방향에 수직하게 기판(100)이 전달되는데, 이는 도 2에 도시한 바와 같이 공정챔버(230)와 로드락챔버(220)의 장축이 이송챔버(240)의 장축과 수직하게 배치되기 때문이다. In addition, the substrate 100 is transferred between the transfer chamber 240 and the load lock chamber 220 so that the short side is perpendicular to the transfer direction, and the short side is also transferred between the process chamber 230 and the transfer chamber 240. The substrate 100 is transferred perpendicularly to the vertical axis of the substrate 100 because the long axes of the process chamber 230 and the load lock chamber 220 are disposed perpendicular to the long axes of the transfer chamber 240, as shown in FIG. 2.

즉, 도 2에 의하면, 기판저장부(210)로부터 기판수용부(260)로 반입되는 기판은 단변이 투입방향에 수직한 방향으로 투입되었다가, 기판회전수단(265)에 의하여 90도 회전한 후 기판전달부(270)을 거쳐 로드락챔버(220)로 전달되게 된다.That is, according to FIG. 2, the substrate carried in from the substrate storage unit 210 to the substrate receiving unit 260 has a short side introduced in a direction perpendicular to the feeding direction, and then rotated 90 degrees by the substrate rotating means 265. Then, the substrate is transferred to the load lock chamber 220 via the substrate transfer unit 270.

그런데 기판저장부(210)를 도 16과 같이 구성하여 기판수용부(260)의 다른 측면으로 기판을 반입하게 하면, 기판수용부(260)에서 기판을 회전시키는 절차가 불필요해지는 장점이 있다. However, when the substrate storage unit 210 is configured as shown in FIG. 16 to bring the substrate into the other side of the substrate receiving unit 260, the procedure of rotating the substrate in the substrate receiving unit 260 is unnecessary.

<제 2 실시예>Second Embodiment

도 17은 본 발명의 제 2 실시예에 따른 기판 제조 장치를 도시한 도면이다. 17 is a view showing a substrate manufacturing apparatus according to a second embodiment of the present invention.

본 발명의 제 2 실시예에서는 본 발명의 제 1 실시예와 동일하거나 대응되는 사항에 대해서는 설명을 생략한다. In the second embodiment of the present invention, description of the same or corresponding matters as the first embodiment of the present invention will be omitted.

도시한 바와 같이, 본 발명의 제 2 실시예에 따른 기판 제조 장치는 이송챔버(440)의 장축 방향의 양 끝단에 로드락챔버(420a, 420b)와 기판저장부(410a, 410b)를 각각 설치한다. 여기서, 로드락챔버(420a, 420b)는 이층 구조를 가질 수도 있다.As shown, the substrate manufacturing apparatus according to the second embodiment of the present invention is provided with the load lock chambers (420a, 420b) and the substrate storage (410a, 410b) at both ends of the long axis direction of the transfer chamber 440, respectively do. Here, the load lock chambers 420a and 420b may have a two-layer structure.

로드락챔버(420a, 420b)와 기판저장부(410a, 410b)는 각각 반입, 반출 로드락챔버(420a, 420b)와 반입, 반출 기판저장부(410a, 410b)로 나뉘어진다.The load lock chambers 420a and 420b and the substrate storage units 410a and 410b are divided into the load and export load lock chambers 420a and 420b and the load and export substrate storage units 410a and 410b, respectively.

반입 기판저장부(410a)는 미처리 기판(100)을 저장하는데, 반입 기판저장부(410a)로부터 투입된 기판(100)은 반입 로드락챔버(420a)를 거쳐 이송챔버(440)로 전달된다. 그리고, 공정챔버(430)를 통해 공정이 진행된 기판은 이송챔버(440)로부터 반출 로드락챔버(420b)를 거쳐 반출 기판저장부(410b)로 전달된다. The loaded substrate storage 410a stores the unprocessed substrate 100, and the substrate 100 introduced from the loaded substrate storage 410a is transferred to the transfer chamber 440 through the loaded load lock chamber 420a. Subsequently, the substrate processed through the process chamber 430 is transferred from the transfer chamber 440 to the unloading substrate storage 410b through the unloading load lock chamber 420b.

여기서 이송챔버(440)로부터 반출 로드락챔버(420b)로 기판을 반출하기 위해서는, 이송챔버 로봇(442)에 별도의 이송수단이 부가되어야 하는데, 예를 들어 로봇암을 회전시키는 수단이나, 반출 로드락챔버(420b) 방향으로 로봇암을 직선운동시키는 수단이 그것이다. Here, in order to carry out the substrate from the transfer chamber 440 to the carry-out load lock chamber 420b, a separate transfer means should be added to the transfer chamber robot 442, for example, a means for rotating the robot arm or a carrying rod. The means for linearly moving the robot arm in the direction of the lock chamber 420b.

반입 로드락챔버(420a)와 반입 기판저장부(410a) 사이에는 반입 기판수용부(460a)가 위치하고, 반출 로드락챔버(420b)와 반출 기판저장부(410b) 사이에는 반출 기판수용부(460b)가 위치한다. 반입, 반출 기판수용부(460a, 460b)는 기판(100)을 회전하여 전달하게 된다. An import substrate receiving portion 460a is positioned between the loading load lock chamber 420a and the loading substrate storage portion 410a, and the carrying substrate receiving portion 460b is placed between the loading load lock chamber 420b and the loading substrate storage portion 410b. ) Is located. The carrying in and taking out substrate receiving portions 460a and 460b rotate and transfer the substrate 100.

예를 들면, 미처리 기판(100)은 단변이 투입방향에 수직하게 반입 기판저장부(410a)로부터 반입 기판수용부(460a)로 투입되고, 투입된 기판(300)은 기판회전수단에 의해 90도 회전하여 단변이 투입방향에 수직하게 반입 로드락챔버(420a)에 투입된다. 반입, 반출 기판수용부(460a,460b)에는 기판회전수단 이외에도 기판을 이동시키기 위한 롤러 등의 구동수단이 구비되어야 함은 물론이다.For example, the unprocessed substrate 100 is inputted from the loading substrate storage portion 410a to the loading substrate accommodation portion 460a with the short side perpendicular to the feeding direction, and the inserted substrate 300 is rotated 90 degrees by the substrate rotating means. As a result, the short side is introduced into the load-loading chamber 420a perpendicular to the feeding direction. In addition to the substrate rotation means, the loading and unloading substrate accommodation portions 460a and 460b should be provided with driving means such as rollers for moving the substrate.

그리고 공정챔버(430)를 통해 공정이 진행된 기판(100)은 단변이 반출방향에 수직하게 반출 로드락챔버(420b)로부터 반출 기판수용부(460b)로 반출되고, 반출된 기판(100)은 기판회전수단에 의해 90도 회전하여 단변이 반출방향에 수직하게 반출 기판저장부(410b)에 반출된다. 여기서, 도시하지는 않았지만, 로드락챔버(420a, 420b)와 기판수용부(410a, 410b) 사이에는, 본 발명의 제 1 실시예와 마찬가지로, 기판전달부가 위치한다.The substrate 100, which has been processed through the process chamber 430, has a short side taken out from the load load chamber 420b to the export substrate accommodating portion 460b so that the short side is perpendicular to the export direction. It rotates 90 degrees by the rotation means, and a short side is carried out to the carrying out board | substrate storage part 410b perpendicular to a carrying out direction. Although not shown here, a substrate transfer part is located between the load lock chambers 420a and 420b and the substrate receiving parts 410a and 410b as in the first embodiment of the present invention.

이와 같이 본 발명의 제 2 실시예에서는 로드락챔버(420a, 420b)가 이송챔버(440)의 양 끝단에 위치하게 되므로, 기판(100)은 장변이 전달방향에 수직하게 로드락챔버(420a, 420b)와 이송챔버(440) 사이에 전달된다. As described above, in the second embodiment of the present invention, since the load lock chambers 420a and 420b are positioned at both ends of the transfer chamber 440, the substrate 100 has a load lock chamber 420a, the long side of which is perpendicular to the transfer direction. 420b and the transfer chamber 440 is transferred.

한편 이상에서는 반입 로드락챔버(420a)를 통해서 이송챔버(440)로반입된 기판이 공정챔버(430)에서 공정을 마친 이후에 이송챔버 반대편의 반출 로드락챔버(420b)를 통해 반출되는 구성을 가지는 것으로 설명하였다. Meanwhile, in the above description, the substrate loaded into the transfer chamber 440 through the loading load lock chamber 420a is carried out through the loading load lock chamber 420b opposite the transfer chamber after the process is completed in the process chamber 430. It was described as having.

그러나 이는 실시예일 뿐이어서, 이송챔버 양단의 로드락챔버(420a,420b)를 반입 또는 반출 중에서 어느 하나의 용도로 굳이 한정할 필요가 있는 것은 아니므로, 도면상 우측의 기판저장부(410a), 기판수용부(460a) 및 로드락챔버(420a)를 거쳐 반입된 기판(100)을 다시 이들을 거쳐 반출할 수도 있는 것이다.However, this is only an embodiment, so it is not necessary to limit the load lock chambers 420a and 420b at both ends of the transfer chamber to any one of import and export, so that the substrate storage portion 410a on the right side of the drawing, The substrate 100 carried through the substrate accommodating portion 460a and the load lock chamber 420a may be carried out again through them.

또한, 반대편(도면상 좌측)의 기판저장부(410b), 기판수용부(460b) 및 로드락챔버(420b)를 거쳐 반입된 기판(100)을 다시 되돌아서 반출하거나, 아니면 도면상 우측의 기판저장부(410a), 기판수용부(460a) 및 로드락챔버(420a)를 거쳐 반출할 수도 있는 것이다. In addition, the substrate 100 loaded through the substrate storage portion 410b, the substrate receiving portion 460b, and the load lock chamber 420b on the opposite side (left side in the drawing) is again taken out, or the substrate on the right side of the drawing It can also be carried out through the storage part 410a, the board | substrate accommodation part 460a, and the load lock chamber 420a.

이상에서 알 수 있는 바와 같이, 본 발명의 제 2 실시예에 따른 기판 제조 장치도, 일방향으로 연장된 이송챔버와, 이송챔버의 장축 방향을 따르는 양 측에 연결되는 공정챔버와, 이송챔버의 양 끝단에 로드락챔버를 구비하여 인라인 방식으로 기판을 이송하게 된다. As can be seen above, the substrate manufacturing apparatus according to the second embodiment of the present invention also includes a transfer chamber extending in one direction, a process chamber connected to both sides along the long axis direction of the transfer chamber, and the amount of the transfer chamber. A load lock chamber is provided at the end to transfer the substrate in an inline manner.

한편 이상에서는 이송챔버(240,440) 내부에서의 기판운송이 이송챔버 로봇(250,442)에 의해 이루어지는 것으로 설명하였으나, 기판운송방법이 굳이 이에 한정될 필요는 없으므로 이송챔버 로봇 대신에 다른 수단을 이용하여 기판을 운송할 수도 있다. On the other hand, while the substrate transport in the transfer chamber (240,440) has been described as the transfer chamber robot (250,442), but the substrate transport method does not necessarily need to be limited to this by using other means instead of the transfer chamber robot You can also transport.

예를 들어 이송챔버(240,440) 내부에 운송 롤러 또는 컨베이어 등을 설치하여 기판을 운송할 수도 있는 것이다. 이 경우에는 이송챔버(240,440)의 측방에 연결되는 로드락챔버(220,420)나 공정챔버(230,430)의 내부에도 롤러 등의 인라인 운송수단이 구비되어야 함은 물론이다.For example, the substrate may be transported by installing a transport roller or a conveyor in the transport chambers 240 and 440. In this case, it is a matter of course that in-line transportation means such as rollers are also provided inside the load lock chambers 220 and 420 or the process chambers 230 and 430 connected to the sides of the transfer chambers 240 and 440.

즉, 로드락챔버(220,420)의 내부에는 종래의 플레이트 대신에 롤러 플레이트나 컨베이어가 설치되어야 하며, 공정챔버(230,430)의 서셉터 주위에도 기판의 이송을 위한 롤러나 컨베이어 등이 설치되어야 한다. That is, a roller plate or a conveyor should be installed in the load lock chambers 220 and 420 instead of a conventional plate, and a roller or a conveyor for transferring the substrate should also be installed around the susceptors of the process chambers 230 and 430.

이와 같이 이송챔버(240,440) 내부에 롤러를 설치하여 기판을 운송하는 경우에, 기판의 방향 전환을 위하여 x축 롤러와 y축 롤러를 구비하여 원하는 방향의 롤러를 이용하여 기판을 운송할 수 있도록 하면 기판을 회전시키지 않고도 자유로이 운송할 수 있게 된다. As described above, when transporting a substrate by installing rollers in the transfer chambers 240 and 440, the substrate may be transported by using a roller in a desired direction with an x-axis roller and a y-axis roller to change the direction of the substrate. It is possible to transport freely without rotating the substrate.

이를 위해 x축 롤러와 y축 롤러를 상하로 구동할 수 있는 구동수단을 부가하여 필요한 방향의 롤러만을 상승시켜 기판을 안치하여 이송하도록 하면 다른 축의 롤러에 방해되지 않고 기판을 운송할 수 있다.To this end, by adding a driving means capable of driving the x-axis roller and the y-axis roller up and down to raise only the roller in the required direction to transport the substrate to rest the substrate can be transported without disturbing the roller of the other axis.

또한 롤러나 컨베이어를 이용할 경우에, 롤러 일부나 컨베이어를 구동하는 구동수단을 연결하여야 기판을 능동적으로 운송할 수 있음은 물론이다. In addition, in the case of using a roller or a conveyor, it is a matter of course that the substrate can be actively transported by connecting a part of the roller or driving means for driving the conveyor.

이상에서 살펴본 바와 같이 본 발명의 실시예에 따른 기판 제조 장치는 공정챔버를 필요에 따라 이송챔버에 자유롭게 연결할 수 있게 하여 공정챔버에 대한 배치 및 수에 대한 제한을 개선할 수 있게 한다. As described above, the substrate manufacturing apparatus according to the embodiment of the present invention may freely connect the process chamber to the transfer chamber as necessary, thereby improving the limitation on the arrangement and the number of the process chambers.

그리고 기판을 클러스터 방식과 달리 인라인 방식으로 이송할 수 있게 하여, 기판의 면적 증가에 따라 이송챔버 및 공정챔버의 증가 비율을 종래에 비해 상당히 개선할 수 있게 된다. In addition, it is possible to transfer the substrate in an inline manner different from the cluster method, so that the increase rate of the transfer chamber and the process chamber can be significantly improved as compared with the conventional method as the area of the substrate increases.

한편, 전술한 본 발명의 실시예는 자유로운 변형이 가능하며, 그와 같은 변형이 본 발명의 정신에 포함되는 한, 본 발명의 권리범위에 속한다 함은 본 발명의 기술이 속하는 분야의 당업자에게 자명한 사실이다. Meanwhile, the embodiments of the present invention described above may be freely modified, and it is obvious to those skilled in the art to which the technology belongs to the scope of the present invention as long as such modifications are included in the spirit of the present invention. One is true.

본 발명은 일방향으로 연장된 이송챔버를 사용하게 됨으로써, 공정챔버와 로드락챔버를 필요에 따라 이송챔버에 자유롭게 연결할 수 있게 되어 공정챔버에 대한 배치 및 수에 대한 제한을 개선할 수 있는 효과가 있다. According to the present invention, by using the transfer chamber extending in one direction, it is possible to freely connect the process chamber and the load lock chamber to the transfer chamber as necessary, thereby improving the limitation on the arrangement and the number of process chambers. .

그리고 기판을 클러스터 방식과 달리 인라인 방식으로 이송하게 되어 기판의 면적 증가에 따라 이송챔버 및 공정챔버의 증가 비율을 종래에 비해 상당히 개선할 수 있는 효과가 있다.Unlike the cluster method, the substrate is transferred in an inline manner, and thus, as the area of the substrate increases, an increase rate of the transfer chamber and the process chamber can be significantly improved.

도 1은 일반적인 클러스터의 개략적인 구성도.1 is a schematic configuration diagram of a general cluster.

도 2는 본 발명의 제 1 실시예에 따른 기판 제조 장치의 구성도.2 is a configuration diagram of a substrate manufacturing apparatus according to a first embodiment of the present invention.

도 3a는 도 2의 Ⅲ-Ⅲ을 따라 도시한 단면도.3A is a cross-sectional view taken along line III-III of FIG. 2;

도 3b는 도 3a에서 이송챔버 로봇의 측면도Figure 3b is a side view of the transfer chamber robot in Figure 3a

도 4는 제1,2 로봇암 사이에 차단부재가 설치된 모습을 도시한 단면도4 is a cross-sectional view showing a state in which a blocking member is installed between the first and second robot arms.

도 5는 도 2의 Ⅳ-Ⅳ을 따라 도시한 단면도FIG. 5 is a cross-sectional view taken along line IV-IV of FIG. 2.

도 6 내지 도 9는 여러가지 유형의 로봇암을 도시한 단면도6 through 9 are cross-sectional views illustrating various types of robot arms.

도 10a 및 도 10b는 이송챔버의 일 측방으로만 기판을 이송하는 로봇암의 유형을 예시한 단면도10A and 10B are cross-sectional views illustrating types of robotic arms for transferring a substrate to only one side of the transfer chamber.

도 11a는 도 2의 Ⅴa-Ⅴa를 따라 도시한 단면도.FIG. 11A is a cross-sectional view taken along line Va-Va of FIG. 2; FIG.

도 11b는 도 2의 Ⅴb-Ⅴb 단면을 따라 도시한 측면도. FIG. 11B is a side view along the section Vb-Vb of FIG. 2; FIG.

도 12은 본 발명의 제 1 실시예에 따른 기판수용부의 동작 과정을 도시한 도면.12 is a view showing an operation process of the substrate receiving portion according to the first embodiment of the present invention.

도 13은 공정챔버와 로드락챔버를 이층구조로 배치한 도면.13 is a view in which the process chamber and the load lock chamber are arranged in a two-layer structure.

도 14는 이층구조의 로드락챔버와 이송챔버의 단면도 14 is a cross-sectional view of the load lock chamber and the transfer chamber of the two-layer structure

도 15는 이층구조의 공정챔버와 이층구조의 이송챔버의 단면도.15 is a cross-sectional view of a process chamber of a two-layer structure and a transfer chamber of a two-layer structure;

도 16은 도 2와 다른 유형의 기판저장부를 포함하는 기판제조장치의 구성도 16 is a block diagram of a substrate manufacturing apparatus including a substrate storage unit of a type different from FIG.

도 17은 본 발명의 제 2 실시예에 따른 기판 제조 장치의 구성도. 17 is a configuration diagram of a substrate manufacturing apparatus according to a second embodiment of the present invention.

< 도면의 주요 부호에 대한 설명 ><Description of Major Symbols in Drawing>

100, 300 : 기판 210 : 기판저장부100, 300: substrate 210: substrate storage unit

212 : 저장부 로봇 220 : 로드락챔버212: storage robot 220: load lock chamber

224 : 기판 슬롯 230 : 공정챔버224: substrate slot 230: process chamber

240 : 이송챔버 245, 246 : 도어240: transfer chamber 245, 246: door

250 : 이송챔버 로봇 250: transfer chamber robot

250-1, 250-2 : 상층, 하층 로봇암250-1, 250-2: upper and lower robot arm

251 : 수평이동축 252 : 수직이동축251: horizontal movement axis 252: vertical movement axis

253a, 253b : 제1,2 로봇암 지지부재253a, 253b: first and second robot arm support members

254 : 결합부재 255 : 제1 로봇암254: coupling member 255: first robot arm

255a : 제1 로봇암 몸체 255b : 제1 로봇암 안치부255a: first robot arm body 255b: first robot arm settling portion

256 : 제2 로봇암 256a : 제2 로봇암 몸체256: second robot arm 256a: second robot arm body

256b : 제2 로봇암 안치부 257 : 제1 이동레일256b: second robot arm settlement unit 257: first moving rail

258 : 제2 이동레일 259 : 수직이동가이드258: second moving rail 259: vertical movement guide

260 : 기판수용부 265 : 기판회전수단260: substrate receiving portion 265: substrate rotating means

270 : 기판전달부 290 : 차단부재270: substrate transfer unit 290: blocking member

Claims (18)

일방향으로 연장되며, 내부가 진공상태로 유지되는 이송챔버와;A transfer chamber extending in one direction, the interior of which is maintained in a vacuum state; 상기 이송챔버의 장축방향을 따라 상기 이송챔버의 측 방향에 연결되는 하나 이상의 공정챔버와;At least one process chamber connected to a side direction of the transfer chamber along a long axis direction of the transfer chamber; 상기 이송챔버의 측면에 연결되어 기판을 이송하는 하나 이상의 로드락챔버At least one load lock chamber connected to a side of the transfer chamber to transfer a substrate 를 포함하는 기판 제조 장치.Substrate manufacturing apparatus comprising a. 제1항에 있어서,The method of claim 1, 상기 이송챔버의 내부에는 상기 이송챔버의 장축 방향을 따라 이동하여 기판을 운송하는 이송챔버 로봇이 설치되는 기판 제조장치A substrate manufacturing apparatus in which a transfer chamber robot is installed inside the transfer chamber to move a substrate along a major axis of the transfer chamber. 제2항에 있어서,The method of claim 2, 상기 이송챔버 로봇은 서로 다른 높이를 갖는 두 개의 로봇암을 갖는 기판 제조 장치.The transfer chamber robot has two robot arms having different heights. 제2항에 있어서,The method of claim 2, 상기 이송챔버 내부에서 상기 이송챔버의 장축방향을 따라 연장되고, 상기 이송챔버 로봇과 연결되는 수평 이동축을 더 포함하는 기판 제조 장치.And a horizontal moving shaft extending in the longitudinal direction of the transfer chamber within the transfer chamber and connected to the transfer chamber robot. 제4항에 있어서,The method of claim 4, wherein 상기 이송챔버 로봇은 상하로 이동하기 위하여 상기 수평 이동축과 연결되는 수직 이동축에 결합되는 기판 제조 장치.And the transfer chamber robot is coupled to a vertical movement shaft connected to the horizontal movement shaft to move up and down. 제1항에 있어서,The method of claim 1, 상기 하나 이상의 공정챔버는 상기 이송챔버의 양 측 방향에 연결되는 기판 제조 장치.The at least one process chamber is connected to both sides of the transfer chamber substrate manufacturing apparatus. 제6항에 있어서,The method of claim 6, 이송챔버의 양 측 방향에 연결되어 서로 마주하는 상기 공정챔버는 서로 다른 높이를 갖는 기판 제조 장치.The process chambers connected to both sides of the transfer chamber facing each other have a different height. 제1항에 있어서,The method of claim 1, 상기 하나 이상의 로드락챔버는 상기 이송챔버의 양 측 방향에 연결되는 기판 제조 장치.The at least one load lock chamber is connected to both sides of the transfer chamber substrate manufacturing apparatus. 제8항에 있어서,The method of claim 8, 이송챔버의 양 측 방향에 연결되어 서로 마주하는 상기 로드락챔버는 서로 다른 높이를 갖는 기판 제조 장치.The load lock chamber connected to both sides of the transfer chamber facing each other has a different height. 제1항에 있어서,The method of claim 1, 상기 로드락챔버는 상기 이송챔버의 장축방향의 양 끝단에 각 하나씩 연결되는 기판 제조 장치.The load lock chamber is a substrate manufacturing apparatus connected to each one of both ends of the long axis direction of the transfer chamber. 제10항에 있어서, The method of claim 10, 상기 로드락챔버 중 하나는 이송챔버로 기판을 반입하는 반입 로드락챔버이며, 다른 하나는 이송챔버로부터 기판을 반출하는 반출 로드락챔버인 기판 제조 장치.One of the load lock chambers is an import load lock chamber for carrying a substrate into the transfer chamber, and the other is an export load lock chamber for carrying out the substrate from the transfer chamber. 제1항 내지 제11항 중 선택되는 어느 한 항에 있어서,The method according to any one of claims 1 to 11, 상기 이송챔버는 2층 이상의 적층 구조를 가지며, 각 층마다 기판운송을 위한 이송챔버 로봇을 포함하는 기판 제조 장치The transfer chamber has a laminated structure of two or more layers and includes a transfer chamber robot for transporting a substrate for each layer. 제1항 내지 제11항 중 선택되는 어느 한 항에 있어서,The method according to any one of claims 1 to 11, 상기 공정챔버는 일층 이상으로 적층되는 기판 제조 장치.The substrate manufacturing apparatus is laminated in one or more layers of the process chamber. 제1항 내지 제11항 중 선택되는 어느 한 항에 있어서,The method according to any one of claims 1 to 11, 상기 로드락챔버는 일층 이상으로 적층되는 기판 제조 장치.The load lock chamber is laminated on one or more layers substrate manufacturing apparatus. 제1항에 있어서,The method of claim 1, 기판을 적재하는 기판 저장부와A substrate storage unit for loading a substrate 상기 로드락챔버와 상기 기판저장부 사이를 연결하는 기판수용부를 더 포함하는 기판 제조 장치.And a substrate accommodating part connecting the load lock chamber and the substrate storage part. 제15항에 있어서,The method of claim 15, 상기 기판수용부는 상기 로드락챔버에 상기 기판을 전달하는 기판전달수단을 포함하는 기판 제조 장치.The substrate receiving unit includes a substrate transfer device for transferring the substrate to the load lock chamber. 제16항에 있어서,The method of claim 16, 상기 기판전달수단은 컨베이어 방식, 롤러구동 방식 중 선택된 하나로 기판을 전달하는 기판 제조 장치.The substrate transfer means is a substrate manufacturing apparatus for transferring the substrate to a selected one of the conveyor method, roller driving method. 제15항에 있어서,The method of claim 15, 상기 기판수용부는 상기 기판의 방향전환수단을 포함하는 기판 제조 장치.The substrate receiving portion includes a substrate manufacturing apparatus comprising a direction switching means of the substrate.
KR1020040059042A 2004-03-24 2004-07-28 Apparutus for manufacturing substrate KR101039231B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/083,838 US7905960B2 (en) 2004-03-24 2005-03-16 Apparatus for manufacturing substrate
CNB200510056909XA CN100483614C (en) 2004-03-24 2005-03-23 Apparatus for manufacturing substrate
TW094108883A TWI358078B (en) 2004-03-24 2005-03-23 Apparatus for manufacturing substrate
US13/041,338 US8328940B2 (en) 2004-03-24 2011-03-04 Apparatus for transferring a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020040019996 2004-03-24
KR20040019996 2004-03-24

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020110019364A Division KR101069537B1 (en) 2011-03-04 2011-03-04 Apparutus for manufacturing substrate

Publications (2)

Publication Number Publication Date
KR20050094735A true KR20050094735A (en) 2005-09-28
KR101039231B1 KR101039231B1 (en) 2011-06-07

Family

ID=37275430

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040059042A KR101039231B1 (en) 2004-03-24 2004-07-28 Apparutus for manufacturing substrate

Country Status (2)

Country Link
KR (1) KR101039231B1 (en)
TW (1) TWI358078B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100770792B1 (en) * 2006-07-31 2007-10-26 세메스 주식회사 Dry etcher comprising etching module and cleaning module
KR20110095548A (en) * 2010-02-19 2011-08-25 주성엔지니어링(주) Substrate processing system and substrate transfer method
KR101240913B1 (en) * 2006-05-29 2013-03-08 주성엔지니어링(주) Substrate processing system for mass production and substrate processing method using the same
KR101422126B1 (en) * 2007-12-31 2014-07-22 주성엔지니어링(주) System for treatmenting substrate and Method for transfering substrate
KR101492258B1 (en) * 2008-07-28 2015-02-13 위순임 In-line substrate processing system
WO2016117815A1 (en) * 2015-01-23 2016-07-28 김규태 Reciprocating-type oled vacuum deposition apparatus

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2969034B2 (en) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 Transfer method and transfer device
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
KR19990025706U (en) * 1997-12-17 1999-07-05 김영환 Wafer Transfer Chamber in Semiconductor Manufacturing Equipment
KR100731602B1 (en) * 2000-05-27 2007-06-22 주성엔지니어링(주) Cluster tool for fabricating a LCD and method of operating the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101240913B1 (en) * 2006-05-29 2013-03-08 주성엔지니어링(주) Substrate processing system for mass production and substrate processing method using the same
KR100770792B1 (en) * 2006-07-31 2007-10-26 세메스 주식회사 Dry etcher comprising etching module and cleaning module
US8685203B2 (en) 2006-07-31 2014-04-01 Semens Co., Ltd. Dry etcher including etching device and cleaning device
KR101422126B1 (en) * 2007-12-31 2014-07-22 주성엔지니어링(주) System for treatmenting substrate and Method for transfering substrate
KR101492258B1 (en) * 2008-07-28 2015-02-13 위순임 In-line substrate processing system
KR20110095548A (en) * 2010-02-19 2011-08-25 주성엔지니어링(주) Substrate processing system and substrate transfer method
WO2016117815A1 (en) * 2015-01-23 2016-07-28 김규태 Reciprocating-type oled vacuum deposition apparatus

Also Published As

Publication number Publication date
KR101039231B1 (en) 2011-06-07
TW200603215A (en) 2006-01-16
TWI358078B (en) 2012-02-11

Similar Documents

Publication Publication Date Title
US7905960B2 (en) Apparatus for manufacturing substrate
JP5134575B2 (en) Method for manufacturing semiconductor devices in a multi-chamber system
KR101331288B1 (en) Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
JP2009105081A (en) Substrate processing apparatus
JPH0936198A (en) Vacuum processor and semiconductor production line using the processor
JP2010192855A (en) Substrate processing apparatus
KR20070103694A (en) Substrate transportation and processing apparatus
JP2009260252A (en) Substrate treatment device, its method, and substrate transport device
JP2014093489A (en) Substrate processing device
JP4417734B2 (en) In-line vacuum processing equipment
KR101039231B1 (en) Apparutus for manufacturing substrate
US20200384636A1 (en) Dual pitch end effector robot apparatus, dual pitch load locks, systems, and methods
US20060026857A1 (en) Multi-chambers system having compact installation set-up for an etching facility for semiconductor device manufacturing
JP2005175310A (en) Substrate treatment apparatus
KR101069537B1 (en) Apparutus for manufacturing substrate
KR100663665B1 (en) Vertical type multi workpiese processing system and method therefor
KR100665662B1 (en) Vertical type multi workpiese processing system and method therefor
JP4369159B2 (en) Vacuum processing equipment
KR101028409B1 (en) Semi-batch type process module and atomic layer deposition apparatus having the same
JP2001168169A (en) Substrate treating system
KR101068769B1 (en) Manufacturing apparatus for large-size LCD which exchanges substrate by conveyor, and transferring method for substrate using the same
JP3469230B2 (en) Vacuum processing equipment
KR101486243B1 (en) Substrate transfer apparatus
JP2007208284A (en) Method for vacuum processing in vacuum processor
KR20100001118A (en) Substrate heat treatment system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140402

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160502

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180403

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 9