KR20050091707A - Backside heating chamber for emissivity independent thermal processes - Google Patents

Backside heating chamber for emissivity independent thermal processes Download PDF

Info

Publication number
KR20050091707A
KR20050091707A KR1020057009023A KR20057009023A KR20050091707A KR 20050091707 A KR20050091707 A KR 20050091707A KR 1020057009023 A KR1020057009023 A KR 1020057009023A KR 20057009023 A KR20057009023 A KR 20057009023A KR 20050091707 A KR20050091707 A KR 20050091707A
Authority
KR
South Korea
Prior art keywords
reflector
wafer
susceptor
process chamber
glass structure
Prior art date
Application number
KR1020057009023A
Other languages
Korean (ko)
Other versions
KR100930148B1 (en
Inventor
아르카디이 브이. 사모일오브
데일 알. 두보이스
랜스 에이. 스쿠더
파울 비. 코미타
로리 디. 워싱톤
데이비드 케이. 칼슨
로저 엔. 앤더슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050091707A publication Critical patent/KR20050091707A/en
Application granted granted Critical
Publication of KR100930148B1 publication Critical patent/KR100930148B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

An apparatus that includes a reflector having a mirrored surface facing down, a glass structure located beneath the reflector, a susceptor within the glass structure having a surface facing up that is capable of holding a part to be processed, and one or more radiant heat sources directed at and located beneath the glass structure.

Description

후면 가열 챔버{BACKSIDE HEATING CHAMBER FOR EMISSIVITY INDEPENDENT THERMAL PROCESSES}BACKSIDE HEATING CHAMBER FOR EMISSIVITY INDEPENDENT THERMAL PROCESSES}

본 발명은 반도체 웨이퍼의 열처리에 관한 것이다. 특히, 본 발명은 프로세싱 동안 웨이퍼의 독립 복사 가열용 방법 및 장치에 관한 것이다. The present invention relates to heat treatment of semiconductor wafers. In particular, the present invention relates to a method and apparatus for independent radiant heating of a wafer during processing.

화학 기상 증착(CVD) 또는 원자 층 증착(ALD)과 같은 기술에 사용하는 박막 제조 장치는 반도체 웨이퍼의 제조에 통상적으로 사용된다. 장치를 형성하는 막에서, 웨이퍼에 대해 반복가능한 온도 분포는 막 균일성을 위해 상당히 중요하다. Thin film fabrication apparatus used in techniques such as chemical vapor deposition (CVD) or atomic layer deposition (ALD) are commonly used in the manufacture of semiconductor wafers. In the film forming device, repeatable temperature distribution over the wafer is of great importance for film uniformity.

현대의 실리콘(Si) 기술에서 가장 보편적인 에피택셜(epi) 막 증착 반응기는 디자인이 유사하다. 석영 반응기 챔버는 웨이퍼 지지부, 및 웨이퍼에 대한 막 균일성을 향상시키도록 회전하는 서셉터를 포함한다. 한 번에 단지 하나의 웨이퍼만이 프로세싱된다. 프로세스 및 캐리어 가스는 층방식 및 웨이퍼 표면에 평행하게 웨이퍼 위로 흐른다. 웨이퍼는 하부에 그리고 반응 챔버 위에 위치하고, 석영을 통해 방사하고 웨이퍼 및 서셉터를 직접 가열하는 텅스텐-할로겐 램프에 의해 가열된다. 램프 및 챔버의 석영벽은 램프를 보호하고 반응기 벽에 Si가 증착되는 것을 방지하기 위해 공랭(air-cooled)된다. 웨이퍼는 전자동으로 로딩 및 언로딩되며, 반응 챔버는 로드록 챔버 및 웨이퍼 이송 챔버에 의해 주위로부터 격리된다. The most common epitaxial film deposition reactors in modern silicon (Si) technology are similar in design. The quartz reactor chamber includes a wafer support and a susceptor that rotates to improve film uniformity for the wafer. Only one wafer is processed at a time. Process and carrier gas flow over the wafer parallel to the layered and wafer surface. The wafer is located below and above the reaction chamber and is heated by a tungsten-halogen lamp that emits through quartz and directly heats the wafer and susceptor. The quartz walls of the lamps and chambers are air-cooled to protect the lamps and to prevent the deposition of Si on the reactor walls. The wafer is automatically loaded and unloaded, and the reaction chamber is isolated from the surroundings by the loadlock chamber and the wafer transfer chamber.

서셉터는 방사 가열원으로부터의 국부적인 온도 변화에 안정하도록 SiC 코팅된 그래파이트 디스크일 수 있다. 500-900℃ 범위의 온도에서, epi Si(실리콘) 및 SiGe(실리콘 게르마늄)의 성장은 매우 온도 의존적이며, epi 성장은 종종 선택적 또는 블랑켓 성장 모드에서 패턴화된 웨이퍼에 영향을 준다. The susceptor may be a SiC coated graphite disk to be stable to local temperature changes from the radiant heating source. At temperatures ranging from 500-900 ° C., the growth of epi Si (silicon) and SiGe (silicon germanium) is very temperature dependent, and epi growth often affects patterned wafers in selective or blanket growth modes.

(회로 및 소자를 구비한) 패턴화된 웨이퍼는 블랑켓 웨이퍼와는 상이한 방사 특성을 갖는다. 게다가, 웨이퍼에 대한 패턴은 다른 웨이퍼에 대한 상이한 패턴과 다른 방사 특성을 가질 수 있다. 열은 상이한 패턴을 갖는 웨이퍼와 다른 제1 패턴을 갖는 웨이퍼로부터 방사되며, 변화할 수 있는, 다른 패턴을 갖는 웨이퍼의 온도 분포는 웨이퍼상에 배치될 막의 증착율 및 특성을 변화시킬 수 있다. 웨이퍼상의 변화하는 온도 프로파일이 반응율에 영향을 줄 수 있기 때문에, 변화하는 온도 프로파일은 막 증착율을 결정할 수 있다. 그 결과, 웨이퍼 패턴에서의 변화는 웨이퍼의 적절한 가열이 완료되는 것을 보장하는 프로세스로의 복귀를 필요로 할 수 있다. 막 증착 프로세스 외에도, 베이킹, 어닐링 등의 온도 처리를 포함하는 소정의 프로세싱이 웨이퍼 방사에 의해 영향을 받을 수 있다. Patterned wafers (with circuits and elements) have different radiation characteristics than blanket wafers. In addition, the pattern for the wafer may have different radiation characteristics than the other pattern for the other wafer. Heat is radiated from wafers having different patterns and wafers having different first patterns, and the temperature distribution of the wafers having different patterns, which can vary, can change the deposition rate and characteristics of the film to be placed on the wafer. Since the changing temperature profile on the wafer can affect the reaction rate, the changing temperature profile can determine the film deposition rate. As a result, changes in the wafer pattern may require a return to the process to ensure that proper heating of the wafer is completed. In addition to the film deposition process, certain processing may be affected by wafer spinning, including temperature treatment such as baking, annealing, and the like.

종종 Epi CVD 장치와 같은 열 프로세싱 반응기에서는, 기판이 소자 장착면(device side) 및 소자 비장착면(non-device side)으로부터 가열된다. 이러한 이중 가열 방식을 사용할 경우, 웨이퍼에 대한 온도 분포는 막이 증착되는 표면의 방사율에 매우 의존한다. 그 결과, 증착율을 웨이퍼상의 다양한 위치에서 상이할 것이다. 증착율을 또한 상이한 패턴의 다른 방사율로 인해 패턴의 전면에 대한 다양한 패턴들을 갖는 웨이퍼들 사이에서 변화한다. 더욱이, 증착율은 증착되는 종이 웨이퍼의 방사율을 변화시킬 수 있기 때문에 증착 그 자체 동안 변화할 수 있다. 방사율에 대한 증착율의 의존성 이외에, 증착된 막의 화학적 조성은, 성장된 막들로의 종의 혼입이 온도 종속적이기 때문에 방사에 민감할 것이다. Often in a thermal processing reactor, such as an Epi CVD apparatus, the substrate is heated from the device side and the non-device side. When using this dual heating method, the temperature distribution over the wafer is very dependent on the emissivity of the surface on which the film is deposited. As a result, deposition rates will differ at various locations on the wafer. The deposition rate also varies between wafers with various patterns for the front side of the pattern due to different emissivity of different patterns. Moreover, the deposition rate can change during the deposition itself because it can change the emissivity of the paper wafer being deposited. In addition to the dependence of the deposition rate on emissivity, the chemical composition of the deposited film will be sensitive to radiation because the incorporation of species into grown films is temperature dependent.

도1은 독립 방사 열 프로세싱용 후면 가열 챔버를 나타낸 도면이다. 1 shows a rear heating chamber for independent radiant thermal processing.

도2는 상부가 평평한 돔을 갖는 후면 가열 챔버를 나타낸 도면이다. Figure 2 shows a rear heating chamber with a flat dome on the top.

도3a는 리브가 포함된 상부가 평평한 돔을 갖는 후면 가열 챔버를 나타낸 도면이다. FIG. 3A shows a rear heating chamber having a top dome with ribs. FIG.

도3b는 상부가 평평한 리브형 돔을 갖는 후면 가열 챔버를 나타낸 도면이다. Figure 3b shows a rear heating chamber having a ribbed dome with a flat top.

도4는 클러스터 툴 시스템을 나타낸 도면이다. 4 illustrates a cluster tool system.

웨이퍼 방사에 대해 웨이퍼에 대한 온도 분포의 의존성을 감소시키는 웨이퍼 가열 및 모니터링 장치가 개시된다. 상기 장치는 석영 돔내의 프로세싱 챔버에 배치된 웨이퍼를 홀딩시킬 수 있는 서셉터를 제공한다. 석영 돔 외부에 배치된 램프들의 어레이는 서셉터 후면을 가열한다. 거울면이 웨이퍼의 소자 장착면을 향하도록 거울면이 석영 돔 외부에 배치되어, 열을 웨이퍼로 반사시킨다. 반사기의 형상은 최적의 온도 균일성을 제공하도록 최적화된다. 챔버는 램프로부터의 광이 서셉터 주위로 누설되는 것을 방지하여 웨이퍼를 직접 가열시킨다. 광학 온도계가 반사기 위에 배치되어 반사기의 홀을 통해 웨이퍼의 소자 장착면의 온도를 판독할 수 있다. A wafer heating and monitoring apparatus is disclosed that reduces the dependence of the temperature distribution on the wafer on wafer spinning. The apparatus provides a susceptor capable of holding a wafer disposed in a processing chamber in a quartz dome. An array of lamps disposed outside the quartz dome heats the susceptor back side. The mirror surface is disposed outside the quartz dome so that the mirror surface faces the device mounting surface of the wafer, reflecting heat to the wafer. The shape of the reflector is optimized to provide optimum temperature uniformity. The chamber prevents light from the lamp from leaking around the susceptor to directly heat the wafer. An optical thermometer may be placed over the reflector to read the temperature of the device mounting surface of the wafer through the holes in the reflector.

본 발명은 덧붙인 도면을 참조로 예를 들어 설명되지만, 이에 한정되지 않으며, 동일한 도면 번호는 유사한 구성 요소를 나타낸다. The invention has been described by way of example with reference to the accompanying drawings, but is not limited thereto, and like reference numerals denote like elements.

웨이퍼 열처리 장치가 개시된다. 장치는 웨이퍼의 후면으로 열을 제공하는데, 거울면이 웨이퍼의 맞은편으로 탈출하는 열을 반사시킨다. 웨이퍼 후면(소자 비장착면) 가열은 웨이퍼 가열시 웨이퍼의 소자 장착면의 방사율에 대한 영향을 감소시킨다. 이러한 장치는 웨이퍼의 양면에 직사 방사열을 제공하는 장치에 비해 막 증착시 웨이퍼 방사의 종속성을 감소시킨다. 이러한 열 프로세싱은 예를 들어, 실리콘, 실리콘 게르마늄, 및 실리콘 게르마늄 카본 막과 같은 다양한 코팅의 에피택셜 증착일 수 있다. 증착은 화학기상증착 또는 원자층 증착과 같은 막증착을 위한 다양한 방법 중 하나로 달성될 수 있다. 본 발명이 사용될 수 있는 다른 프로세스는 산화실리콘, 질화실리콘, 다결정 증착 및 더욱 광범위하게는 소정의 온도 의존 처리 또는 프로세스이지만, 이에 한정되지는 않는다. A wafer heat treatment apparatus is disclosed. The device provides heat to the backside of the wafer, with the mirror surface reflecting heat escaping opposite the wafer. Wafer backside (element free mounting surface) heating reduces the influence on the emissivity of the device mounting surface of the wafer upon wafer heating. Such devices reduce the dependency of wafer radiation on film deposition as compared to devices that provide direct radiant heat on both sides of the wafer. Such thermal processing can be, for example, epitaxial deposition of various coatings such as silicon, silicon germanium, and silicon germanium carbon films. Deposition can be accomplished in one of a variety of ways for film deposition, such as chemical vapor deposition or atomic layer deposition. Other processes in which the present invention may be used include, but are not limited to, silicon oxide, silicon nitride, polycrystalline deposition and, more broadly, certain temperature dependent treatments or processes.

이하에서는 설명의 목적으로, 본 발명의 완전한 이해를 위해 다양한 특정 실시예가 설명된다. 그러나, 당업자라면 이러한 특정 실시예 없이도 본원 발명을 실행할 수 있음이 명백하다. 몇몇 예에서, 공지된 구조 및 장치가 본 발명을 모호하게 하지 않기 위해 상세한 도시보다는 블록도의 형태로 도시된다. 이러한 실시예는 당업자가 본 발명을 충분히 실시할 수 있을 정도로 상세히 설명되며, 다른 실시예가 사용될 수 있으며, 논리적, 기계적, 전기적 및 다른 변화가 본 발명의 사상을 벗어나지 않고 행해질 수 있음을 이해할 것이다. In the following description, for purposes of explanation, numerous specific embodiments are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to one skilled in the art that the present invention may be practiced without these specific embodiments. In some instances, well-known structures and devices are shown in block diagram form, rather than in detail, in order not to obscure the present invention. These embodiments are described in detail so that those skilled in the art can fully practice the present invention, it will be understood that other embodiments may be used, and that logical, mechanical, electrical, and other changes may be made without departing from the spirit of the invention.

본 발명은 조작을 실행하는 장치에 의해 실행될 수 있다. 이러한 장치는 필요한 목적을 위해 특정하게 구성될 수도 있고, 원하는 목적을 달성하기 위해 선택적으로 활성화되거나 재구성된 범용 챔버를 포함할 수도 있다. The present invention can be executed by an apparatus for performing an operation. Such a device may be specifically configured for the required purpose or may include a general purpose chamber that is selectively activated or reconfigured to achieve the desired purpose.

다양한 조건과 기술이 통신, 프로토콜, 응용예, 실시예, 메커니즘 등을 설명하기 위해 당업자에 의해 사용될 수 있음이 이해될 것이다. It will be understood that various conditions and techniques may be used by those skilled in the art to describe communications, protocols, applications, embodiments, mechanisms, and the like.

도1은 설명된 기술이 적용된 독립 방사 열처리용 후면 가열 챔버를 도시한다. 일 실시예에서, 독립 방사 열처리(프로세스 챔버)(100)용 후면 가열 챔버는 서셉터(106)의 후면(104)을 가열하기 위해 방사 열 램프(102)의 배열을 포함한다. 웨이퍼(108)(일정 비율은 아님)는 프로세스 챔버(100)로 도입되어 로딩 포트(103)를 통해 서셉터(106) 위로 배치될 수 있다. 서셉터(106)의 홀들을 통해 지나가는 핀 리프트(105)는 융기하여 웨이퍼를 수용한 후 아래로 이동하여 서셉터(106)의 전면(110)상에 웨이퍼(108)를 소자 장착면(116)이 위를 향하게 위치시킨다. 서셉터(106)는 프로세스 챔버(100) 내부에 상부 돔(128) 및 하부 돔(114) 내에 배치될 수 있는데, 돔(128 및 114)은 석영과 같은 투명 유리로 만들어질 수 있다. 램프(102)의 어레이와 같은 하나 이상의 램프들은 하부 돔(114)의 외부 및 아래에 배치될 수 있다. 로딩 포트(103)는 램프들(102)로부터 웨이퍼 전면(소자 장착면)(116)으로 열의 누설을 최소화 또는 방지하기 위해 서셉터(106)의 에지를 라이닝할 수 있는 라이너로서 동작하는 하나 이상의 링들 또는 부분 링들을 포함할 수 있다. 라이너(112)는 불투명 석영과 같은 비-광전도성 재료로 만들어질 수 있다. 불투명 석영의 라이너(112)를 사용함으로써, 웨이퍼(108)에 도달하는 열 에너지의 대부분은 서셉터(106) 주위의 램프들(102)로부터 웨이퍼 전면(116으로의 누설에 의하기보다는 서셉터를 통해 전도된다. 서셉터(106)를 통한 웨이퍼(108)로의 열 전달이 전도성이 있고 결국 방사 독립적이기 때문에, 웨이퍼(108)상의 막의 증착은 방사 독립적이다. 1 shows a rear heating chamber for independent radiant heat treatment to which the described technique has been applied. In one embodiment, the backside heating chamber for independent radiant heat treatment (process chamber) 100 includes an arrangement of radiant heat lamps 102 to heat the backside 104 of the susceptor 106. Wafer 108 (but not to scale) may be introduced into process chamber 100 and placed over susceptor 106 through loading port 103. The pin lift 105 passing through the holes in the susceptor 106 rises to accommodate the wafer and then moves downward to move the wafer 108 on the front surface 110 of the susceptor 106 onto the device mounting surface 116. Place it face up. The susceptor 106 may be disposed within the upper dome 128 and the lower dome 114 inside the process chamber 100, where the domes 128 and 114 may be made of transparent glass, such as quartz. One or more lamps, such as an array of lamps 102, may be disposed outside and below the lower dome 114. The loading port 103 is one or more rings that act as a liner capable of lining the edge of the susceptor 106 to minimize or prevent leakage of heat from the lamps 102 to the wafer front surface (device mounting surface) 116. Or partial rings. Liner 112 may be made of a non-photoconductive material, such as opaque quartz. By using the liner 112 of opaque quartz, most of the thermal energy reaching the wafer 108 is passed through the susceptor rather than by leakage from the lamps 102 around the susceptor 106 to the wafer front surface 116. Since the heat transfer to the wafer 108 through the susceptor 106 is conductive and eventually radiation independent, the deposition of the film on the wafer 108 is radiation independent.

서셉터(106)로부터의 웨이퍼(102) 후면 가열로 인해, 웨이퍼 전면(소자 장착면)(116)상의 온도 측정을 위한 광학 온도계(118)의 사용이 실행될 수 있다. 광학 온도계(118)에 의한 이러한 온도 측정은 상기 방식으로 웨이퍼 전면(116)을 가열하는 것이 방사 독립적이기 때문에 미지의 방사율을 갖는 웨이퍼의 소자 장착면(116)상에서 행해질 수 있다. 그 결과, 광학 온도계(118)는 서셉터(106)로부터 전도하는 가열된 웨이퍼(108)로부터의 방사만을 감지할 수 있으며, 램프들(102)로부터의 최소한의 후면 방사가 웨이퍼 전면(116) 또는 광학 온도계(118)에 직접 도달한다. Due to the heating of the wafer 102 backside from the susceptor 106, the use of the optical thermometer 118 for temperature measurement on the wafer front side (element mounting surface) 116 can be performed. This temperature measurement by the optical thermometer 118 may be made on the element mounting surface 116 of the wafer having an unknown emissivity since heating the wafer front side 116 in this manner is radiation independent. As a result, the optical thermometer 118 may only detect radiation from the heated wafer 108 that conducts from the susceptor 106, such that minimal backside radiation from the lamps 102 may cause the wafer front surface 116 or Reach the optical thermometer 118 directly.

반사기(122)는 상부 돔(128)의 외부에 배치되어 웨이퍼(108)에서 방사하는 적외선을 웨이퍼(108)상으로 다시 반사시킨다. 반사된 적외선으로 인해, 그렇지 않으면 시스템(100) 밖으로 이탈할 열을 수용함으로써 가열 효율은 향상될 것이다. 또다른 특징은, 웨이퍼를 방사상으로 이탈하고, 연속적으로 다시 웨이퍼로 반사되는 열로 인해, 이러한 열의 주파수 분포가 웨이퍼(108)의 거의 흑체 방사에 도달할 것이라는 것이다. 그 결과, 웨이퍼 전면(116)으로 반사하는 웨이퍼 하부로부터의 직사 광 누설은 웨이퍼에 대한 전체 열의 작은 비율에 관련하여, 누설로 인한 전면(116)의 방사 영향은 최소화될 것이다. The reflector 122 is disposed outside the upper dome 128 to reflect the infrared radiation emitted from the wafer 108 onto the wafer 108 again. Due to the reflected infrared light, the heating efficiency will be improved by receiving heat that would otherwise escape the system 100. Another feature is that the frequency distribution of this heat will reach nearly black body radiation of the wafer 108 due to the heat that radially leaves the wafer and is subsequently reflected back to the wafer. As a result, direct light leakage from the bottom of the wafer that reflects to the wafer front side 116 is related to a small percentage of the total heat to the wafer, so that the radiation effect of the front side 116 due to the leakage will be minimized.

웨이퍼 방사에 대한 웨이퍼 가열의 의존성을 감소시킴으로써, 웨이퍼의 소자 장착면(116)의 표면 온도를 판독하기 위한 광학 온도계(118)의 사용은 효율적이 될 수 있다. 램프로 인한 감소된 광의 비율이 광학 온도계에서 "기생" 신호의 비율을 감소시키기 때문에 광학 온도계(118)의 효율성이 초래된다. 게다가, 웨이퍼 가열이 누설로 인한 낮은 에러를 갖는 방사 독립적이기 때문에, 광학 온도계(118)는 웨이퍼 회로 설계(패턴)가 변화될 경우, 재조정될 필요가 없다.By reducing the dependence of wafer heating on wafer radiation, the use of optical thermometer 118 to read the surface temperature of the device mounting surface 116 of the wafer can be efficient. The efficiency of the optical thermometer 118 is caused because the reduced ratio of light due to the lamp reduces the ratio of "parasitic" signals in the optical thermometer. In addition, since the wafer heating is radiation independent with low error due to leakage, the optical thermometer 118 does not need to be readjusted when the wafer circuit design (pattern) changes.

일 실시예에서, 반사기(122)는 알루미늄 또는 스테인레스 스틸과 같은 금속으로 만들어질 수 있다. 알루미늄은 반사기(122)를 냉각시키기 위한 물과 같은 유체(126)를 운반하는 규격화된 채널(124)을 가질 수 있다. 게다가, 반사 효율은 금과 같은 높은 반사율의 코팅을 사용하여 반사기 영역을 코팅함으로써 향상될 수 있다. 반사기(122)는 반사기의 중앙과 같은 위치를 통과하는 홀(120)을 가질 수 있으며, 이를 통해 광학 온도계(118)를 사용하여 웨이퍼(108)의 온도를 감지한다. 일 실시예에서, 서셉터(106)는 그래파이트와 같은 재료로 생산되고 실리콘 카바이드로 코팅될 수 있다. 서셉터(106)는 지주(130), 및 웨이퍼(108)를 웨이퍼의 로딩 및 언로딩 동안 웨이퍼를 상부 및 하부 방향(134)으로 이동시킬 수 있는 중앙축(132)에 의해 지지될 수 있다.In one embodiment, reflector 122 may be made of a metal such as aluminum or stainless steel. Aluminum may have a normalized channel 124 that carries a fluid 126 such as water to cool the reflector 122. In addition, the reflection efficiency can be improved by coating the reflector area using a high reflectivity coating such as gold. The reflector 122 may have a hole 120 passing through the same position as the center of the reflector, through which the optical thermometer 118 senses the temperature of the wafer 108. In one embodiment, susceptor 106 may be produced from a material such as graphite and coated with silicon carbide. The susceptor 106 may be supported by a strut 130 and a central axis 132 that may move the wafer in the upper and lower directions 134 during loading and unloading of the wafer 108.

일 실시예(도1)에서, 프로세스 챔버(110)의 상부 돔(128)은 만곡되어 있다. 상부 돔(128)의 석영 유리 재료의 만곡 정도 및 두께는 상부 돔(128)의 측면에 작용하는 압력차에 종속될 수 있다. 이러한 실시예에서, 외부 압력은 일종의 대기압이며 상부 돔(128) 및 하부 돔(114) 내의 프로세싱 동안의 압력은 약 0.1-700Torr이다. 그 결과, 상부 돔(128)의 석영 유리 두께는 약 0.12인치이며 만곡 반경은 약 15.0인치가 될 수 있다. In one embodiment (FIG. 1), the upper dome 128 of the process chamber 110 is curved. The degree of curvature and thickness of the quartz glass material of the upper dome 128 may depend on the pressure difference acting on the side of the upper dome 128. In this embodiment, the external pressure is a type of atmospheric pressure and the pressure during processing in the upper dome 128 and the lower dome 114 is about 0.1-700 Torr. As a result, the quartz glass thickness of the upper dome 128 may be about 0.12 inches and the radius of curvature may be about 15.0 inches.

도2에 도시된 또다른 실시예에서, 석영 돔의 두 측(230 및 232)의 압력은 거의 동일하게 유지될 수 있다. 구성에는 관계없이, 상부 돔(228)은 평평할 수 있고 반사기(222)는 효율을 증대시키기 위해 웨이퍼(208)에 근접하게 배치될 수 있다. 상부 돔(228)의 두 측에 작용하는 동일한 압력을 보장하기 위해, 상부 돔(228)의 두 측(230 및 232)의 공간은 서로에 연결될 수 있다. 만일 상부 돔(228)의 두 측(230 및 232)의 공간이 연결되지 않으면, 압력 제어 시스템(미도시)이 상부 돔(228)을 파손하지 않기 위해 두 공간(230 및 232)의 압력을 밀접하게 보장하게 적소에 배치될 수 있다.In another embodiment shown in FIG. 2, the pressures on the two sides 230 and 232 of the quartz dome may remain about the same. Regardless of the configuration, the upper dome 228 can be flat and the reflector 222 can be placed proximate the wafer 208 to increase efficiency. To ensure the same pressure acting on both sides of the upper dome 228, the spaces of the two sides 230 and 232 of the upper dome 228 may be connected to each other. If the spaces of the two sides 230 and 232 of the upper dome 228 are not connected, the pressure control system (not shown) will closely close the pressure of the two spaces 230 and 232 so as not to break the upper dome 228. Can be placed in place to ensure.

도3a 및 3b에 도시된 다른 실시예에서, 프로세스 챔버의 상부 돔(328)은 리브(330)로 강화된다. 상부 돔(32)에 작용하는 압력차기 현저히 존재하는 경우, 상부 돔(328)은 이러한 강화 리브(330)를 사용하여 더 강하게 형성될 수 있다. 리브(330)를 사용한 결과로서, 상부 돔(328)은 웨이퍼(308)와 마주하면서 실질적으로 평평하게 유지될 수 있다. 도3a는 서셉터(334)에 홀이 존재하고 웨이퍼(308)가 서셉터(306)와 홀의 에지부에서 접촉할 수 있는 무슨 구조(centerless)의 서셉터(306)를 도시한다. 무심 서셉터(centerless susceptor)(306)는 웨이퍼(308)의 후면을 직접 영향을 미치는 램프(302)로부터의 방사를 가능하게 하며, 열은 웨이퍼의 전면(316)을 가열하기 위해 웨이퍼(308)의 두께를 통해 전파할 수 있다. 단지 하나의 리브(330)가 도시되었지만, 다양한 리브의 설계가 압력차에 대처하기 위해 가능하다. 또한 도1-3에 도시된 원형 구조 이외에 다양한 챔버 형태가 가능하다. 압력차를 견디는 상부 돔(328)의 성능을 향상시키기 위해, 직사각형 또는 타원형 챔버 형태도 가능하다. In another embodiment, shown in FIGS. 3A and 3B, the upper dome 328 of the process chamber is reinforced with ribs 330. If there is a significant pressure differential acting on the upper dome 32, the upper dome 328 can be formed stronger using these reinforcing ribs 330. As a result of using the ribs 330, the upper dome 328 may remain substantially flat facing the wafer 308. FIG. 3A shows what centerless susceptor 306 is present in the susceptor 334 and the wafer 308 may contact the susceptor 306 at the edge of the hole. A centerless susceptor 306 enables radiation from the lamp 302 that directly affects the backside of the wafer 308, with heat being applied to the wafer 308 to heat the front surface 316 of the wafer. It can propagate through the thickness of. Although only one rib 330 is shown, various rib designs are possible to cope with pressure differentials. In addition to the circular structure shown in Figures 1-3, various chamber shapes are possible. In order to improve the performance of the upper dome 328 to withstand the pressure differential, rectangular or elliptical chamber shapes are also possible.

도4는 클러스터 툴 시스템을 도시한다. Epi Centura와 같은 클러스터 툴(400)은 다수의 후면 가열 챔버(402 및 402')를 포함하는데, 웨이퍼(403)는 카트리지(401 및 401')로부터 챔버(402 및 402') 또는 챔버(402 및 402')로부터 로봇식으로 공급(407)된다. 후면 가열 챔버(402 및 402')는 모두 에피택셜 증착과 같은 유사한 기능을 수행하거나, 또는 각각 상이한 기능을 수행한다. 도4에는 저온 epi 증착용 시스템의 구조가 도시되어 있으며, 이는 사전 에피택셜 세정을 위한 1개의 EpiClean 챔버(404) 및 3개의 증착 챔버(402 및 402')가 도시된다. 각각의 챔버(402, 406' 및 404)는 광학 온도계(406 및 406')를 포함할 수 있다. 온도계(406 및 406')는 개별적으로 제어될 수 있거나, 다수의 채널을 갖는 단일 제어 유닛(408)에 의해 동시에 제어될 수 있다. 웨이퍼 위로의 직접적인 램프 방사가 최소화되기 때문에, 광학 온도계에서의 기생 신호가 또한 최소화될 것이다. 광학 온도계(406 및 406')는 웨이퍼 크기 및/또는 회로 패턴의 각각의 변화에 따라 재조정되어야 하지 않기 때문에, 프로세싱 사이클 시간을 단축시킨다. 4 shows a cluster tool system. Cluster tool 400, such as Epi Centura, includes a plurality of backside heating chambers 402 and 402 ', wherein wafer 403 is a chamber 402 and 402' or chamber 402 from cartridges 401 and 401 '. 402 'from a robotic supply (407). Rear heating chambers 402 and 402 'both perform similar functions, such as epitaxial deposition, or each performs a different function. The structure of the system for low temperature epi deposition is shown in FIG. 4, which shows one EpiClean chamber 404 and three deposition chambers 402 and 402 'for pre-epitaxial cleaning. Each chamber 402, 406 ′ and 404 may include an optical thermometer 406 and 406 ′. The thermometers 406 and 406 ′ can be controlled individually or can be controlled simultaneously by a single control unit 408 having multiple channels. Since direct lamp radiation over the wafer is minimized, parasitic signals at the optical thermometer will also be minimized. Optical thermometers 406 and 406 'shorten processing cycle times because they do not have to be readjusted with each change in wafer size and / or circuit pattern.

후면 가열만이 온도 의존 프로세스에서 방사율에 영향을 받지 않는다. 이는 웨이퍼 회로 설계(패턴) 또는 고유한 막 방사율에 무관하게 열처리의 반복성을 가능하게 한다. 프로세스를 조절하기 위해 소비되는 시간은 이러한 특징으로 인해 단축될 수 있다. 반응기(프로세스 챔버)는 상부 램프 어레이의 존재로 인해 더욱 소형화될 수 있다. 결론적으로, 이러한 장치는 미지의 방사율을 갖는 패턴화된 웨이퍼의 웨이퍼 온도의 신속한 검출을 가능하게 한다. Only rear heating is not affected by emissivity in temperature dependent processes. This allows for repeatability of heat treatment regardless of wafer circuit design (pattern) or inherent film emissivity. The time spent adjusting the process can be shortened due to this feature. The reactor (process chamber) can be further miniaturized due to the presence of the top lamp array. In conclusion, such an apparatus allows for the rapid detection of wafer temperatures of patterned wafers with unknown emissivity.

상기와 같이, 웨이퍼 후면 가열, 웨이퍼로의 웨이퍼 방사열 반사 및 램프 열 누설의 영향을 감소시킴으로서 방사 독립적인 웨이퍼 가열용 장치를 개시하였다. 비록 본 발명이 특정한 실시예를 개시하였지만, 다양한 변경과 변화가 청구항에 설명된 본 발명의 사상을 벗어나지 않고 행해질 수 있다. 결론적으로 상세한 설명 및 도면은 본원 발명을 한정하기보다는 설명을 위한 것이다. As described above, a device for radiation-independent wafer heating has been disclosed by reducing the effects of wafer backside heating, reflection of wafer radiation to the wafer, and lamp heat leakage. Although the present invention has disclosed certain embodiments, various changes and modifications can be made without departing from the spirit of the invention as set forth in the claims. In conclusion, the detailed description and drawings are for illustrative rather than limiting the invention.

Claims (17)

하향 거울면을 구비한 반사기;A reflector having a downward mirror surface; 상기 반사기 하부에 위치한 유리 구조물;A glass structure located below the reflector; 상기 유리 구조물 내에 위치하고 프로세싱될 대상물을 홀딩할 수 있는 표면이 상향하는 서셉터; 및 A susceptor positioned within the glass structure and having a surface upwardly capable of holding an object to be processed; And 상기 유리 구조물을 향하고 그 하부에 배치된 하나 이상의 방사 열원을 포함하는 장치. And at least one radiant heat source facing and disposed beneath the glass structure. 제1항에 있어서, 상기 서셉터와 상기 반사기 사이에 배치된 상기 유리 구조물의 일부는 압력차에 구조적으로 대처하기 위해 만곡된 것을 특징으로 하는 장치. The apparatus of claim 1, wherein a portion of the glass structure disposed between the susceptor and the reflector is curved to structurally cope with the pressure difference. 제1항에 있어서, 상기 서셉터와 상기 반사기 사이에 배치된 상기 유리 구조물의 일부는 압력차에 구조적으로 대처하기 위해 리빙(ribbed)된 것을 특징으로 하는 장치.The apparatus of claim 1, wherein a portion of the glass structure disposed between the susceptor and the reflector is ribbed to structurally cope with pressure differentials. 제1항에 있어서, 상기 반사기는 반사성 재료로 된 외부층을 포함하는 것을 특징으로 하는 장치. The apparatus of claim 1, wherein the reflector comprises an outer layer of reflective material. 제1항에 있어서, 상기 반사기는 온도를 측정하기 위한 홀을 포함하는 것을 특징으로 하는 장치. The apparatus of claim 1 wherein the reflector comprises a hole for measuring temperature. 제5항에 있어서, 상기 홀은 대략 0.50-1.50 인치의 직경을 갖는 것을 특징으로 하는 장치. 6. The apparatus of claim 5, wherein the hole has a diameter of approximately 0.50-1.50 inches. 제1항에 있어서, 상기 반사기는 실질적으로 평평한 것을 특징으로 하는 장치. The apparatus of claim 1 wherein the reflector is substantially flat. 제1항에 있어서, 상기 반사기는 상기 대상물 위로 열을 균일하게 집중시키도록 만곡된 것을 특징으로 하는 장치. The apparatus of claim 1, wherein the reflector is curved to uniformly concentrate heat over the object. 제1항에 있어서, 상기 반사기는 수냉식 처리된 것을 특징으로 하는 장치. The apparatus of claim 1 wherein the reflector is water cooled. 제1항에 있어서, 상기 대상물은 반도체 웨이퍼인 것을 특징으로 하는 장치. The apparatus of claim 1, wherein the object is a semiconductor wafer. 제10항에 있어서, 상기 반도체 웨이퍼는 소자 장착면(device side)이 위를 향하는 것을 특징으로 하는 장치. 11. The apparatus of claim 10, wherein the semiconductor wafer has a device side facing up. 제1항에 있어서, 상기 서셉터는 무심 구조(centerless)이며, 방사열은 상기 웨이퍼의 후면을 직접 가열하는 것을 특징으로 하는 장치. The apparatus of claim 1, wherein the susceptor is centerless and radiant heat directly heats the backside of the wafer. 하향 거울면을 구비한 평면형 반사기;A planar reflector having a downward mirror surface; 상기 반사기 하부에 위치한 석영 프로세스 챔버;A quartz process chamber located below the reflector; 상기 석영 프로세스 챔버 내에 위치하고 웨이퍼를 홀딩할 수 있는 표면이 상향하는 서셉터; A susceptor located in the quartz process chamber and having a surface upwardly capable of holding a wafer; 상기 서셉터와 상기 반사기 사이에 배치되고 균일한 두께를 갖는 상기 석영 프로세스 챔버의 일부;A portion of the quartz process chamber disposed between the susceptor and the reflector and having a uniform thickness; 상기 서셉터를 향하며 상기 석영 프로세스 챔버 하부에 배치된 하나 이상의 방사 열원; 및 One or more radiant heat sources facing the susceptor and disposed below the quartz process chamber; And 상기 평면형 반사기의 홀을 통해 상기 웨이퍼 표면 온도를 판독하도록 배치된 온도 감지 장치를 포함하는 웨이퍼 처리 장치. And a temperature sensing device arranged to read the wafer surface temperature through the hole in the planar reflector. 제13항에 있어서, 상기 석영 프로세스 챔버의 공간과 상기 반사기 주위의 공간을 연결하여 압력차가 없게 하는 통로를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치. 14. The wafer processing apparatus of claim 13, further comprising a passage connecting the space of the quartz process chamber and the space around the reflector so that there is no pressure difference. 제13항에 있어서, The method of claim 13, 상기 석영 프로세스 챔버에 작용하는 제1 압력, A first pressure acting on the quartz process chamber, 상기 석영 프로세스 챔버와 상기 반사기 사이에 작용하는 제2 압력, 및 A second pressure acting between the quartz process chamber and the reflector, and 상기 두 압력을 대등하게 할 수 있는 압력 제어 시스템을 더 포함하는 것을 특징으로 하는 웨이퍼 처리 장치. And a pressure control system capable of equalizing the two pressures. 제13항에 있어서, 상기 반사기는 반사성 재료로 된 외부층을 포함하는 것을 특징으로 하는 웨이퍼 처리 장치. 14. The wafer processing apparatus of claim 13, wherein the reflector comprises an outer layer of reflective material. 제16항에 있어서, 상기 반사성 재료는 금인 것을 특징으로 하는 웨이퍼 처리 장치. 17. The wafer processing apparatus of claim 16, wherein the reflective material is gold.
KR1020057009023A 2002-11-22 2002-11-22 Rear heating chamber KR100930148B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/037752 WO2004049405A1 (en) 2002-11-22 2002-11-22 Backside heating chamber for emissivity independent thermal processes

Publications (2)

Publication Number Publication Date
KR20050091707A true KR20050091707A (en) 2005-09-15
KR100930148B1 KR100930148B1 (en) 2009-12-08

Family

ID=32391443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057009023A KR100930148B1 (en) 2002-11-22 2002-11-22 Rear heating chamber

Country Status (5)

Country Link
EP (1) EP1568068A1 (en)
JP (1) JP4640938B2 (en)
KR (1) KR100930148B1 (en)
CN (1) CN1695228A (en)
WO (1) WO2004049405A1 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4779644B2 (en) * 2005-12-27 2011-09-28 株式会社Sumco Epitaxial equipment
US20080072820A1 (en) * 2006-06-30 2008-03-27 Applied Materials, Inc. Modular cvd epi 300mm reactor
KR101205433B1 (en) 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 Substrate susceptor and depositon apparatus using sysceptor
KR101921222B1 (en) * 2011-06-30 2018-11-23 삼성디스플레이 주식회사 Substrate treating device using plasma and manufacturing method of organic light emitting diode display using the substrate treating device
FR2987844B1 (en) * 2012-03-07 2014-07-18 Aton Ind REACTOR WITH AN OPEN SUBSTRATE HOLDER
CN104250849B (en) * 2013-06-25 2017-03-22 北京北方微电子基地设备工艺研究中心有限责任公司 Reaction cavity and epitaxial growth equipment
US20150083046A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Carbon fiber ring susceptor
US20150131698A1 (en) * 2013-11-11 2015-05-14 Applied Materials, Inc. Low temperature rtp control using ir camera
JP6210382B2 (en) * 2014-09-05 2017-10-11 信越半導体株式会社 Epitaxial growth equipment
US11057963B2 (en) 2017-10-06 2021-07-06 Applied Materials, Inc. Lamp infrared radiation profile control by lamp filament design and positioning

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2594529B1 (en) * 1986-02-19 1990-01-26 Bertin & Cie APPARATUS FOR HEAT TREATMENT OF THIN PARTS, SUCH AS SILICON WAFERS
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
JP3068914B2 (en) * 1991-09-30 2000-07-24 株式会社東芝 Vapor phase growth equipment
US5418885A (en) * 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JP3088970B2 (en) * 1996-07-12 2000-09-18 東京エレクトロン株式会社 Reforming method and apparatus
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US6494959B1 (en) * 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber

Also Published As

Publication number Publication date
WO2004049405A1 (en) 2004-06-10
JP2006507680A (en) 2006-03-02
JP4640938B2 (en) 2011-03-02
KR100930148B1 (en) 2009-12-08
EP1568068A1 (en) 2005-08-31
CN1695228A (en) 2005-11-09

Similar Documents

Publication Publication Date Title
US6455814B1 (en) Backside heating chamber for emissivity independent thermal processes
US6280183B1 (en) Substrate support for a thermal processing chamber
KR100943427B1 (en) Substrate supporting unit and substrate processing apparatus, manufacturing method of the substrate supporting unit
US6753272B1 (en) High-performance energy transfer method for thermal processing applications
JP3167964B2 (en) Gas injection system and gas injection method for CVD reactor
US9842753B2 (en) Absorbing lamphead face
KR20150130479A (en) Susceptor support shaft with uniformity tuning lenses for epi process
KR100930148B1 (en) Rear heating chamber
KR20030097861A (en) Assembly comprising heat distributing plate and edge support
US10622228B2 (en) Substrate supporting unit, substrate processing apparatus, and method of manufacturing substrate supporting unit
WO2014113133A1 (en) Multizone control of lamps in a conical lamphead using pyrometers
US10147623B2 (en) Pyrometry filter for thermal process chamber
WO2015199974A1 (en) Substrate thermal control in an epi chamber
KR20010076352A (en) Heater temperature uniformity qualification tool
US6035100A (en) Reflector cover for a semiconductor processing chamber
WO2014149369A1 (en) Reflective liners
WO2014176174A1 (en) Absorbing lamphead face
JP4210060B2 (en) Heat treatment equipment
JPS60116778A (en) Chemical deposition and device
KR100963300B1 (en) Substrate supporting unit and manufacturing method of the substrate supporting unit
JPH08264473A (en) Sheeting heat treatment apparatus
TW202413675A (en) Angle adjustment method, adjustable bracket and film processing device thereof
JPH0437692A (en) Base plate heating apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131030

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141030

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181112

Year of fee payment: 10