KR20050025311A - Antireflective silicon-containing compositions as hardmask layer - Google Patents

Antireflective silicon-containing compositions as hardmask layer Download PDF

Info

Publication number
KR20050025311A
KR20050025311A KR1020057000151A KR20057000151A KR20050025311A KR 20050025311 A KR20050025311 A KR 20050025311A KR 1020057000151 A KR1020057000151 A KR 1020057000151A KR 20057000151 A KR20057000151 A KR 20057000151A KR 20050025311 A KR20050025311 A KR 20050025311A
Authority
KR
South Korea
Prior art keywords
layer
composition
antireflective
moiety
radiation
Prior art date
Application number
KR1020057000151A
Other languages
Korean (ko)
Other versions
KR100666023B1 (en
Inventor
앤젤로포울로스마리
아리램아리
구아른니에리씨리차드
후앙우-송
광라니
모로웨인엠
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Priority to KR20057000151A priority Critical patent/KR100666023B1/en
Publication of KR20050025311A publication Critical patent/KR20050025311A/en
Application granted granted Critical
Publication of KR100666023B1 publication Critical patent/KR100666023B1/en

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

Antireflective compositions characterized by the presence of an SiO- containing polymer having pendant chromophore moieties are useful antireflective coating/hardmask compositions in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The compositions are especially useful in lithographic processes used to configure underlying material layers on a substrate, especially metal or semiconductor layers.

Description

하드마스크 층으로서 반사방지 규소 함유 조성물{ANTIREFLECTIVE SILICON-CONTAINING COMPOSITIONS AS HARDMASK LAYER}Anti-reflective silicon-containing composition as a hard mask layer {ANTIREFLECTIVE SILICON-CONTAINING COMPOSITIONS AS HARDMASK LAYER}

마이크로일렉트닉스 산업에서 뿐만 아니라 마이크로스코픽 구조물(예, 마이크로머신, 마그네토레지스티브 헤드 등)의 제작을 비롯한 다른 산업에서, 구조적 형상의 크기를 감소시키고자 하는 지속적인 요구가 존재한다. 마이크로일렉트로닉스 산업에서, 마이크로일렉트로닉 디바이스의 크기를 감소시키고/시키거나, 주어진 칩 크기에 보다 많은 양의 회로를 제공하고자 하는 요구가 존재한다. In the microelectronics industry as well as in other industries, including the fabrication of microscopic structures (eg, micromachines, magnetoresistive heads, etc.), there is a continuing need to reduce the size of structural features. In the microelectronics industry, there is a desire to reduce the size of microelectronic devices and / or to provide larger amounts of circuitry for a given chip size.

효과적인 리쏘그래픽 기법은 형상 크기(feature size)의 감소를 달성시키는 데 필수적이다. 리쏘그래픽 기법은 소정의 기판 상에 패턴을 직접적으로 이미지화시킨다는 측면에서 뿐만 아니라 그러한 이미지화에 전형적으로 사용된 마스크를 제조한다는 측면에서 마이크로스코픽 구조물의 제조에 영향을 미친다. 전형적인 리쏘그래픽 공정은 이미지화 방사선에 방사선-민감성 레지스트를 패턴 방식으로 노출시킴으로써 패턴화된 레지스트 층을 형성시키는 과정을 수반한다. 이어서, 이미지는 노출된 레지스트 층을 임의의 물질(전형적으로 수성 알칼리 현상액)과 접촉시켜 현상시킴으로써 레지스트 층의 부분을 선택적으로 제거하여 소정의 패턴을 드러나게 한다. 이어서, 패턴은 패턴화된 레지스트 층의 개구부 내에서 이면 재료를 에칭함으로써 그 이면 재료(underlying material)에 전사시킨다. 전사가 완료된 후에는, 잔류하는 레지스트 층을 제거한다.Effective lithographic techniques are essential to achieving a reduction in feature size. Lithographic techniques affect the fabrication of microscopic structures not only in terms of directly imaging a pattern on a given substrate, but also in the manufacture of masks typically used for such imaging. Typical lithographic processes involve forming a patterned resist layer by patterning exposing the radiation-sensitive resist to imaging radiation. The image is then developed by contacting and developing the exposed resist layer with any material (typically an aqueous alkaline developer) to selectively remove portions of the resist layer to reveal the desired pattern. The pattern is then transferred to the underlying material by etching the backing material in the openings of the patterned resist layer. After the transfer is completed, the remaining resist layer is removed.

일부 리쏘그래픽 이미지화 공정의 경우, 사용된 레지스트는 레지스트 이면에 있는 층으로 소정의 패턴을 효과적으로 전사시킬 수 있을 정도로 후속적인 에칭 단계에 대한 충분한 내성을 제공하지 못한다. 많은 실제 예(예를 들면, 초박막 레지스트 층이 필요한 경우, 에칭 처리하고자 하는 이면 재료가 두꺼운 경우, 상당할 정도의 에칭 깊이가 필요한 경우 및/또는 소정의 이면 재료에 특정한 부식제(etchant)를 사용하는 것이 필요한 경우)에서, 일명 하드마스크 층이라는 것은 레지스트 층과 패턴화된 레지스트로부터 전사에 의해 패턴화될 수 있는 이면 재료 사이에 중간체로서 사용한다. 그 하드마스크 층은 패턴화된 레스지트 층으로부터 패턴을 수용하고, 이면 재료로 패턴을 전사키는 데 필요한 에칭 공정을 견디어 낼 수 있어야 한다.For some lithographic imaging processes, the resist used does not provide sufficient resistance to subsequent etching steps to effectively transfer the desired pattern to the layer behind the resist. Many practical examples (e.g. when ultra thin resist layers are required, when the backing material to be etched is thick, when a significant amount of etching depth is required and / or by using an etchant specific to a given backing material) In what is needed, a so-called hardmask layer is used as an intermediate between the resist layer and the backing material which can be patterned by transfer from the patterned resist. The hardmask layer must be able to withstand the etching process needed to receive the pattern from the patterned resist layer and transfer the pattern to the backing material.

또한, 이면 재료 층이 레지스트 층을 패턴화시키는 데 사용된 이미지화 방사선을 과도하게 반사하는 경우, 전형적으로 엷은 반사방지 코팅은 이면 층과 레지스트 층 사이에 도포할 수 있다. 일부 실제 예에서, 반사방지 및 하드마스크 기능은 동일한 재료에 의해 작용될 수 있다.Also, where the backing material layer excessively reflects the imaging radiation used to pattern the resist layer, a thin antireflective coating may typically be applied between the backing layer and the resist layer. In some practical examples, the antireflective and hardmask functions may be operated by the same material.

종래 기술에서는 많은 하드마스크 및 반사방지 코팅 재료가 존재하긴 하지만, 개선된 조성물에 대한 요구가 지속되고 있다. 그러한 많은 종래 기술 재료는 기판에 도포하기 어려우므로, 예를 들면 화학적 또는 물리적 증착, 및/또는 고온 소성 처리의 이용이 필요할 수 있다. 고온 소성 처리에 대한 필요성 없이도 스핀-코팅 기법에 의해 도포될 수 있는 반사방지 코팅/하드마스크 조성물을 갖는 것이 바람직하다. 추가로, 이면 포토레지스트에 선택적으로 용이하게 에칭될 수 있으며, 동시에 특히 이면 층이 금속 층인 경우 그 이면 층을 패턴화하는 데 필요한 에칭 공정에 내성이 있는 하드마스크 조성물을 갖는 것이 바람직하다.Although many hardmask and antireflective coating materials exist in the prior art, there is a continuing need for improved compositions. Many such prior art materials are difficult to apply to substrates, and therefore may require the use of, for example, chemical or physical deposition, and / or hot firing treatments. It is desirable to have an antireflective coating / hardmask composition that can be applied by spin-coating techniques without the need for hot firing treatment. In addition, it is desirable to have a hardmask composition that can easily be easily etched into the backside photoresist, while at the same time resisting the etching process required to pattern the backside layer, especially when the backside layer is a metal layer.

발명의 개요Summary of the Invention

본 발명은 리쏘그래픽 공정에서 유용한 신규 반사방지 코팅/하드마스크 조성물을 포함한다. 이 조성물은 스핀-온 도포 기법을 이용하면 도포 가능하면서 동시에 매우 우수한 광학적, 기계적 및 에칭 선택성(etch selectivity) 특성을 제공한다. 반사방지 조성물은 펜던트(pendant) 발색단 부위를 보유하는 SiO 함유 중합체의 존재를 특징으로 한다. 또한, 본 발명은 본 발명의 반사방지 코팅/하드마스크 조성물을 함유하는 리쏘그래픽 구조물, 그러한 리쏘그래픽 구조물을 제조하는 방법 및 그러한 리쏘그래픽 구조물을 사용하여 이면 재료 층을 기판 상에 패턴화시키는 방법을 포함한다. The present invention includes novel antireflective coating / hardmask compositions useful in lithographic processes. The composition is applicable using spin-on application techniques and at the same time provides very good optical, mechanical and etch selectivity properties. The antireflective composition is characterized by the presence of a SiO containing polymer having a pendant chromophore moiety. The invention also includes a lithographic structure containing the antireflective coating / hardmask composition of the invention, a method of making such a lithographic structure, and a method of patterning a backing material layer on a substrate using such a lithographic structure. do.

한 양태에서, 본 발명은 스핀-온 반사방지 층의 형성에 적합한 조성물을 포함하고, 상기 조성물은In one aspect, the invention comprises a composition suitable for forming a spin-on antireflective layer, the composition comprising

(a) SiO 부위 및 발색단 부위를 함유하는 중합체,(a) a polymer containing an SiO moiety and a chromophore moiety,

(b) 가교결합 성분, 및(b) a crosslinking component, and

(c) 산 생성제(c) acid generators

를 포함한다.It includes.

상기 SiO 부위는 실록산 부위 및 실세스퀴옥산 부위로 이루어진 군 중에서 선택되는 것이 바람직하다. SiO 부위는 중합체의 골격 부분 내에 존재하는 것이 바람직하다. 또한, SiO 함유 중합체는 가교결합 성분과의 반응을 위해 중합체를 따라 분포된 다수의 반응성 부위를 함유하는 것이 바람직하다. 산 생성제는 열적 활성화된 산 생성제인 것이 바람직하다.The SiO moiety is preferably selected from the group consisting of siloxane moieties and silsesquioxane moieties. The SiO moiety is preferably present in the backbone portion of the polymer. In addition, the SiO containing polymer preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component. The acid generator is preferably a thermally activated acid generator.

다른 양태에서, 본 발명은 기판 상의 리쏘그래픽 구조물을 포함하며, 상기 구조물은 In another aspect, the invention includes a lithographic structure on a substrate, the structure

(a) SiO 부위 및 발색단 부위를 함유하는 가교결합된 중합체를 포함하는 반사방지 층, 및(a) an antireflective layer comprising a crosslinked polymer containing a SiO moiety and a chromophore moiety, and

(b) 반사방지 층 위로 방사선 민감성 이미지화 층(b) radiation sensitive imaging layer over the antireflective layer

을 포함한다.It includes.

또다른 양태에서, 본 발명은 기판 상에 패턴화된 재료 형상을 형성시키는 방법을 포함하며, 상기 방법은In another aspect, the invention includes a method of forming a patterned material shape on a substrate, the method comprising

(a) 기판 상에 재료 층을 제공하는 단계,(a) providing a layer of material on the substrate,

(b) 상기 재료 층 위로 반사반지 층을 형성시키는 단계로서, 상기 반사방지 층은 SiO 부위 및 발색단 부위를 함유하는 가교결합된 중합체를 포함하는 것인 단계,(b) forming an antireflection layer over the material layer, wherein the antireflective layer comprises a crosslinked polymer containing SiO moieties and chromophore moieties,

(c) 반사방지 층 위로 방사선 민감성 이미지화 층을 형성시키는 단계,(c) forming a radiation sensitive imaging layer over the antireflective layer,

(d) 방사선에 이미지화 층을 패턴 방식으로 노출시켜서 이미지화 층에서 방사선-노출된 영역의 패턴을 형성시키는 단계,(d) exposing the imaging layer to the radiation in a patterned manner to form a pattern of radiation-exposed areas in the imaging layer,

(e) 이미화 층 및 반사방지 층의 부분을 선택적으로 제거하여 재료 층의 부분을 노출시키는 단계, 및(e) selectively removing portions of the imaged layer and the antireflective layer to expose portions of the material layer, and

(f) 재료 층의 노출된 부분을 에칭하여 패턴화된 재료 형상을 형성시키는 단계(f) etching the exposed portion of the material layer to form a patterned material shape

를 포함한다.It includes.

패턴화하고자 하는 재료는 전도성, 반전도성, 자성 또는 절연성 재료인 것이 바람직하고, 금속인 것이 보다 바람직하다. SiO 부위는 중합체의 골격 부분 내에 있는 것이 바람직하다. 또한, SiO 함유 중합체는 가교결합 성분과의 반응을 위해 중합체를 따라 분포된 다수의 반응성 부위를 함유하는 것이 바람직하다.The material to be patterned is preferably a conductive, semiconducting, magnetic or insulating material, more preferably a metal. The SiO moiety is preferably in the backbone portion of the polymer. In addition, the SiO containing polymer preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.

또한, 본 발명은 리쏘그래픽 구조물을 제조하는 방법을 포함한다.The present invention also includes a method of manufacturing a lithographic structure.

본 발명의 이들 양태 및 다른 양태는 하기에서 보다 상세하게 논의한다.These and other aspects of the invention are discussed in more detail below.

발명에 관한 상세한 설명Detailed description of the invention

본 발명은 리쏘그래픽 공정에서 유용한 신규 반사방지 코팅/하드마스크 조성물을 포함한다. 이 반사방지 조성물은 펜던트 발색단 부위를 보유하는 SiO 함유 중합체의 존재를 특징으로 한다. 또한, 본 발명은 본 발명의 반사방지 코팅/하드마스크 조성물을 함유하는 리쏘그래픽 구조물, 그러한 리쏘그래픽 구조물을 제조하는 방법 및 그러한 리쏘그래픽 구조물을 사용하여 이면 재료 층을 기판 상에 패턴화시키는 방법을 포함한다. The present invention includes novel antireflective coating / hardmask compositions useful in lithographic processes. This antireflective composition is characterized by the presence of SiO containing polymers having pendant chromophore sites. The invention also includes a lithographic structure containing the antireflective coating / hardmask composition of the invention, a method of making such a lithographic structure, and a method of patterning a backing material layer on a substrate using such a lithographic structure. do.

한 양태에서, 본 발명의 반사방지 조성물은 일반적으로In one aspect, the antireflective composition of the present invention is generally

(a) SiO 부위 및 발색단 부위를 함유하는 중합체,(a) a polymer containing an SiO moiety and a chromophore moiety,

(b) 가교결합 성분, 및(b) a crosslinking component, and

(c) 산 생성제(c) acid generators

를 포함한다.It includes.

SiO 부위를 함유하는 중합체는 중합체 골격 내에서 및/또는 펜던트 기 내에서 SiO를 함유하는 중합체일 수 있다. 이 중합체는 그 골격 내에서 SiO 부위를 함유하는 것이 바람직하다. 상기 중합체는 유기실록산인 바람직하고, 유기실세스퀴옥산인 것이 보다 바람직하다. 상기 중합체는 종래의 스핀 코팅에 의해 층을 형성시키는 데 유도되는 용액 및 필름 형성(film-forming) 특징을 가져야 한다. 하기 논의된 발색단 부위 이외에도, SiO 함유 중합체는 또한 가교결합 성분과의 반응을 위해 중합체를 따라 분포된 다수의 반응성 부위를 함유하는 것이 바람직하다.Polymers containing SiO moieties may be polymers containing SiO in the polymer backbone and / or in pendant groups. It is preferable that this polymer contains a SiO site in the frame | skeleton. The polymer is preferably an organosiloxane, more preferably an organosilsesquioxane. The polymer should have a solution and film-forming characteristic which leads to the formation of a layer by conventional spin coating. In addition to the chromophore sites discussed below, the SiO-containing polymer also preferably contains a plurality of reactive sites distributed along the polymer for reaction with the crosslinking component.

적합한 중합체의 예에는 실세스퀴옥산(사다리형 또는 망상형) 구조를 갖는 중합체가 포함된다. 그러한 중합체는 하기 화학식 (I) 내지 화학식 (III)의 구조를 갖는 하나 이상의 단량체를 함유하는 것이 바람직하다.Examples of suitable polymers include polymers having silsesquioxane (ladder or reticular) structures. Such polymers preferably contain one or more monomers having the structures of the following formulas (I) to (III).

화학식 (I)Formula (I)

화학식 (II)Formula (II)

상기 식 중, R1은 발색단을 포함하고, R3은 가교결합 성분과의 반응을 위한 반응성 부위를 포함한다.Wherein R 1 comprises a chromophore and R 3 comprises a reactive site for reaction with a crosslinking component.

대안으로는 하기 화학식 (III) 및 (IV)의 구조를 갖는 단량체를 함유하는 일반적인 선형 유기실록산 중합체를 사용할 수 있다. Alternatively, general linear organosiloxane polymers containing monomers having the structures of the formulas (III) and (IV) can be used.

화학식 (III)Formula (III)

화학식 (IV)Formula (IV)

상기 식 중에서, R1 및 R2는 상기 정의한 바와 같다. 일부 경우 중합체는 R1 함유 단량체에 대한 평균적인 구조가 하기 화학식(V)의 구조에 의해 대체될 수 있도록, 그리고 R 함유 단량체에 대한 평균적인 구조가 하기 화학식(IV)에 의해 대체될 수 있도록 화학식 (I) 내지 화학식 (IV)의 구조를 갖는 단량체들의 다양한 조합물을 함유하는 것이 바람직하다.In the above formula, R 1 and R 2 are as defined above. In some cases the polymer may be formulated such that the average structure for the R 1 -containing monomer can be replaced by the structure of formula (V) below and the average structure for the R-containing monomer can be replaced by the formula (IV) Preference is given to containing various combinations of monomers having structures of formula (I) to formula (IV).

화학식 (V)Formula (V)

화학식 (VI)Formula (VI)

상기 식 중에서, x는 약 1 내지 약 1.5이다. 이론적으로, x는 1.5 이상일 수 있다. 하지만, 그러한 조성은 일반적으로 스핀-코팅 공정에 적합한 특성을 보유하지 못한다(예를 들면, 상기 공정은 바람직하지 못한 겔상 또는 침전물상을 형성한다).Wherein x is from about 1 to about 1.5. In theory, x may be greater than or equal to 1.5. However, such compositions generally do not possess suitable properties for spin-coating processes (eg, the process forms undesirable gel or precipitate phases).

일반적으로, 유기실세스퀴옥산 중합체는 매우 우수한 내식성의 기준에서 보면 바람직하다. 일반적인 유기실록산 중합체(예, 화학식(III) 및 화학식(IV)의 구조를 갖는 단량체)를 사용하는 경우, 바람직하게도 가교결합도는 실세스퀴옥산을 주성분으로 하는 제제와 비교하여 증가된다.In general, organosilsesquioxane polymers are preferred in view of very good corrosion resistance. When using general organosiloxane polymers (e.g., monomers having the structures of the formulas (III) and (IV)), the degree of crosslinking is preferably increased in comparison with a formulation based on silsesquioxane.

발색단 함유 기 R1은 (i) SiO 함유 중합체 상에 그라프트화될 수 있고, (ii) 적합한 방사선 흡수 특성을 가지며, (iii) 층 또는 임의의 이면 포토레지스트 층의 성능에 저해한 영향을 미치지 않은 임의의 적합한 발색단을 함유할 수 있다. 바람직한 발색단 부위에는 크리센(chrysene), 피렌, 플루오르안트렌, 안트론, 벤조페논, 티오크산톤, 및 안트라센이 포함된다. 또한, 안트라센 유도체, 예컨대 미국 특허 제4,371,605호에 기재된 것들도 사용할 수 있는데, 그 특허의 개시내용은 본 명세서에 참고 인용되어 있다. 9-안트라센 메탄올은 바람직한 발색단이다. 발색단 부위는 페놀 티아진과 같은 가능한 탈활성화된 아미노 질소를 제외하고는 질소를 함유하지 않는 것이 바람직하다.The chromophore containing group R 1 can be (i) grafted onto the SiO containing polymer, (ii) have suitable radiation absorption properties, and (iii) have no detrimental effect on the performance of the layer or any backside photoresist layer. It may contain any suitable chromophore. Preferred chromophore sites include chrysene, pyrene, fluoranthrene, anthrone, benzophenone, thioxanthone, and anthracene. Anthracene derivatives, such as those described in US Pat. No. 4,371,605, can also be used, the disclosures of which are incorporated herein by reference. 9-anthracene methanol is a preferred chromophore. The chromophore moiety preferably does not contain nitrogen except for possible deactivated amino nitrogen such as phenol thiazine.

발색단 부위는 산-촉매화된 O-알킬화 또는 C-알킬화에 의해, 예컨대 프리델-크라프트 알킬화에 의해 SiO 함유 중합체에 화학적으로 결합될 수 있다. 대안으로, 발색단 부위는 에스테르화 메카니즘에 의해 결합될 수 있다. 프리델-크라프트 촉매작용에 바람직한 산은 HCl이다. 작용기의 약 15-40%는 발색단 부위를 함유하는 것이 바람직하다. 일부 실제 예에서는, SiO 함유 중합체의 형성 전에 단량체에 발색단을 결합시키는 것이 가능하지만, 이는 일반적으로 바람직한 것이 아니다. 발색단의 결합 부위는 히드록시벤질기 또는 히드록시메틸벤질기와 같은 방향족기인 것이 바람직하다. 대안으로, 발색단은 시클로헥산올 또는 다른 알콜과 같은 다른 부위와의 반응에 의해 결합될 수 있다. 발색단을 결합시키는 반응은 알콜의 OH기의 에스테르화인 것이 바람직하다.Chromophore moieties may be chemically bound to SiO containing polymers by acid-catalyzed O-alkylation or C-alkylation, such as by Friedel-Craft alkylation. Alternatively, the chromophore moiety can be bound by an esterification mechanism. Preferred acid for Friedel-Crafts catalysis is HCl. It is preferred that about 15-40% of the functional groups contain chromophore sites. In some practical examples, it is possible to bind chromophores to monomers prior to the formation of SiO containing polymers, but this is generally not preferred. The binding site of the chromophore is preferably an aromatic group such as a hydroxybenzyl group or a hydroxymethylbenzyl group. Alternatively, chromophores can be bound by reaction with other moieties such as cyclohexanol or other alcohols. The reaction of binding the chromophores is preferably esterification of the OH group of the alcohol.

R2는 가교결합 성분과의 반응을 위한 반응성 부위를 포함한다. R2 내에 함유된 반응성 부위는 알콜인 것이 바람직하고, 방향족 알콜(예, 히드록시벤질 알콜, 페놀, 히드록시메틸벤질 알콜 등), 또는 고리지방족 알콜(예, 시클로헥사노일 알콜)인 것이 보다 바람직하다. 대안으로는, 플루오로카본 알콜, 지방족 알콜, 아미노기, 비닐 에테르 및 에폭사이드와 같은 비시클릭 알콜도 사용할 수 있다.R 2 comprises a reactive moiety for reaction with the crosslinking component. The reactive site contained in R 2 is preferably an alcohol, more preferably an aromatic alcohol (eg, hydroxybenzyl alcohol, phenol, hydroxymethylbenzyl alcohol, etc.), or a cycloaliphatic alcohol (eg, cyclohexanoyl alcohol). Do. Alternatively, bicyclic alcohols such as fluorocarbon alcohols, aliphatic alcohols, amino groups, vinyl ethers and epoxides can also be used.

SiO 함유 중합체(발색단의 결합 전)는 폴리(4-히드록시벤질실세스퀴옥산)인 것이 바람직하다. 본 발명의 기타 실세스퀴옥산 중합체의 예에는 폴리(p-히드록시페닐에틸실세스퀴옥산), 폴리(p-히드록시페닐에틸실세스퀴옥산)-코-p-히드록시-α-메틸벤질실세스퀴옥산), 폴리(p-히드록시페닐에틸실세스퀴옥산-코-메톡시벤질실세스퀴옥산), 폴리(p-히드록시페닐에틸실세스퀴옥산-코-t-부틸실세스퀴옥산), 폴리(p-히드록시페닐에틸실세스퀴옥산-코-시클로헥실실세스퀴옥산), 폴리(p-히드록시페닐에틸실세스퀴옥산-코-페닐실세스퀴옥산), 폴리(p-히드록시페닐에틸실세스퀴옥산-코-바이시클로헵틸실세스퀴옥산), 폴리(p-히드록시-α-메틸벤질실세스퀴옥산The SiO-containing polymer (prior to bonding of the chromophore) is preferably poly (4-hydroxybenzylsilsesquioxane). Examples of other silsesquioxane polymers of the present invention include poly (p-hydroxyphenylethylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane) -co-p-hydroxy-α-methyl Benzylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-methoxybenzylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-t-butylsil Sesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-cyclohexylsilsesquioxane), poly (p-hydroxyphenylethylsilsesquioxane-co-phenylsilsesquioxane), Poly (p-hydroxyphenylethylsilsesquioxane-co-bicycloheptylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane

), 폴리(p-히드록시-α-메틸벤질실세스퀴옥산-코-p-히드록시벤질실세스퀴옥산), 폴리(p-히드록시-α-메틸벤질실세스퀴옥산-코-메톡시벤질실세스퀴옥산), 폴리(p-히드록시-α-메틸벤질실세스퀴옥산-코-t-부틸실세스퀴옥산), 폴리(p-히드록시-α-메틸실세스퀴옥산-코-시클로헥실실세스퀴옥산), 폴리(p-히드록시-α-메틸벤질실세스퀴옥산-코-페닐실세스퀴옥산), 폴리(p-히드록시-α-메틸벤질실세스퀴옥산-코-바이시클로헵틸실세스퀴옥산), 및 폴리(p-히드록시벤질실세스퀴옥산-코-p-히드록시페닐에틸실세스퀴옥산)이 포함된다. 미국 특허 제5,100,503호에 기재된 폴리유기실록산 중합체는 일반적으로 가교결합 성분과의 매우 낮은 반응성 때문에 저온 소성 처리 조성물을 형성시키는 데 유용하지 못하다. 이 특허의 개시내용은 본 명세서에 참고 인용되어 있다. ), Poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-p-hydroxybenzylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-meth Oxybenzylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-t-butylsilsesquioxane), poly (p-hydroxy-α-methylsilsesquioxane- Co-cyclohexylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane-co-phenylsilsesquioxane), poly (p-hydroxy-α-methylbenzylsilsesquioxane -Co-bicycloheptylsilsesquioxane), and poly (p-hydroxybenzylsilsesquioxane-co-p-hydroxyphenylethylsilsesquioxane). The polyorganosiloxane polymers described in US Pat. No. 5,100,503 are generally not useful for forming low temperature calcined treatment compositions because of their very low reactivity with crosslinking components. The disclosure of this patent is incorporated herein by reference.

본 발명의 SiO 함유 중합체는 가교결합 성분과 반응하기 전에 중량 평균 분자량이 약 1000 이상인 것이 바람직하고, 중량 평균 분자량이 약 1000-10000인 것이 보다 바람직하다. The SiO-containing polymer of the present invention preferably has a weight average molecular weight of about 1000 or more, more preferably about 1000-10000, before reacting with the crosslinking component.

가교결합 성분은 생성된 산에 의해 및/또는 가열에 의해 촉매작용화될 수 있는 방식으로 SiO 함유 중합체와 반응될 수 있는 가교결합제인 것이 바람직하다. 일반적으로, 본 발명의 반사방지 조성물에 사용된 가교결합 성분은 조성물의 선택된 다른 성분과 달리 상용 가능한 네가티브 포토레지스트 기술 분야에서 공지된 임의의 적합한 가교결합제일 수 있다. 가교결합제는 생성된 산의 존재 하에 중합체 성분을 가교결합시키는 작용을 하는 것이 바람직하다. 바람직한 가교결합제는 글리콜루릴(glycoluril) 화합물, 예컨대 테트라메톡시메틸 글리콜루릴, 메틸프로필테트라메톡시메틸 글리콜루릴 및 메틸페닐테트라메톡시메틸 글리콜루릴이며, 이들은 POWDERLINK 상품명 하에 어메리카 시안아미드 컴파니(American Cyanamid Company)로부터 구입 가능하다. 다른 가능한 가교결합제에는 2,6-비스(히드록시메틸)-p-크레졸 화합물, 유사체 및 유도체를 비롯한 하기 구조를 갖는 화합물, 예컨대 일본 공개 특허 출원(공개) 제1-293339호에 기재된 것들 뿐만 아니라 에테르화된 아미노 수지, 예를 들면 메틸화되거나 부틸화된 멜라민 수지(N-메톡시메틸-멜라민 수지 또는 N-부톡시메틸-멜라민 수지), 또는 메틸화된/부틸화된 글리콜루릴, 예를 들면 캐나다 특허 제1 204 547호에 기재된 것들이 포함된다. 다른 가교결합제, 예컨대 비스에폭사이드 또는 비스페놀(예, 비스페놀-A)도 사용할 수 있다. 가교결합제들의 조합물도 사용할 수 있다.The crosslinking component is preferably a crosslinking agent that can be reacted with the SiO containing polymer in a manner that can be catalyzed by the resulting acid and / or by heating. In general, the crosslinking component used in the antireflective composition of the present invention may be any suitable crosslinker known in the art of negative photoresist technology that is compatible with other selected components of the composition. The crosslinking agent preferably serves to crosslink the polymer component in the presence of the resulting acid. Preferred crosslinkers are glycoluril compounds such as tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril and methylphenyltetramethoxymethyl glycoluril, which are listed under the American Cyanamid Company under the POWDERLINK trade name. ) Can be purchased from. Other possible crosslinkers include compounds having the following structures, including 2,6-bis (hydroxymethyl) -p-cresol compounds, analogs and derivatives, such as those described in Japanese Patent Application Laid-Open No. 1-293339. Etherified amino resins such as methylated or butylated melamine resins (N-methoxymethyl-melamine resins or N-butoxymethyl-melamine resins), or methylated / butylated glycolurils such as Canada And those described in patent 1 204 547. Other crosslinkers such as bisepoxides or bisphenols (eg bisphenol-A) can also be used. Combinations of crosslinkers can also be used.

산 생성제는 열 처리시 산을 방출하도록 사용되는 산 생성제 화합물인 것이 바람직하다. 다양한 공지된 열적 산 생성제는 예를 들어 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인 토실레이트, 2-니트로벤질 토실레이트, 및 유기 설폰산의 다른 알킬 에스테르 등을 사용하는 것이 바람직하다. 활성화시 설폰산을 생성하는 화합물은 일반적으로 적합하다. 다른 적합한 열적 활성화된 산 생성제는 미국 특허 제5,886,102호 및 제5,939,236호에 기재되어 있으며, 이들 2가지 특허의 개시내용은 본 명세서에 참고 인용되어 있다. 필요한 경우, 방사선-민감성 산 생성제는 열적 활성화된 산 생성제에 대한 대체물로서 사용하거나, 또는 열적 활성화된 산 생성제와의 조합물로서 사용할 수 있다. 적합한 방사선-민감성 산 생성제의 예는 미국 특허 제5,886,102호 및 제5,939,236호에 기재되어 있다. 또한, 레지스트 기술 분야에서 공지된 다른 방사선-민감성 산 생성제도 이것이 반사방지 조성물의 다른 성분과 상용성이 있는 한 사용할 수 있다. 방사선-민감성 산 생성제를 사용하는 경우, 조성물의 경화 (가교결합) 온도는 적당한 방사선을 가하여 결국 가교결합 반응을 촉매작용화하는 산 생성을 유도함으로써 감소시킬 수 있다. 방사선-민감성 산 생성제를 사용하는 경우라고 해도, 조성물을 열 처리하여 가교결합 공정(예를 들면, 제조 라인에서 웨이퍼의 경우)을 가속화시키는 것이 바람직하다.The acid generator is preferably an acid generator compound used to release the acid upon heat treatment. Various known thermal acid generators use, for example, 2,4,4,6-tetrabromocyclohexadienone, benzoin tosylate, 2-nitrobenzyl tosylate, other alkyl esters of organic sulfonic acids, and the like. It is desirable to. Compounds that produce sulfonic acids upon activation are generally suitable. Other suitable thermally activated acid generators are described in US Pat. Nos. 5,886,102 and 5,939,236, the disclosures of these two patents being incorporated herein by reference. If desired, the radiation-sensitive acid generator can be used as a replacement for the thermally activated acid generator or as a combination with the thermally activated acid generator. Examples of suitable radiation-sensitive acid generators are described in US Pat. Nos. 5,886,102 and 5,939,236. In addition, other radiation-sensitive acid generators known in the resist art can also be used as long as they are compatible with the other components of the antireflective composition. When using a radiation-sensitive acid generator, the curing (crosslinking) temperature of the composition can be reduced by applying appropriate radiation to induce acid production which eventually catalyzes the crosslinking reaction. Even in the case of using a radiation-sensitive acid generator, it is preferable to heat treat the composition to speed up the crosslinking process (eg, for wafers in a manufacturing line).

본 발명의 반사방지 조성물은 (고체 기준상) (i) SiO 함유 중합체 약 59-98 중량%, 보다 바람직하게는 약 70-80 중량%, (ii) 가교결합 성분 약 1-50 중량%, 보다 바람직하게는 약 3-25 중량%, 가장 바람직하게는 약 5-25 중량% 및 (iii) 산 생성제 약 1-20 중량%, 보다 바람직하게는 약 1-15 중량%를 함유하는 것이 바람직하다.The antireflective composition of the present invention (on a solid basis) comprises (i) about 59-98% by weight of SiO-containing polymer, more preferably about 70-80% by weight, (ii) about 1-50% by weight of the crosslinking component, and Preferably about 3-25% by weight, most preferably about 5-25% by weight and (iii) about 1-20% by weight of acid generator, more preferably about 1-15% by weight. .

본 발명의 반사방지 조성물은 리쏘그래픽 구조물의 형성에서 임의의 소정 레지스트 재료와 조합하여 사용할 수 있다. 이 레지스트는 자외선 방사선(예, < 400 nm 파장)에 의해 또는 전자빔 방사선에 의해 이미지화 가능한 것이 바람직하다. 적합한 레지스트 재료의 예는 미국 특허 제5,861,231호, 제5,962,184호 및 제6,037,097호에 기재되어 있으며, 이들 특허의 개시내용은 본 명세서에 참고 인용되어 있다.The antireflective compositions of the invention can be used in combination with any desired resist material in the formation of lithographic structures. This resist is preferably imageable by ultraviolet radiation (e.g. <400 nm wavelength) or by electron beam radiation. Examples of suitable resist materials are described in US Pat. Nos. 5,861,231, 5,962,184, and 6,037,097, the disclosures of which are incorporated herein by reference.

본 발명의 반사방지 조성물은 전형적으로 소정의 기판에 그 조성물을 도포하기 전에 용매를 함유하는 것이 바람직하다. 그 용매는 달리 반사방지 조성물의 성능에 지나치게 저해한 영향을 전혀 미치지 않는 레지스트에 통상적으로 사용되는 임의의 용매일 수 있다. 바람직한 용매는 프로필렌 글리콜 모노메틸 에테르 아세테이트, 시클로헥산온, 및 에틸 셀로솔브 아세테이트이다. 기판에 도포하기 위한 조성물내 용매의 양은 고체 함량 약 8-20 중량%를 달성하기에 충분한 것이 바람직하다. 보다 높은 고체 함량의 제제는 일반적으로 보다 두꺼운 코팅 층을 생성한다. 본 발명의 조성물은 해당 기술 분야에 알려져 있는 바와 같이 보조 성분(예, 염기 첨가제 등)을 미량으로 더 함유할 수 있다.Antireflective compositions of the invention typically contain a solvent prior to applying the composition to a given substrate. The solvent can be any solvent commonly used in resists that otherwise does not have an excessively detrimental effect on the performance of the antireflective composition. Preferred solvents are propylene glycol monomethyl ether acetate, cyclohexanone, and ethyl cellosolve acetate. The amount of solvent in the composition for application to the substrate is preferably sufficient to achieve about 8-20% by weight solids. Formulations with higher solids content generally produce thicker coating layers. The compositions of the present invention may further contain minor amounts of auxiliary components (eg, base additives, etc.) as is known in the art.

본 발명의 반사방지 조성물은 종래의 방법을 이용하여 중합체, 가교결합 성분, 산 생성제 및 임의의 다른 소정의 성분을 조합함으로써 제조할 수 있다. 본 발명의 조성물은 스핀 코팅에 의해 기판 상에 반사방지 층으로 형성시킨 후, 소성 처리하여 가교결합을 달성하고 용매를 제거할 수 있는 것이 유리하다. 소성 처리는 약 250℃ 이하, 보다 바람직하게는 약 150-220℃, 가장 바람직하게는 약 170-180℃에서 수행하는 것이 바람직하다. 소성 처리 시간은 층 두께 및 소성 처리 온도에 따라 달라질 수 있다. 170℃에서 전형적인 처리 시간은 약 2 분이다.The antireflective compositions of the present invention can be prepared by combining polymers, crosslinking components, acid generators and any other desired components using conventional methods. The composition of the present invention is advantageously capable of being formed into an antireflective layer on a substrate by spin coating, followed by calcining to achieve crosslinking and remove the solvent. The firing treatment is preferably performed at about 250 ° C. or less, more preferably at about 150-220 ° C., and most preferably at about 170-180 ° C. The firing treatment time may vary depending on the layer thickness and the firing treatment temperature. Typical treatment time at 170 ° C. is about 2 minutes.

본 발명의 반사방지 조성물의 두께는 소정의 기능에 따라 달라질 수 있다. 예를 들어, 조성물을 비평탄화 반사방지 코팅으로서 사용하는 경우, 두께는 약 50-500 nm일 수 있다. 조성물을 평탄화 하드마스크로서 사용하는 경우, 두께는 약 0.5-5.0 ㎛인 것이 바람직하다. 필요한 경우, 또한 본 발명의 조성물은 종래의 스핀-온 유리 재료와 유사한 방식으로 유전체 재료서 사용할 수도 있다.The thickness of the antireflective composition of the present invention may vary depending on the desired function. For example, when the composition is used as an unplanarized antireflective coating, the thickness can be about 50-500 nm. When the composition is used as a flattening hardmask, the thickness is preferably about 0.5-5.0 μm. If desired, the compositions of the present invention may also be used as dielectric materials in a manner similar to conventional spin-on glass materials.

본 발명의 조성물은 반도체 기판 상에 집적 회로의 제조시 이용되는 리쏘그래픽 공정에 특히 유용하다. 그 조성물은 mid-UV nm, 248 nm, Deep UV, x-선, e-빔 또는 다른 이미지화 방사선을 사용하는 리쏘그래픽 공정에 특히 유용하다.The compositions of the present invention are particularly useful for lithographic processes used in the manufacture of integrated circuits on semiconductor substrates. The composition is particularly useful for lithographic processes using mid-UV nm, 248 nm, Deep UV, x-rays, e-beams or other imaging radiation.

반도체 리쏘그래픽 적용은 일반적으로 반도체 기판 상의 재료 층에 패턴을 전사하는 것을 포함한다. 반도체 기판의 재료 층은 금속 전도체 층, 세라믹 절연체 층, 반도체 층 또는 최종 제품을 위해 설정된 제조 공정 단계 및 소정의 재료에 따라 좌우되는 기타 재료일 수 있다. 본 발명의 조성물은 패턴화하고자 하는 재료 층의 위로, 바람직하게는 스핀-코팅에 의해 직접 도포하는 것이 바람직하다. 이어서, 본 발명의 조성물은 소성 처리하여 용매를 제거하고 그 조성물을 경화(가교결합)시킨다. 이어서, 방사선-민감성 레지스트 층은 본 발명의 경화된 반사방지 조성물 위로 (직접적으로 또는 간접적으로) 도포할 수 있다.Semiconductor lithographic applications generally involve transferring a pattern to a material layer on a semiconductor substrate. The material layer of the semiconductor substrate may be a metal conductor layer, a ceramic insulator layer, a semiconductor layer or other material that depends on the manufacturing process steps and predetermined materials set for the final product. The composition of the invention is preferably applied directly onto the material layer to be patterned, preferably by spin-coating. The composition of the present invention is then calcined to remove the solvent and to cure (crosslink) the composition. The radiation-sensitive resist layer can then be applied (directly or indirectly) onto the cured antireflective composition of the present invention.

전형적으로, 용매 함유 레지스트 조성물은 스핀 코팅 기법 또는 다른 기법을 이용하여 도포한다. 이어서, 레지스트 코팅을 지닌 기판은 열 처리(노출전 소성 처리)하여 용매를 제거하고 레지스트 층의 응집성을 개선시키는 것이 바람직하다. 도포된 층의 두께는 가능한 엷은 것이 바람직하며, 단 두께는 바람직하게는 실질적으로 균일해야 하고, 레지스트 층은 리쏘그래픽 패턴을 이면 기판 재료 층에 전사시키는 후속 공정처리(전형적으로 반응성 이온 에칭)를 견디어 낼 수 있을 정도로 충분해야 한다. 노출후 소성 처리 단계는 약 10초 내지 15 분 동안, 보다 바람직하게는 약 15초 내지 1 분 동안 수행하는 것이 바람직하다. 노출후 소성 처리 온도는 포토레지스트의 유리 전이 온도에 따라 달라질 수 있다. Typically, the solvent containing resist composition is applied using spin coating or other techniques. Subsequently, the substrate with the resist coating is preferably heat treated (pre-exposure bake treatment) to remove the solvent and improve the cohesiveness of the resist layer. The thickness of the applied layer is preferably as thin as possible, provided that the thickness is preferably substantially uniform, and that the resist layer withstands subsequent processing (typically reactive ion etching) transferring the lithographic pattern to the backside substrate material layer. It should be enough to pay. The post-exposure bake treatment step is preferably performed for about 10 seconds to 15 minutes, more preferably for about 15 seconds to 1 minute. Post-exposure bake treatment temperature may vary depending on the glass transition temperature of the photoresist.

용매 제거후, 레지스트 층은 소정의 방사선(예, 248 nm 자외선 방사선)에 패턴 방식으로 노출시킨다. 전자빔과 같이 주사하는 입자 빔을 사용하는 경우, 패턴 방식의 노출은 기판을 가로질러 그 빔을 주사하고 선택적으로 그 빔을 소정의 패턴으로 가함으로써 달성할 수 있다. 보다 전형적으로, 248 nm 자외선 방사선과 같은 파형 방사선 형태를 사용하는 경우, 패턴 방식의 노출은 레지스트 층 위로 배치되는 마스크를 통해 수행한다. 248 nm UV 방사선의 경우, 총 노출 에너지는 약 100 밀리주울/cm2 이하인 것이 바람직하고, 약 50 밀리주울/cm2 이하(예, 15-30 밀리주울/cm2)인 것이 보다 바람직하다.After solvent removal, the resist layer is exposed in a patterned manner to the desired radiation (eg, 248 nm ultraviolet radiation). When using a particle beam that scans like an electron beam, patterned exposure can be achieved by scanning the beam across the substrate and optionally applying the beam in a predetermined pattern. More typically, when using a wave form of radiation, such as 248 nm ultraviolet radiation, patterned exposure is performed through a mask disposed over the resist layer. In the case of 248 nm UV radiation, the total exposure energy is preferably about 100 milli Joules / cm 2 or less, more preferably about 50 milli Joules / cm 2 or less (eg, 15-30 milli Joules / cm 2 ).

소정의 패턴 방식의 노출후, 레지스트 층은 전형적으로 소성 처리하여 추가로 산-촉매작용화된 반응을 완결하고, 노출된 패턴의 콘트라스트를 향상시킨다. 노출후 소성 처리는 약 60-175℃, 보다 바람직하게는 약 90-160℃에서 수행하는 것이 바람직하다. 노출후 소성 처리는 약 30 초 내지 약 5 분 동안 수행하는 것이 바람직하다. After exposure of the desired pattern mode, the resist layer is typically calcined to further complete the acid-catalyzed reaction and improve the contrast of the exposed pattern. Post-exposure bake treatment is preferably performed at about 60-175 ° C, more preferably at about 90-160 ° C. The post-exposure bake treatment is preferably performed for about 30 seconds to about 5 minutes.

노출후 소성 처리를 수행한 후, 소정의 패턴을 지닌 레지스트 구조물은 방사선에 노출된 레지스트의 영역을 선택적으로 용해시키는 알칼리 용액과 레지스트 층을 접촉시킴으로써 얻는다(현상시킨다). 바람직한 알킬리 용액(현상액)은 테트라메틸 암모늄 히드록사이드의 수용액이다. 이어서, 기판 상에 형성된 리쏘그래픽 구조물은 전형적으로 건조시켜 잔류해 있는 임의의 현상액 용매를 제거한다. After performing the post-exposure bake treatment, a resist structure having a predetermined pattern is obtained (developed) by contacting the resist layer with an alkali solution that selectively dissolves the regions of the resist exposed to radiation. Preferred alkyl solutions (developers) are aqueous solutions of tetramethyl ammonium hydroxide. The lithographic structure formed on the substrate is then typically dried to remove any residual developer solvent.

이어서, 레지스트 구조로부터 유래한 패턴은 해당 기술 분야에 공지된 기법을 이용하여 CF4 또는 다른 적합한 부식제로 에칭함으로써 본 발명의 반사방지 재료의 층의 노출된 부분에 전사시킬 수 있다.The pattern derived from the resist structure can then be transferred to the exposed portion of the layer of antireflective material of the present invention by etching with CF 4 or another suitable caustic using techniques known in the art.

본 발명의 반사방지 재료 및 임의 이면 코팅의 층을 개방한 후, 패턴화하고자 하는 이면 재료는 재료 층 조성물에 적합한 부식제를 사용하여 에칭 처리할 수 있다. 재료 층이 금속(예, Cr)인 경우에는 건식 부식제로서 Cl2/O2의 조합물을 사용할 수 있다.After opening the layer of the antireflective material and optional backing coating of the present invention, the backing material to be patterned may be etched using a caustic suitable for the material layer composition. If the material layer is a metal (eg Cr), a combination of Cl 2 / O 2 can be used as a dry caustic.

일단, 소정의 패턴 전사가 이루어진 후에는, 종래의 스트립핑 기법을 이용하여 잔류하는 임의의 레지스트를 제거할 수 있다. 본 발명의 조성물을 하드마스크로서 또는 비평탄화 반사방지 코팅으로서 엄격하게 사용하는 경우, 본 발명의 조성물은 CF4/O2 플라즈마와 접촉시킴으로써 제거할 수 있다.Once the desired pattern transfer has been made, conventional stripping techniques can be used to remove any resist that remains. When the composition of the present invention is used strictly as a hardmask or as an unplanarized antireflective coating, the composition of the present invention can be removed by contacting with CF 4 / O 2 plasma.

따라서, 본 발명의 조성물 및 형성된 리쏘그래픽 구조물은, 집적 회로 디바이스의 설계에서 사용될 수 있는 바와 같이, 금속 배선, 컨택트 또는 바이어스를 위한 홀, 절연 섹션(예, DT(damascene trench) 또는 STI(shallow trench isolation)), 커패시터 구조물을 위한 트렌치 등과 같은 패턴화된 재료 층 구조물을 형성시키는 데 사용할 수 있다. 본 발명의 조성물은 패턴화된 금속 구조물, 특히 마스크로서 유용한 Cr계 구조물을 형성시키는 것과 관련하여 특히 유용하다.Thus, the compositions and formed lithographic structures of the present invention, as can be used in the design of integrated circuit devices, include metal wiring, holes for contact or bias, insulating sections (e.g., damascene trenches (DT) or shallow trenches (STIs)). isolation)), trenches for capacitor structures, and the like, to form patterned material layer structures. The compositions of the present invention are particularly useful in connection with forming patterned metal structures, in particular Cr-based structures useful as masks.

본 발명의 조성물이 유용할 수 있는 일반적인 리쏘그래픽 공정의 예는 미국 특허 제4,855,017호, 제5,362,663호, 제5,429,710호, 제5,562,801호, 제5,618,751호, 제5,774,376호, 제5,801,094호, 제5,821,469호 및 제5,948,570호에 개시되어 있으며, 이들 특허의 개시내용은 본 명세서에 참고 인용되어 있다. 패턴 전사 공정의 다른 예는 문헌(Wayne Moreau, "Semiconductor Lithography, Principles, Practices, and Materials"의 제12장 및 제13장, Plenum Press(1988))에 기재되어 있으며, 이 문헌의 개시내용은 본 명세서에 참고 인용되어 있다. 본 발명은 임의의 특정 리쏘그래픽 기법 또는 디바이스 구조물에 국한되는 것이 아님을 이해해야 한다.Examples of common lithographic processes in which the compositions of the present invention may be useful are U.S. Pat. 5,948,570, the disclosures of which are incorporated herein by reference. Other examples of pattern transfer processes are described in Wayne Moreau, Chapters 12 and 13, Plenum Press (1988) of "Semiconductor Lithography, Principles, Practices, and Materials", the disclosure of which is described herein. Reference is made to the specification. It should be understood that the present invention is not limited to any particular lithographic technique or device structure.

실시예 1Example 1

폴리(4-히드록시벤질실세스퀴옥산)에 대한 9-안트라센메틸기의 오르토 그라프트화 및 하드마스크/반사방지 층의 제제화Orthografting of 9-Anthracenemethyl Group to Poly (4-hydroxybenzylsilsesquioxane) and Formulation of Hard Mask / Antireflective Layer

HCl 0.4 g을 함유하는 아세토니트릴 150 g 중에서 9-안트라센 메탄올 6.7 g을 폴리(4-히드록시벤질실세스퀴옥산) 16 g과 반응시켰다. 이 용액을 수 시간 동안 가열하여 환류시킨 후, 물을 첨가하여 그라프트화된 중합체를 침전시켰다. 건조된 중합체를 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 중의 14 중량% 용액로서 용해시켰다. 이 글리콜루릴 수지(POWDERLINK 가교결합제) 및 니트로벤질 토실레이트(산 생성제)를 그 용액에 각각 전체 고형분 10 중량% 및 고형분 5 중량%를 달성할 수 있는 양으로 첨가하였다. 또한, FC430 계면활성제(3M 코포레이션 제품)도 200 ppm으로 그 용액에 첨가하였다.In 150 g of acetonitrile containing 0.4 g of HCl, 6.7 g of 9-anthracene methanol was reacted with 16 g of poly (4-hydroxybenzylsilsesquioxane). The solution was heated to reflux for several hours and then water was added to precipitate the grafted polymer. The dried polymer was dissolved as a 14 wt% solution in propylene glycol monomethyl ether acetate (PGMEA). This glycoluril resin (POWDERLINK crosslinker) and nitrobenzyl tosylate (acid generator) were added to the solution in an amount capable of achieving 10% by weight total solids and 5% by weight solids, respectively. In addition, FC430 surfactant (3M Corporation) was also added to the solution at 200 ppm.

실시예 2Example 2

CFCF 44 /O/ O 22 기체를 사용하는 UV-80에 대한 하드마스크/반사방지 층의 에칭 처리 Etching Hardmask / Antireflective Layers for UV-80 Using Gas

실시예 1에서 제제화한 바와 같이, 하드마스크/반사방지 층(HM/ARC)을 3000 rpm에서 헥사메틸디실라잔(HMDS)-프라이머 처리된 웨이퍼 상에 스핀 코팅하였다. 스펀 필름을 175℃에서 3 분 동안 경화시켰다. UV-80 포토레지스트(쉬플레이 컴파니 제품)의 층을 3000 rpm에서 경화된 층 위로 스핀 코팅하였다. 포토레지스트 층을 130℃에서 60 초 동안 소프트 소성 처리하였다. As formulated in Example 1, a hardmask / antireflective layer (HM / ARC) was spin coated onto a hexamethyldisilazane (HMDS) -primerized wafer at 3000 rpm. The spun film was cured at 175 ° C. for 3 minutes. A layer of UV-80 photoresist (Scheplay Company) was spin coated onto the cured layer at 3000 rpm. The photoresist layer was soft baked at 130 ° C. for 60 seconds.

두께 측정은 프로필미터(profilometer)로 실시하였다. 두께 측정을 실시하기 위해서, 13.0 nm Al 스트립을 마스크로서 사용하였다. 이러한 Al은 Cl2/O2 중에서 또는 CF4/O2 플라즈마 중에서 에칭 처리되지 않았다.Thickness measurement was performed with a profilometer. In order to perform the thickness measurement, a 13.0 nm Al strip was used as a mask. This Al was not etched in Cl 2 / O 2 or in a CF 4 / O 2 plasma.

일반적인 산화물 에칭제 공정은 하기 표 1의 조건을 구비한 CF4/O2 에칭으로 실시하였다. 유도형 커플링 플라즈마(ICP: inductively coupled plasma)를 사용하는 저압, 고밀도 플라즈마 공정을 이용하였다. 유량, 압력, 전력 및 Ar 희석액은 전기음성적 방전을 빈번하게 접하게 되는 진동(oscillation) 없이 비교적 안정한 공정을 제공하도록 선택하였다. DC 자체 바이어스 전압을 150 볼트로 유지하였다.A general oxide etchant process was performed by CF 4 / O 2 etching with the conditions of Table 1 below. A low pressure, high density plasma process using an inductively coupled plasma (ICP) was used. Flow rate, pressure, power, and Ar diluent were chosen to provide a relatively stable process without oscillations that are frequently encountered with electronegative discharges. The DC self bias voltage was maintained at 150 volts.

CF4/O2를 위한 에칭 조건Etching Conditions for CF 4 / O 2 CF4 유량CF 4 flow rate 40 sscm40 sscm O2 유량O 2 flow rate 6 sscm6 sscm Ar 유량Ar flow 25 sccm25 sccm 에칭 압력Etching pressure 6.1 mT6.1 mT ICP 전력ICP power 400 W400 W rf 기판 전력rf substrate power 30 W30 W DC 바이어스 전압DC bias voltage -150 V-150 V

에칭 두께 및 에칭 속도Etch Thickness and Etch Rate 에칭 시간Etching time 45s45s 50s50 s 90s90 s UV 80UV 80 179 nm(4.9 nm/s)179 nm (4.9 nm / s) 227 nm(4.5 nm/s)227 nm (4.5 nm / s) 402 nm(4.5 nm/s)402 nm (4.5 nm / s) HM/ARCHM / ARC 157 nm(3.5 nm/s)157 nm (3.5 nm / s) 205 nm(4.1 nm/s)205 nm (4.1 nm / s) > 374 nm(>4.2 nm/s)> 374 nm (> 4.2 nm / s)

실시예 3Example 3

ClCl 22 /O/ O 22 기체를 사용하는 UV 80에 대한 하드마스크/반사방지 층의 에칭 처리 Etching of Hardmask / Antireflective Layers for UV 80 Using Gas

하기 표 3에 설명되어 있는 에칭 공정을 실시한다는 점을 제외하고는 HM/ARC 및 US 80를 모두 실시예 2로서 공정 처리하였다.Both HM / ARC and US 80 were processed as Example 2, except that the etching process described in Table 3 below was carried out.

Cl2/O2에 대한 에칭 조건Etching Conditions for Cl 2 / O 2 Cl2 유량Cl 2 flow 24 sscm24 sscm O2 유량O 2 flow rate 6 sscm6 sscm Ar 유량Ar flow 25 sccm25 sccm 에칭 압력Etching pressure 12 mT12 mT ICP 전력ICP power 500 W500 W rf 기판 전력rf substrate power 12 W12 W DC 바이어스 전압DC bias voltage -114 V-114 V

HM/ARC의 에칭 속도는 UV-80보다 현저히 더 낮았다. The etch rate of HM / ARC was significantly lower than UV-80.

에칭 두께 및 에칭 속도Etch Thickness and Etch Rate 에칭 시간Etching time 50s50 s 150s150 s UV 80UV 80 61 nm(1.2 nm/s)61 nm (1.2 nm / s) 237 nm(1.5 nm/s)237 nm (1.5 nm / s) HM/ARCHM / ARC 20 nm(0.4 nm/s)20 nm (0.4 nm / s) 46 nm(0.3 nm/s)46 nm (0.3 nm / s)

Claims (10)

스핀-온 반사방지 층의 형성에 적합한 조성물로서,  As a composition suitable for forming a spin-on antireflective layer, (a) SiO 부위 및 발색단 부위를 함유하는 중합체,(a) a polymer containing an SiO moiety and a chromophore moiety, (b) 가교결합 성분, 및(b) a crosslinking component, and (c) 산 생성제(c) acid generators 를 포함하는 조성물.Composition comprising a. 제1항에 있어서, 상기 SiO 부위는 실록산 부위 및 실세스퀴옥산 부위로 이루어진 군 중에서 선택되는 것인 조성물.The composition of claim 1, wherein the SiO moiety is selected from the group consisting of a siloxane moiety and a silsesquioxane moiety. 제1항에 있어서, 상기 산 생성제가 열적 활성화된 산 생성제인 조성물.The composition of claim 1, wherein said acid generator is a thermally activated acid generator. 제1항에 있어서, 상기 SiO 함유 중합체는 가교결합 성분과의 반응을 위해 중합체를 따라 분포된 복수개의 반응 부위를 더 포함하는 것인 조성물.The composition of claim 1, wherein the SiO-containing polymer further comprises a plurality of reaction sites distributed along the polymer for reaction with the crosslinking component. 제1항에 있어서, 상기 발색단 부위는 크리센, 피렌, 플루오르안트렌, 안트론, 벤조페논, 티오크산톤 및 안트라센으로 이루어진 군 중에서 선택되는 것인 조성물.The composition of claim 1, wherein the chromophore moiety is selected from the group consisting of chrysene, pyrene, fluoranthrene, anthrone, benzophenone, thioxanthone and anthracene. 제1항에 있어서, 상기 가교결합 성분은 글리콜루릴 화합물을 포함하는 것인 조성물,The composition of claim 1, wherein the crosslinking component comprises a glycoluril compound, 제1항에 있어서, 상기 SiO 부위는 상기 중합체의 골격 부위 내에 있는 것인 조성물.The composition of claim 1, wherein the SiO moiety is within the framework moiety of the polymer. 기판 상의 반사방지 층으로서, As an antireflective layer on a substrate, 제1항 내지 제7항 중 어느 하나의 항에 기재된 조성물을 가교결합된 형태로 포함하는 반사방지 층.An antireflective layer comprising the composition of any one of claims 1 to 7 in crosslinked form. 기판 상에 패턴화된 재료 형상을 형성시키는 방법으로서,A method of forming a patterned material shape on a substrate, (a) 기판 상에 재료 층을 제공하는 단계,(a) providing a layer of material on the substrate, (b) 상기 재료 층 위로 제1항 내지 제7항 중 어느 하나의 항에 기재된 조성물을 가교결합된 형태로 포함하는 반사방지 층을 형성시키는 단계,(b) forming an antireflective layer on the material layer, the antireflective layer comprising the composition of any one of claims 1 to 7 in crosslinked form, (c) 상기 반사방지 층 위로 방사선-민감성 이미지화 층을 형성시키는 단계,(c) forming a radiation-sensitive imaging layer over the antireflective layer, (d) 상기 이미지화 층을 방사선에 패턴 방식으로 노출시킴으로써 상기 이미지화 층 내에 방사선-노출된 영역의 패턴을 생성시키는 단계,(d) generating a pattern of radiation-exposed regions within the imaging layer by exposing the imaging layer to radiation in a pattern manner, (e) 상기 이미지화 층 및 반사방지 층의 부분을 선택적으로 제거하여 상기 재료 층의 부분을 노출시키는 단계, 및(e) selectively removing portions of the imaging layer and antireflective layer to expose portions of the material layer, and (f) 상기 재료 층의 노출된 부분을 에칭함으로써 상기 패턴화된 재료 형상을 형성시키는 단계(f) forming the patterned material shape by etching the exposed portion of the material layer 를 포함하는 방법.How to include. 제9항에 있어서, 상기 방사선은 (a) 250 nm 미만의 파장을 갖는 자외선 방사선 및 (b) 전자빔 방사선으로 이루어진 군 중에서 선택하고, 상기 반사방지 층은 제1항 내지 제7항 중 어느 하나의 항에 기재된 조성물을 스핀 코팅하고, 이어서 상기 조성물을 가교결합시킴으로써 단계 (b)에서 형성시키는 것인 방법.The method of claim 9, wherein the radiation is selected from the group consisting of (a) ultraviolet radiation having a wavelength less than 250 nm and (b) electron beam radiation, wherein the antireflective layer is any one of claims 1 to 7. A process as claimed in step (b) by spin coating the composition of claim 1 and then crosslinking the composition.
KR20057000151A 2005-01-04 2002-07-11 Antireflective silicon-containing compositions as hardmask layer KR100666023B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR20057000151A KR100666023B1 (en) 2005-01-04 2002-07-11 Antireflective silicon-containing compositions as hardmask layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20057000151A KR100666023B1 (en) 2005-01-04 2002-07-11 Antireflective silicon-containing compositions as hardmask layer

Publications (2)

Publication Number Publication Date
KR20050025311A true KR20050025311A (en) 2005-03-14
KR100666023B1 KR100666023B1 (en) 2007-01-10

Family

ID=37383674

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20057000151A KR100666023B1 (en) 2005-01-04 2002-07-11 Antireflective silicon-containing compositions as hardmask layer

Country Status (1)

Country Link
KR (1) KR100666023B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100783068B1 (en) * 2006-03-22 2007-12-07 제일모직주식회사 Organosilane composition, Hardmask Composition Coated under Photoresist and Process of producing integrated circuit devices using thereof
KR100783064B1 (en) * 2006-03-13 2007-12-07 제일모직주식회사 Organosilane composition, Hardmask Composition Coated under Photoresist and Process of producing integrated circuit devices using thereof
KR100783070B1 (en) * 2006-03-22 2007-12-07 제일모직주식회사 Organosilane composition, Hardmask Composition Coated under Photoresist and Process of producing integrated circuit devices using thereof
KR100894931B1 (en) * 2005-04-04 2009-04-27 도쿄 오카 고교 가부시키가이샤 Silicone copolymer having condensed polycyclic hydrocarbon group

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100894931B1 (en) * 2005-04-04 2009-04-27 도쿄 오카 고교 가부시키가이샤 Silicone copolymer having condensed polycyclic hydrocarbon group
KR100783064B1 (en) * 2006-03-13 2007-12-07 제일모직주식회사 Organosilane composition, Hardmask Composition Coated under Photoresist and Process of producing integrated circuit devices using thereof
KR100783068B1 (en) * 2006-03-22 2007-12-07 제일모직주식회사 Organosilane composition, Hardmask Composition Coated under Photoresist and Process of producing integrated circuit devices using thereof
KR100783070B1 (en) * 2006-03-22 2007-12-07 제일모직주식회사 Organosilane composition, Hardmask Composition Coated under Photoresist and Process of producing integrated circuit devices using thereof

Also Published As

Publication number Publication date
KR100666023B1 (en) 2007-01-10

Similar Documents

Publication Publication Date Title
US6420088B1 (en) Antireflective silicon-containing compositions as hardmask layer
KR100910901B1 (en) ANTIREFLECTIVE SiO-CONTAINING COMPOSITIONS FOR HARDMASK LAYER
JP4336310B2 (en) Silicon-containing antireflection layer as hard mask layer and method for forming the same
KR100628824B1 (en) Lithographic antireflective hardmask compositions and uses thereof
JP4086830B2 (en) Silicon-containing composition for spin-on ARC / hard mask
US6927015B2 (en) Underlayer compositions for multilayer lithographic processes
US7648820B2 (en) Antireflective hardmask and uses thereof
KR100908601B1 (en) Anti-reflective hard mask composition and patterning method of substrate material using same
KR100816735B1 (en) Hardmask composition having antireflective property, process of producing patterned materials by using the same and integrated circuit devices
KR100665758B1 (en) Hardmask composition having antireflective property
KR100662542B1 (en) Hardmask composition having antireflective property and paterning materials on printed board using thereby
KR100697979B1 (en) Antireflective hardmask composition
KR100844019B1 (en) HIGH ETCH RESISTANT HARDMASK COMPOSITION HAVING ANTIREFLECTIVE PROPERTY WITH IMPROVEMENT OF CARBON CONTENTS and Process of producing patterned materials by using the same
US8323871B2 (en) Antireflective hardmask composition and a method of preparing a patterned material using same
KR100666023B1 (en) Antireflective silicon-containing compositions as hardmask layer
KR100673625B1 (en) Hardmask composition having antireflective property and paterning materials on printed board using thereby
KR100865684B1 (en) High etch resistant hardmask composition having antireflective property, method of manufacturing of patterning materials and semiconductor ic device produced by the method
KR100836675B1 (en) Hardmask composition having antireflective property, process of producing patterned materials by using the same and integrated circuit devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130102

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141215

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee