KR20040111674A - 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기 - Google Patents

자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기 Download PDF

Info

Publication number
KR20040111674A
KR20040111674A KR10-2004-7018795A KR20047018795A KR20040111674A KR 20040111674 A KR20040111674 A KR 20040111674A KR 20047018795 A KR20047018795 A KR 20047018795A KR 20040111674 A KR20040111674 A KR 20040111674A
Authority
KR
South Korea
Prior art keywords
plasma
ceiling
chamber
magnetic field
solenoid
Prior art date
Application number
KR10-2004-7018795A
Other languages
English (en)
Other versions
KR100883875B1 (ko
Inventor
다니엘제이. 호프만
매튜엘. 밀러
장규 양
희엽 채
마이클 반즈
이시카와데츠야
얀 예
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040111674A publication Critical patent/KR20040111674A/ko
Application granted granted Critical
Publication of KR100883875B1 publication Critical patent/KR100883875B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

플라즈마 반응기는 진공 챔버를 구획하는 측벽과 천장 및 상기 챔버 내에 있으며 평면상의 가공품을 지지하기 위해 상기 천장을 대향하는 가공품 지지대를 포함하며, 상기 가공품 지지대 및 상기 천장은 함께 상기 가공품 지지대 및 상기 천장 사이의 처리 영역을 구획하는 진공 인클로저를 포함하고 있다. 공정 가스 주입구는 상기 챔버 내에 공정 가스를 공급한다. 플라즈마 전원 전극은 상기 챔버 내에 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 정전 결합하는 RF 전력 발생기에 접속되어 있다. 상기 반응기는 적어도 공통의 대칭축을 따라 위치하고 있는 상기 천장에 인접한 제 1 오버헤드 솔레노이드 전자석, 상기 오버헤드 솔레노이드 전자석, 상기 천장, 상기 측벽 및 상기 가공품 지지대를 더 포함한다. 전류 공급원은 상기 제 1 솔레노이드 전자석에 접속되어 상기 제 1 솔레노이드 전자석에 제 1 전류를 공급하므로 그에 의해 상기 제 1 전류의 함수인 자기장을 상기 챔버 내에 생성하며, 상기 제 1 전류는 상기 자기장이 상기 가공품 지지대의 표면 부근에서 상기 대칭축에 대해 플라즈마 이온 밀도 방사상 분포의 균일성을 증가하도록 하는 값을 갖는다.

Description

자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기{CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETIC PLASMA CONTROL}
용량 결합형 플라즈마 반응기는 고종횡비(high aspect ratio)를 갖는 반도체 마이크로전자 구조를 제조하는 데 사용된다. 이와 같은 구조는 통상적으로 반도체 기판 상에 형성된 하나 이상의 박막을 통해 폭이 좁고 깊은 개구를 갖는다. 용량 결합형 플라즈마 반응기는 이와 같은 디바이스를 제조하는데 유전 에칭 공정, 금속 에칭 공정, 화학 기상 증착 등을 포함하는 다양한 형태의 공정에 사용된다. 이와 같은 반응기는 또한 포토리소그래픽 마스크의 제조 및 반도체 평판 디스플레이의 제조에 사용된다. 이와 같은 적용예는 플라즈마 이온에 의존하여 원하는 공정을 향상하거나 가능케 한다. 반도체 가공품의 표면에 대한 플라즈마 이온의 밀도는 공정 파라미터에 영향을 미치며, 고종횡비의 마이크로전자 구조의 제조에 특히 중요하다. 사실, 고종횡비 마이크로전자 집적회로 제조의 문제점은 가공품 표면에 대한 플라즈마 이온의 불균일성이 불균일한 에칭률 또는 증착률로 인한 공정 결함을 가져올 수 있다는 점이다.
통상적인 용량 결합형 반응기는 반응기 챔버에 웨이퍼 지지대 및 그 웨이퍼 지지대 위에 놓이는 천장(ceiling)을 갖는다. 천장은 공정 가스를 챔버 내로 분무하는 가스 분배판을 포함할 수 있다. RF 전원을 웨이퍼 지지대와 천장 또는 벽에 가하여 웨이퍼 지지대 위에 플라즈마를 공급하고 유지한다. 챔버는 일반적으로 원통형이며, 천장과 웨이퍼 지지대는 처리의 균일성을 개선하기 위해 원형으로 상기 원통형 챔버와 동축(同軸)으로 된다. 그럼에도 불구하고, 이와 같은 반응기는 불균일한 플라즈마 밀도 분포를 갖는다. 통상적으로, 플라즈마 이온의 방사상 밀도 분포는 웨이퍼 지지대의 중앙부에서 높고 주변부 부근에서 낮으며, 이것이 큰 문제이다. 여러 가지 접근 방법이 플라즈마 이온 밀도 분포를 제어하기 위해 사용되어 웨이퍼 또는 가공품 표면에 대한 공정 균일성을 향상시키며, 적어도 부분적으로는 이 문제를 극복하고 있다.
이와 같은 접근 방법의 하나는 반응기 챔버의 측면 주위에 원주 방향으로 이격된 자기 코일 세트를 제공하는 것으로, 모든 코일은 챔버의 중심을 향하고 있다. 상대적으로 낮은 주파수의 정현 전류가 각 코일에 공급되며, 인접 코일의 정현 전류는 위상이 오프셋되어 웨이퍼 지지대에 대해 저속으로 회전하는 자기장을 생성하게 된다. 이런 특징은 웨이퍼 지지대에 대한 플라즈마 이온 밀도의 방사상 분포를 향상시키는 경향이 있다. 이 접근 방법이 반응성 이온 에칭에 사용되는 경우를 자기적으로 향상된 반응성 이온 에칭(magnetically enhanced reactive ion etching, MERIE)이라고 부른다. 이 접근 방법은 어떤 제한을 갖는다. 특히 반도체 가공품 상의 마이크로전자 구조에 자기장의 세기와 연관된 디바이스 손상을 피하기 위해 자기장의 세기를 제한할 필요가 있을 수 있다. 또한 자기장 세기의 변화율과 연관된 챔버 아크 발생을 피하기 위해서도 이 세기를 제한해야 한다. 그 결과, 총 MERIE 자기장을 실질적으로 감소시킬 필요가 있으므로 플라즈마 이온 밀도 균일성 제어의 실질적인 제한에 직면할 수도 있다.
다른 접근 방법은 구성 가능한 자기장(CMF)이라고 부르며, 상술한 바와 같이 원주 방향으로 이격된 동일한 코일을 사용한다. 그러나 CMF에서 코일은 가공품 지지대의 평면을 따라, 한 측면에서 다른 측면으로 연장하는 자기장을 부과하도록 동작한다. 또한 자기장은 웨이퍼 지지대의 축선을 중심으로 회전하여, 방사상의 시간 평균 자기장을 생성한다. 이는 4개의 병행 코일을 갖는 반응기의 경우에, 한 쌍의 인접 코일에는 하나의 D.C. 전류를 공급하고 반대 쌍의 인접 코일에는 다른(반대) D.C. 전류를 공급함으로써 모두 달성된다. 이 패턴을 회전시키도록 코일을 전환시킴으로써 자기장은 상술한 바와 같이 회전한다. 이러한 접근 방법은 CMF 자기장의 급격한 전환으로 인한 챔버 또는 웨이퍼 아크 발생 문제에 취약하므로, 자기장 세기는 제한되어야 한다. 그 결과, 일부 적용분야에서는 반응기에 의해 생성되는 플라즈마 이온 밀도 불균일성을 보상하기에는 자기장이 불충분할 수 있다.
따라서 필요한 것은 더 효율적으로 (자기장 세기를 더 작게 할 수 있도록) 그리고 자기장의 시간 변동이 더 적도록(또는 없도록) 플라즈마 이온 밀도 불균일성을 보상하는 방법인 것이다.
본 출원은 2002년 5월 22일에 출원되고 발명의 명칭이 "자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기"로 Daniel Hoffmann 외가 발명자인 미국 가출원번호 60/383,194호의 우선권을 주장한다.
도 1a, 도 1b 및 도 1c는 플라즈마 이온 균일성을 제어하는 오버헤드 VHF 전극 및 오버헤드 코일을 갖는 플라즈마 반응기를 예시하는 도면.
도 2는 도 1의 오버헤드 코일을 제어하는 대표적인 장치를 예시하는 도면.
도 3a 및 도 3b는 도 1의 오버헤드 코일의 자기장의 도표이고 도 3c는 동일 자기장의 공간 표시도.
도 4a, 도 4b, 도 4c 및 도 4d는 도 1의 반응기의 다양한 동작 모드에 대한 웨이퍼 표면상의 에칭률(etch rate)(수직축)을 방사상 위치(수평축)의 함수로 나타낸 그래프.
도 5a, 도 5b, 도 5c 및 도 5d는 도 1의 반응기의 다른 동작 모드에 대한 웨이퍼 표면상의 에칭률(수직축)을 방사상 위치(수평축)의 함수로 나타낸 그래프.
도 6은 에칭률을 자기장의 함수로 나타낸 그래프.
도 7 및 도 8은 MERIE 자석을 갖는 도 1a의 반응기를 예시하는 도면.
도 9는 도 1a의 반응기의 동작 방법을 나타내는 플로차트.
도 10은 자기압력 및 이온 또는 전자 밀도의 비교예를 도 1a의 반응기의 웨이퍼 표면상의 방사상 위치의 함수로 예시하는 그래프.
도 11은 에칭률 불균일성을 코일 전류의 함수로 나타내는 그래프.
도 12는 도 11의 예에서 제로 코일 전류에서의 방사상 이온 분포를 예시하는 도면.
도 13a 및 도 13b는 도 11의 예에서 약 11 암페어의 코일 전류에서의 측정 및 예측 에칭률 분포를 비교하는 도면.
도 14a 및 도 14b는 도 11의 예에서 약 35 암페어의 코일 전류에서의 측정 및 예측 에칭률 분포를 비교하는 도면.
도 15는 도 1a의 반응기의 다른 동작 방법을 설명하는 플로차트.
도 16은 도 1a에 대응하는 반응기에서 얻는 자기장 분포를 예시하는 도면.
도 17은 웨이퍼 평면에서 도 16의 자기장의 제곱의 기울기를 나타낸 도면.
도 18은 도 1a에 대응하는 반응기에서 얻는 다른 자기장 분포를 예시하는 도면.
도 19는 웨이퍼 평면에서 도 18의 자기장의 제곱의 기울기를 나타낸 도면.
도 20은 도 1a에 대응하는 반응기에서 얻는 또 다른 자기장 분포를 예시하는 도면.
도 21은 웨이퍼 평면에서 도 20의 자기장의 제곱의 기울기를 나타낸 도면.
도 22는 도 1a의 반응기의 또 다른 동작 방법을 설명하는 플로차트.
도 23은 도 1a의 반응기를 제어하는 대표적인 마이크로컨트롤러 동작을 예시하는 플로차트.
도 24는 도 1a의 반응기에 포함된 특징들을 포함하는 플라즈마 반응기를 예시하는 도면.
도 25는 도 1a의 반응기에 포함된 특징들을 포함하는 다른 플라즈마 반응기를 예시하는 도면.
도 26, 도 27, 도 28, 도 29a 및 도 29b는 도 1, 도 24 및 도 25의 반응기를 위한 가스 분배판(distribution plate)을 예시하는 도면.
도 30 및 도 31은 도 26의 것과 같은 가스 분배판에서 열제어 특징을 예시하는 도면.
도 32 및 도 33은 듀얼 존(dual zone) 가스 유량 제어 기능을 갖는 도 26에 대응하는 가스 분배판을 예시하는 도면.
도 34는 듀얼 존 가스 분배판을 갖는 도 1a에 대응하는 플라즈마 반응기를예시하는 도면.
도 35 및 도 36은 대표적인 듀얼 존 가스 유량 제어기를 예시하는 도면.
도 37은 플라즈마 이온 분포를 제어하는 3개의 오버헤드 코일을 갖는 도 34에 대응하는 플라즈마 반응기를 예시하는 도면.
도 38 및 도 39는 각각 중앙부가 낮은 또는 높은 가스 유동 분포를 생성하는 도 26의 가스 분배판의 다른 가스 주입홀 패턴을 나타내는 도면.
도 40, 도 41, 도 42 및 도 43은 플라즈마 이온 분포를 제어하는 오버헤드 코일의 다른 배열을 예시하는 도면.
도 44 및 도 45는 도 45에 명확하게 도시된 커스프 형상의 자기장을 생성하기 위해 오버헤드 코일이 반응기 챔버 위와 아래에 있는 상부 및 하부 자기 코일로 대치된 도 1a에 대응하는 플라즈마 반응기를 예시하는 도면.
도 46은 도 44의 상부 및 하부 코일을 도 45의 커스프 형상의 자기장을 생성하기 위한 방식으로 동작하는 구성 가능한 자기장(configurable magnetic field; CMF) 코일로 대치할 수 있는 방법을 예시하는 도면.
도 47은 원하는 자기장 구성을 생성하기 위해 도 46의 CMF 코일의 동작 모드를 예시하는 도면.
도 48, 도 49 및 도 50은 플라즈마 이온이 반응기의 펌프 원환(pumping annulus)에 들어가는 것을 방지하는 도 1a의 반응기의 환형 개구판(annular apertured plate)을 예시하는 도면.
도 51은 직사각형 가공품을 처리하는 도 1a의 반응기의 직사각형 버전을 예시하는 도면.
도 52는 신축형(retractable) 가공품 지지대를 갖는 도 1a에 대응하는 반응기를 예시하는 도면.
플라즈마 반응기는 진공 챔버를 구획하는 측벽 및 천장과, 평판형의 가공품을 지지하기 위해 상기 챔버 내에서 상기 천장에 대향하는 가공품 지지대를 구비하며, 상기 가공품 지지대 및 상기 천장이 함께 상기 가공품 지지대와 상기 천장 사이에 처리 영역을 구획하는 진공 인클로저를 포함하고 있다. 공정 가스 주입구는 상기 챔버 내에 공정 가스를 공급한다. 플라즈마 전원 전극은 상기 챔버 내에 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 용량 결합하는 RF 전력 발생기에 접속되어 있다. 상기 반응기는 적어도 상기 천장에 인접한 제 1 오버헤드 솔레노이드 전자석을 더 포함하고, 상기 오버헤드 솔레노이드 전자석, 상기 천장, 상기 측벽 및 상기 가공품 지지대는 공통의 대칭축을 따라 위치된다. 전류 공급원은 상기 제 1 솔레노이드 전자석에 접속되어 상기 제 1 솔레노이드 전자석에 제 1 전류를 공급하고, 그에 의해 상기 제 1 전류의 함수인 자기장을 상기 챔버 내에 생성하며, 상기 제 1 전류는 상기 자기장이 상기 가공품 지지대의 표면 부근에서 상기 대칭축에 대한 플라즈마 이온 밀도 방사상 분포의 균일성을 증가하도록 하는 값을 갖는다.
특정한 플라즈마 반응기에 의해 나타나는 플라즈마 이온 밀도 분포는 챔버 압력, 가스 혼합과 확산 그리고 전원 방사 패턴의 함수이다. 본 발명에서, 이 분포는 공정 균일성을 개선하기 위해 미리 결정되는 선택된 또는 이상적인 분포에 접근하도록 자기적으로 변경된다. 자기적으로 변경된 또는 보정된 플라즈마 이온 밀도 분포는 웨이퍼 또는 가공품의 표면에 대한 공정 균일성을 개선한다. 이러한 목적으로, 자기적으로 보정된 플라즈마 분포는 사용자에 의해 결정되는 필요에 따라 불균일하거나 균일할 수 있다. 발명자는 그 분포를 바람직한 분포로 변화하기 위해 평균 자기장 세기가 플라즈마에 압력을 가함으로써 효율이 개선될 수 있다는 점을 발견했다. 이러한 발견에 따라 자기장 기울기의 방사상의 성분을 증가시킴으로써 이 놀라운 결과를 달성할 수 있다. 방사상 방향은 원통형 챔버의 대칭축을 중심으로 이루어지는 것으로 이해된다. 따라서 필요한 것은 다른 방향에서는 큰 값의 방사상 기울기와 작은 자기장 세기를 갖는 자기장 구성이다. 이와 같은 자기장은 그 대칭축이 원통형의 반응기 챔버의 축선과 일치하는 커스프(cusp) 형상이 된다. 커스프 형상의 자기장을 생성하는 한 가지 방법은 원통형 챔버의 위와 아래에 코일을 설치하여 이들 코일을 통해 반대 방향으로 D.C. 전류를 흐르게 하는 것이다.
챔버 설계에 따라서, 웨이퍼 받침대 아래에 코일을 설치하는 것이 비실용적일 수 있으므로, 먼저 상부 코일이 이러한 목적에 부합한다. 또한 필요한 것은 커스프 형상의 자기장이 소정의 플라즈마 반응기 챔버에서 고유의 플라즈마 이온 분포("주위" 플라즈마 이온 분포)의 정확한 제어 또는 변경을 위해 구성 또는 조절 가능하도록 하는 것이다. 상이한 용량 결합형 반응기에서 제공되는 플라즈마 이온 분포는 크게 차이가 날 수 있으므로, 이와 같은 조절 능력은 일부의 경우에 필수적일 수 있다. 자기장 기울기의 방사상 성분은 주위 분포를 바람직한 분포로 변경하는데 필요한 자기압력을 가하도록 선택된다. 예를 들어 바람직한 분포가 균일한 분포인 경우, 가해지는 자기장은 상기 자기장의 부재 시에 반응기에 의해 나타나는 플라즈마 이온 밀도의 방사상 분포의 불균일성을 상쇄하도록 선택된다. 이러한 경우, 예를 들어 반응기가 중앙부가 높은 플라즈마 이온 밀도 분포를 갖는 경향이 있으면, 자기장 기울기는 웨이퍼 지지대의 중앙부에 대해 플라즈마 밀도를 유지하도록 선택되어 주변부 근처에서 균일성을 달성하도록 개선한다.
이와 같은 커스프 형상의 자기장의 조절 능력은 본 발명의 발견에 따라 적어도 제 1 코일과는 다른 (예를 들면, 더 작은) 직경의 제 2 오버헤드 코일을 설치하여 달성된다. 각각의 코일의 D.C. 전류는 독립적으로 조절 가능하므로 커스프 형상의 자기장 구성이 실제로 임의의 주위 플라즈마 이온 분포를 아주 유연한 방식으로 보다 바람직한 플라즈마 이온 분포에 가깝게 변경하도록 한다. 이와 같은 자기장 구성의 선택은 중앙부가 높은 또는 낮은 플라즈마 이온 밀도 분포를 변경하도록 설계될 수 있다.
커스프 형상의 자기장이 (상술한 바와 같이) 자기장 세기에 대해 큰 값의 방사상 기울기를 가지므로 플라즈마에 대해 보정 압력을 가함에 있어서는 매우 효율적이지만, 자기장은 시간에 대해 일정하기 때문에, 아크 발생을 생성하는 경향이 아주 적어, 필요한 경우 더 큰 보정 능력을 위해서라도 어느 정도 더 강한 자기장을 사용할 수도 있다는 점에서, 실현 가능한 한 가지 이점이 두배로 된다.
도 1a는 조절 가능한 커스프 형상의 자기장을 제공할 수 있는 용량 결합형 플라즈마 반응기를 예시한 도면이다. 도 1a의 반응기는 원통형의 측벽(5), 가스 분배판인 천장(10) 및 반도체 가공품(20)을 수용하는 웨이퍼 지지대(15)를 포함하고 있다. 천장(10) 또는 가스 분배판은 양극으로 기능할 수 있도록 도체로 이루어지거나 그것에 부착되는 양극을 가질 수 있다. 천장(10) 또는 가스 분배판은 통상 알루미늄으로 제조되며 그 내부 표면에 챔버를 향하는 내부의 가스 매니폴드 및 가스 주입구를 갖는다. 공정 가스 공급원(25)은 공정 가스를 가스 분배판(10)에 공급한다. 진공 펌프(30)는 반응기 챔버 안의 압력을 제어한다. 반응기 챔버 안의 플라즈마를 점화하고 유지하는 플라즈마 전원은 임피던스 정합 회로(45)를 통해 웨이퍼 지지대(15)에 접속되는 RF 발생기(40)에 의해 생성되므로 웨이퍼 지지대는 RF 전극으로 기능한다. (도전 물질로 형성되는 천장(10)이 될 수도 있는) 양극은 RF 접지에 접속되므로 상대 전극으로 기능하게 된다. 이와 같은 반응기는 통상적으로 중앙부가 높은, 아주 불균일한 플라즈마 이온 밀도 분포를 갖는 경향이 있다.
도 1b는 천장(10)이 도 1a에서와 같이 직접 접지에 접속되지 않고, RF 임피던스 정합 요소(11)(개략적으로만 도시)를 통해 플라즈마 전원을 공급하는 VHF 신호 발생기(12)에 접속되는 특징을 예시한 도면이다. 이 경우, RF 발생기(40)는 단지 반도체 웨이퍼 또는 가공품(20)에 대한 RF 바이어스만을 제어한다. (RF 임피던스 정합 요소(11)는 예를 들면 동축 동조 스터브 또는 스트립 선로 회로 등 고정식 동조 요소일 수도 있다.) 이와 같은 특징은 본 명세서의 후반부에서 더 자세히 논의하기로 한다.
플라즈마 이온 밀도의 분포를 제어하기 위해, 천장(10) 위에 한 세트의 유도 코일이 설치된다. 도 1a의 경우, 이 코일의 세트는 원통형의 챔버와 동축이고 각각 도선의 단일 권선으로 구성되는 내측 코일(60) 및 외측 코일(65)을 포함한다. 도 1a에는 권선(60, 65)이 일회전인 것으로 예시되어 있지만, 예를 들면 도 1b에 나타낸 바와 같이, 이들은 각각 수직 방향으로 복수 회전 배열되어 구성될 수도 있다. 또는 도 1c에 나타낸 바와 같이, 권선(60, 65)은 수직과 수평의 양방향으로 연장될 수도 있다. 도 1a의 경우, 내측 코일(60)은 외측 코일(65)보다 더 천장(10) 위에 위치하고 있다. 그러나 다른 경우에, 이 배열은 반대로 될 수도 있고, 또한 2개의 코일(60, 65)이 천장(10) 위의 동일한 높이에 있을 수도 있다.
도 1a 및 도 1b의 경우, 제어기(90)는 코일(60, 65) 중 하나에 각각 접속되어 있는 각각의 독립적인 D.C. 전류 공급원(70, 75)을 제어하여 각각의 오버헤드 코일(60, 65)에 흐르는 전류의 크기 및 극성을 결정한다. 도 2를 참조하면, 제어기(90)가, 이 제어기(90)를 통해 전류를 공급한 D.C. 전류 공급원(75)으로부터 코일(60, 65)로의 D.C. 전류를 조절하는 경우가 예시되어 있으며, 제어기(90)는 코일(60, 65) 각각에 접속되어 있다. 어떤 경우이든, 제어기(90)는 서로 다른 코일(60, 65)에 다른 극성 및 크기의 D.C. 전류를 흐르게 할 수 있다. 도 2의 경우, 제어기(90)는 각각의 코일(60, 65)에 인가되는 D.C. 전류를 조정하는 한 쌍의 포텐쇼미터(82a, 82b) 및 독립적으로 각각의 코일(60, 65)에 인가되는 D.C. 전류의 극성을 결정하는 한 쌍의 연동 스위치(84a, 84b)를 포함하고 있다. 마이크로프로세서(91) 등 프로그램 가능한 장치가 포텐쇼미터(82a, 82b) 및 연동 스위치(84a, 84b)를 지능적으로 조절하기 위해 제어기(90)에 포함될 수 있다.
내측 코일(60)이 외측 코일(65)보다 천장(10) 위로 더 높게 위치하는, 도 1a, 도 1b 및 도 1c에 예시된 2개의 코일(60, 65)의 배열은 얼마의 이점을 제공한다. 구체적으로, 각 코일이 제공하는 자기장 기울기의 방사상 성분은 적어도 대략은 코일의 반지름에 비례하고, 코일로부터의 축 변위에 반비례한다. 따라서 내측 및 외측 코일(60, 65)은 그들의 다른 크기 및 변위로 인해 다른 역할을 수행할 것이다. 외측 코일(65)은 반지름이 더 크고 웨이퍼(20)에 더 근접해 있기 때문에 웨이퍼(20)의 전체 표면에 대해 우세한 반면, 내측 코일(60)은 웨이퍼 중앙부 가까이에서 가장 큰 영향을 미치며 자기장의 더 미세한 조정 또는 변화를 위한 트림 코일로 간주될 수 있다.다른 반지름을 가지고 플라즈마로부터 다른 변위에 위치하는 다른 코일에 의한 차동 제어를 실현케 하는 다른 배열도 가능할 것이다. 입의의 작업 예를 참조로 하여 본 명세서에서 후술하는 바와 같이, 주위 플라즈마 이온 밀도 분포에 대한 다른 변화는 각각의 오버헤드 코일(60, 65)에 흐르는 전류의 다른 크기뿐만 아니라 다른 오버헤드 코일에 대한 전류의 다른 극성 또는 방향을 선택함으로써 얻는다.
도 3a는, 도 1a의 경우 내측 코일(60)에 의해 생성되는 자기장의 방사상(실선) 및 방위각(점선) 성분을 웨이퍼(20)상의 방사상 위치의 함수로 예시한 것이다. 도 3b는 외측 코일(65)에 의해 생성되는 자기장의 방사상(실선) 및 방위각(점선) 성분을 웨이퍼(20)상의 방사상 위치의 함수로 예시한 것이다. 도 3a 및 도 3b에 예시한 데이터는 웨이퍼(20)가 지름 300 mm이고, 내측 코일(60)이 지름 12 인치이며 플라즈마 위로 약 10 인치에 위치하고, 외측 코일(65)이 지름 22 인치이며 플라즈마 위로 약 6 인치에 위치하는 설정에서 얻은 것이다. 도 3c는 내측 및 외측 오버헤드 코일(60, 65)에 의해 생성되는 반-커스프(half-cusp) 형상의 자기력선 패턴의 단순화 도면이다.
도 2의 제어기(90)는 웨이퍼 표면에서의 자기장을 조정하여 플라즈마 이온 밀도의 공간 분포를 변화하기 위해 각 코일(60, 65)에 인가되는 전류를 변화시킬 수 있다. 지금 설명하고자 하는 것은 제어기(90)가 이들 자기장을 변화하여 챔버내의 플라즈마 이온 분포에 얼마나 큰 영향을 미치어 향상시킬 수 있는가를 예시하기 위해, 서로 다른 코일(60, 65)에 의해 인가되는 다른 자기장의 효과이다. 다음 예에서, 플라즈마 이온 분포와는 달리 웨이퍼 표면에 대한 에칭률의 공간 분포는 직접 측정된다. 에칭률 분포는 플라즈마 이온 분포의 변화에 따라 직접 변화하므로 한 코일의 변화는 다른 코일의 변화에 의해 반영된다.
도 4a, 도4b, 도 4c 및 도 4d는 낮은 챔버 압력(30 mT)에서 내측 코일(60)만을 사용하여 실현되는 유용한 효과를 예시하고 있다. 도 4a는 측정된 에칭률(수직 방향의 Z축)을 웨이퍼(20) 표면상의 위치(수평 방향의 X 및 Y축) 함수로 예시한 것이다. 따라서 도 4a는 웨이퍼 표면의 평면에서 에칭률의 공간 분포를 예시하고 있다. 중앙부에서 높은 에칭률 분포의 불균일성을 도 4a에서 분명히 볼 수 있다. 도 4a는 자기장이 인가되지 않은 경우에 대응하며, 따라서 반응기의 고유한 균일하지 않은 에칭률을 예시하며 보정을 필요로 한다. 이 경우 에칭률은 5.7%의 표준 편차를 갖는다. 도 4 및 도 5에서, 자기장 세기는 웨이퍼 중앙부 근처에서의 축방향의 자기장으로 나타나지만, 방사상의 자기장이 균일성을 개선하기 위해 플라즈마 이온 밀도의 방사상 분포에 작용하는 것임을 이해할 수 있다. 본 명세서에서는 축방향의 자기장을 선택하였는데 이는 더 쉽게 측정되기 때문이다. 웨이퍼 모서리에서 방사상의 자기장은 통상적으로 이 위치에서 축방향의 자기장의 약 3분의 1이다.
도 4b는 내측 코일(60)이 9 Gauss의 자기장을 생성하도록 여자된 경우 에칭률 분포가 어떻게 변화하는지를 예시한 것이다. 불균일성이 4.7%의 표준 편차로 감소된다.
도 4c에서는 내측 코일(60)의 자기장이 18 Gauss로 증가되었으며, 중앙부에서의 피크가 크게 감소하고, 그 결과 웨이퍼에 대한 에칭률 표준 편차가 2.1%로 감소하였음을 볼 수 있다.
도 4d에서는 내측 코일(60)의 자기장이 27 Gauss로 더 증가되어, 도 4a의 중앙부가 높은 패턴이 중앙부가 낮은 패턴으로 거의 반전되었다. 도 4d의 경우, 웨이퍼 표면에 대한 에칭률의 표준 편차는 5%이다.
도 5a, 도 5b, 도 5c 및 도 5d는 더 높은 챔버 압력(200 mT)에서 양 코일(60, 65)을 사용하는 유용한 효과를 예시하고 있다. 도 5a는 도 4a에 대응하며 자기장에 의해 보정되지 않은 반응기의 중앙부가 높은 에칭률 불균일성을 나타내고있다. 이 경우, 웨이퍼 표면에 대한 에칭률의 표준 편차는 5.2%이다.
도 5b에서, 외측 코일(65)은 22 Gauss의 자기장을 생성하도록 여자되었으며, 에칭률 분포에서 중앙부의 피크는 어느 정도 감소하였다. 이 경우, 에칭률 표준 편차는 3.5%로 감소하였다.
도 5c에서, 양 코일(60, 65)은 24 Gauss의 자기장을 생성하도록 여자되었다. 도 5c에 도시된 결과는 에칭률 분포의 중앙부 피크가 크게 감소하였지만, 주변부 부근의 에칭률은 증가하였음을 나타낸다. 전체적인 효과는 3.2%의 낮은 표준 편차를 갖는 더 균일한 에칭률 분포이다.
도 5d에서, 양 코일은 40 Gauss의 자기장을 생성하도록 여자되어, 과보정(over-correction)되었으며, 웨이퍼 표면에 대한 에칭률 분포는 중앙부가 낮은 분포로 변환되었다. 이 후자의 경우 에칭률 표준 편차는 (도 5c의 경우와 비교하여) 3.5%로 약간 상승하였다.
도 4a 내지 도 4d의 저압 테스트와 도 5a 내지 도 5b의 고압 테스트에서 얻은 결과를 비교하면, 챔버 압력이 높아질수록 에칭률이 불균일한 분포에 대한 동일한 보정을 이룩하기 위해 훨씬 더 큰 자기장이 필요해진다는 점을 알 수 있다. 예를 들어, 30 mT에서는 단지 18 Gauss로 내측 코일(60)만을 사용하여 최적의 보정을 얻을 수 있었지만, 300 mT에서는 최적의 보정을 이룩하기 위해 양 코일(60, 65)을 사용하여 24 Gauss의 자기장이 필요하였다.
도 6은 오버헤드 코일의 자기장이 플라즈마 이온 밀도 또는 에칭률 분포의 균일성에 큰 영향을 주지만, 에칭률 자체에는 큰 영향을 주지 않는다는 점을 보여준다. 이것은 이점이 될 수 있는데, 에칭률 분포의 균일성을 개선하는 것은 바람직하지만, 특정한 반도체 공정을 위해 선택한 에칭률은 변화되지 않는 것이 바람직하기 때문이다. 도 6에서, 다이아몬드 기호는 측정된 에칭률(좌측의 수직축)을 자기장(수평축)의 함수로 나타낸 것이고, 사각 기호는 에칭률의 표춘 편차(불균일성)(우측의 수직 눈금)를 자기장의 함수로 나타낸 것이다. 예시된 범위에 대한 불균일성의 변화는 한 자릿수 정도이고, 에칭률의 변화는 단지 25% 정도이다.
도 1a, 도 1b 및 도 1c의 오버헤드 코일 인덕터(60, 65)는 종래의 MERIE 반응기에 사용될 수도 있다. 도 7 및 도 8은 4개의 종래 MERIE 전자석(92, 94, 96, 98) 및 MERIE 전류 제어기(99)의 부가적 특징을 가진 도 1a에 대응하는 경우를 예시한 것이다. 전류 제어기(99)는 MERIE 전자석(92, 94, 96, 98)에 A.C. 전류를 제공한다. 각 전류는 동일한 저주파이지만 90도 만큼의 위상 오프셋을 가지므로 종래의 방법으로 챔버 내에 저속의 회전 자기장을 생성할 수 있다.
오버헤드 코일을 이용한 플라즈마 분포의 제어
본 발명의 방법에 따라, 특정한 반응기의 고유한 웨이퍼 표면에 대한 플라즈마 이온 밀도 분포는 오버헤드 코일(60, 65)에 의해 생성되는 특정 자기장을 선택함으로써 특정한 방법으로 맞출 수 있다. 예를 들어, 플라즈마 분포는 웨이퍼 표면에 대해 더 균일한 에칭률 분포를 생성하도록 맞출 수 있다. 이러한 맞춤은 예를 들어, 오버헤드 코일의 D.C. 전류 흐름의 최적 극성 및 진폭을 선택하기 위해 제어기(90)를 프로그램하여 이룩할 수 있다. 본 발명의 예는 단지 2개의 동심 오버헤드 코일(즉, 코일(60, 65))을 가진 반응기에 관한 것이지만, 이 방법은 2개 이상의 코일에서도 수행될 수 있으며, 더 많은 수의 오버헤드 코일을 이용하여 더 정확한 결과를 제공할 수 있다. 자기장은 제어기(90)에 의해 맞추어져 웨이퍼 표면에 대한 플라즈마 이온 밀도 분포를 변화시키고, 이는 다시 에칭률 분포에 영향을 준다.
첫 단계는 오버헤드 코일(60, 65)로부터 임의의 보정 자기장 없이 웨이퍼 표면에 대한 에칭률의 분포를 측정하는 것이다. 다음 단계는 더 균일한 에칭률 분포를 만드는 플라즈마 이온 밀도 분포의 변화를 결정하는 것이다. 마지막 단계는 플라즈마 이온 밀도 분포의 바람직한 변화를 생성하는 자기장을 결정하는 것이다. 이와 같이 자기장이 주어지면, 그 필드를 생성하기 위해 필요한 오버헤드 코일(60, 65)의 전류의 크기 및 방향을 주지의 정적 자기장 방정식으로부터 계산할 수 있다.
본 발명자는 플라즈마에 대한 오버헤드 코일(60, 65)의 자기장에 의해 가해지는 압력(소위 "자기압력")을 자기장으로부터 계산하는 방법을 발견하였으며, 아래에서 이를 논의한다. 플라즈마에 대한 자기압력은 플라즈마 이온 밀도 분포의 변화를 생성한다. 이 플라즈마 이온 밀도 분포의 변화는 웨이퍼 표면에 대한 에칭률 분포의 비례 변화를 생성하며, 이를 직접 관찰할 수 있다. 따라서 웨이퍼 표면에 대한 플라즈마 이온 밀도 분포와 에칭률 분포는 적어도 대략적으로는 비례 팩터에 의해 관련된다.
처음에, 웨이퍼 표면에 대한 에칭률의 공간 분포는 오버헤드 코일(60, 65)로부터 자기장을 인가하기 전에 측정된다. 이로부터, (균일한 분포를 달성하기 위한) 에칭률 분포의 바람직한 변화를 결정할 수 있다. 다음, 챔버 내의 위치 및 코일의 전류의 함수로서 각 오버헤드 코일(60, 65)에 의해 생성되는 자기장의 공간 분포를각 코일의 형상으로부터 분석적으로 결정한다. 다음, 코일에 주지의 전류 세트를 인가한 후 그에 따른 웨이퍼 표면에 대한 에칭률 분포의 변화를 측정함으로써, 웨이퍼 표면에서 모든 코일로부터의 자기장의 벡터 합을 웨이퍼 표면에서 에칭률 분포의 변화와 연관시키는 선형 스케일 팩터를 도출할 수 있다. (이 스케일 팩터는 일반적으로 플라즈마의 중성 압력의 함수이고 약 500 mT 챔버 압력까지 동작한다.) 따라서 (균일성을 더 잘 이룩할 수 있는) 에칭률 분포의 바람직한 변화 또는 보정이 주어지는 경우, (본 명세서에서 후술하는 방식으로) 필요한 자기장을 발견할 수 있으며, 이미 분석적으로 결정된 자기장 공간 분포 함수를 사용하여 대응하는 코일 전류를 이로부터 추정할 수 있다.
에칭률 분포의 불균일성에 대한 바람직한 보정은 여러 가지 방법으로 확립할 수 있다. 예를 들어, 웨이퍼 표면에 대한 2차원 에칭률 분포를 균일한 또는 평균 에칭률로부터 차감하여 "차분(difference)" 분포를 생성할 수 있다. 이 방법으로 보정되는 에칭률 분포의 불균일성은 용량 결합형 전원, 불균일한 공정 가스 분포뿐만 아니라 불균일한 플라즈마 이온 밀도 분포의 불균일한 적용을 포함하는, 반응기 챔버의 각종 팩터의 결과이다. 상술한 방법에서, 불균일성은 자기압력에 의해 플라즈마 이온 밀도 분포를 변화하여 보정된다.
다음의 방법은 또한 얼마의 바람직한 방식으로 불균일한 "보정된" 플라즈마 분포를 확립하는데 사용할 수 있다. 이 경우, 이루어지는 보정은 "미보정된" 또는 주위 플라즈마 이온 밀도 분포와 바람직한 분포(그 자체가 불균일한)간의 차분이다. 따라서 이 방법은 플라즈마 밀도 분포를 더 균일하게 또는 반드시 균일하지만은 않은 특정하게 선택된 밀도 분포 패턴으로 만드는데 유용하다.
상술한 방법을 수행하는 일련의 단계를 도 9를 참조로 설명한다.
처음 단계(도 9의 블록(910))는 각 오버헤드 코일(60, 65)에 대해, 웨이퍼 표면에서의 자기장을 코일의 전류 흐름 및 웨이퍼 표면의 방사상 위치의 함수로서의 표현식을 분석적으로 결정한다. 원통 좌표를 사용하여, 이 표현식은 ith코일에 대해 Bj(r, z=wafer, Ii)로 표현된다. 이것은 간단한 방식으로 비오사바르의 법칙으로부터 결정된다.
다음 단계(도 9의 블록(920))는 오버헤드 코일(60, 65)에 전류가 흐르지 않는 상태에서 수행된다. 이 단계에서, 웨이퍼 표면에 대한 플라즈마 이온 밀도의 공간 분포를 측정한다. 이 공간 분포는 n(r, z=wafer)으로 표현될 수 있다. 이 단계에서, 플라즈마 이온 밀도 분포는 테스트 웨이퍼의 표면에 대한 에칭률 분포를 측정하여 간접적으로 측정할 수 있다. 숙련된 작업자는 에칭률 분포로부터 플라즈마 이온 밀도 분포를 미리 추정할 수 있다.
다음으로, 블록(930)에서, 이전 단계에서 측정한 상기 측정된 플라즈마 이온 밀도 공간 분포 함수 n(r, z=wafer)에 대한 보정값, c(r)를 결정한다. 이 보정값 c(r)는 다수의 적절한 방식으로 정의할 수 있다. 예를 들어, 최대값 n(r, z=wafer)max에서 n(r, z=wafer)을 차감한 값으로 정의 할 수 있다. 이와 같은 방식에서, n(r, z=wafer)에 c(r)를 더하면 n(r)max에 상당하는 균일한 진폭의 "보정된" 분포를 생성한다. 물론, 보정 함수 c(r)는 다른 균일한 진폭을 생성하도록 다르게정의될 수도 있다. 또한 간략히 상술한 바와 같이, 바람직한 분포가 불균일한 경우, 보정값은 바람직한 분포와 n(r, z=wafer)간의 차분이다.
다음 단계(블록(940))는 각각의 오버헤드 코일(60, 65)에 대한 "테스트" 전류 Ii를 선택하고, 그 전류를 적절한 코일에 인가하여 n(r, z=wafer)test로 표현할 수 있는 최종 플라즈마 이온 분포를 측정하기 위한 것이다. 이온 분포의 변화 Δn(r)은 자기장이 있는 그리고 없는 상태에서 측정한 이온 분포를 차감하여 얻는다.
다음 단계(블록(950))는 자기장(즉, 자기압력)에 의해 가해지는 압력 기울기를 이온 분포의 변화 Δn(r)에 연관시키는 스케일 팩터 S를 계산하는 것이다. 이 계산은 자기압력 기울기를 Δn(r)으로 나눔으로써 수행된다. ith코일의 자기장 B(r, z=wafer, Ii)의 자기압력 기울기는 자기-유체역학 방정식에 따라 각각의 코일에 대해 개별적으로 계산된다.
여기서 아래 첨자 r은 방사상 성분을 나타낸다. 다음에 이렇게 각각의 코일에 대해 개별적으로 얻은 결과를 함께 합산한다. 그러므로 총 자기압력 기울기는,
따라서 스케일 팩터 S는,
이 제산 연산은 r의 다른 값으로 수행되고 그 결과를 평균하여 스칼라 형태의 S를 얻는다. 그 외에도, 스케일 팩터 S는 r의 함수로 적절한 방식으로 사용되고 있다.
블록(950)의 단계에서 발견된 스케일 팩터 S는 자기압력을 결정하는 코일 전류 Ii와 최종 이온 분포의 변화 간의 링크이다. 구체적으로, 한 세트의 코일 전류 Ii가 주어지는 경우, 대응하는 이온 분포 n(r)의 변화는 상기 Ii의 세트로부터 결정된 자기압력을 스케일 팩터 S에 승산하여 계산할 수 있다.
이 사실은 컴퓨터(마이크로프로세서(91) 등)가 상술한 방정식을 사용하여 미리 지정된 또는 바람직한 플라즈마 이온 밀도 분포의 변화 Δn(r)의 가장 근사치를 생성하는 한 세트의 코일 전류 Ii를 탐색하는 다음 단계(블록(960))를 위한 근거를 제공한다. 이 경우, 바람직한 변화는 블록(930)의 단계에서 계산된 보정 함수 c(r)에 상응한다. 즉, 컴퓨터는 하기의 조건을 만족하는 한 세트의 코일 전류 Ii를 탐색하는 것이다.
이와 같은 탐색은 예를 들어, 가장 가파른 하향경사 방법을 포함하는 주지의 최적화 기술에 의해 수행될 수 있다. 이와 같은 기술은 이미 당업자에 의해 수행되고 있으므로 여기서 기술할 필요는 없을 것이다.
다음에 상기 검색에 의해 발견된 코일 전류 Ii세트의 크기 및 극성은 제어기(90)로 전송되고, 이는 다시 각각의 코일(60, 65)에 상술한 전류를 인가한다.
도 10은 자기압력(실선)과 플라즈마 이온 분포(점선)의 측정된 변화를 웨이퍼 표면에서 방사상 위치의 함수로서 비교한 것이다. 위에서 논의한 바와 같이, 자기압력은 오버헤드 코일의 자기장의 제곱의 기울기이다. 도 10은 자기장과 이온 밀도 분포의 변화 간의 양호한 상관관계를 나타내고 있다.
이와 같은 방법의 적용을 도 11 내지 도 14에서 예시하고 있다. 도 11은 웨이퍼 표면에서 에칭률 공간 분포의 불균일성 또는 표준 편차(수직축)가 오버헤드 코일의 하나에서 코일 전류에 따라 어떻게 변화되고 있는지를 예시하고 있다. 제로 코일 전류에서 표준 편차는 약 12%이고, 이온 분포는 도 12에 나타낸 바와 같이 중앙부가 높은 값을 갖는다.
약 17 암페어의 코일 전류에서 최소 약 3%의 불균일성을 달성할 수 있었다. 이는 약 4배의 개선효과를 나타내는 것이다(즉, 에칭률 분포의 표준 편차가 12%에서 3%로) 실제의 또는 측정된 에칭률 분포는 도 13a에 나타내었으며, 도 9의 기술을 사용하여 예측한 에칭률 분포는 도 13b에 나타내었다.
35 암페어의 높은 코일 전류에서, 에칭률 분포 표준 편차는 약 14%이었다. 측정된 에칭률 공간 분포는 도 14a에 나타내었으며, 예측되는 분포는 도 14b에 나타낸 바와 같다.
도 13a를 다시 참조하면, 얻어낸 가장 균일한 이온 분포는 평탄하지 않으며 사실 "보울(bowl)" 형상을 가지며, 주변부 근처에서는 오목하고 중앙부 근처에서는 볼록하다. 더 많은 수의 독립적인 오버헤드 코일(예를 들면, 3개 이상)을 가지고, 더 높은 해상도로 전류의 최적화를 수행하여 그 결과 더 나은 균일성을 얻는 것이 가능하다. 따라서 본 발명은 단지 2개의 코일을 갖는 경우로 제한되지 않는다. 본 발명은 둘 미만이나 더 많은 수의 오버헤드 코일을 사용하여 다양한 결과로 수행될 수도 있다.
동일한 방법을 천장 표면에서의 플라즈마 이온 밀도 분포 또는 에칭률 분포를 제어하기 위해 적용할 수도 있다. 이와 같은 접근 방법은, 예를 들면 챔버 세정 작업 중에 유용할 수 있다. 도 15는 이온 밀도(또는 에칭률)의 공간 분포의 균일성을 최적화하는 도 9의 방법의 한 버전을 예시하고 있다. 도 15의 단계, 말하자면 블록(910', 920', 930', 940', 950', 및 960')은 웨이퍼 면이 아니라 천장 면에 대해서 수행된다는 점을 제외하고는 도 9의 단계 즉, 블록(910, 920, 930, 940, 950 및 960)과 동일하다.
처음 단계(도 15의 블록(910'))는 각각의 오버헤드 코일(60, 65)에 대하여,천장 표면에서의 자기장을 위한 표현식을 코일에서의 전류 흐름 및 웨이퍼 표면상의 방사상 위치의 함수로서 분석적으로 결정하는 것이다. 원통 좌표를 사용하여, 이 표현식은 Ii코일에 대해, Bi(r, z=ceiling, Ii)로 표현된다. 이것은 단순한 정적 자기장 방정식으로부터 결정되며 코일 전류 Ii및 천장 표면상의 방사상 위치 r뿐만 아니라 코일의 반지름 및 코일과 천장 내부표면간의 거리, z=ceiling 등 임의의 상수의 함수이다.
다음 단계(도 15의 블록(920'))는 오버헤드 코일(60, 65)에 흐르는 전류가 없는 상태에서 수행된다. 이 단계에서, 천장 표면에 대한 플라즈마 이온 밀도의 공간 분포를 측정한다. 이 공간 분포는 n(r, z=ceiling)으로 표현할 수 있다. 이 단계에서, 플라즈마 이온 밀도 분포는 종래의 프로브 또는 다른 간접 기술에 의해 측정할 수 있다.
다음, 블록(930')의 단계에서, 전 단계에서 측정한 상기 측정된 플라즈마 이온 밀도 공간 분포 함수 n(r, z=ceiling)에 대한 보정값 c'(r)를 결정한다. (여기서 프라임 표기(')는 도 15의 계산법을 상술한 도 9의 계산법과 구분하기 위해 사용하고 있으며, 본 명세서에서 사용하는 도함수를 의미하지 않는다.) 보정값 c'(r)는 다수의 적절한 방식으로 정의할 수 있다. 예를 들어, 최대값 n(r, z=ceiling)max에서 n(r, z=ceiling)을 차감한 값으로서 정의할 수 있다. 이와 같은 방식에서, n(r, z=ceiling)에 c'(r)를 더하면 n(r)max에 상당하는 균일한 진폭의 "보정된" 분포를 생성한다. 물론, 보정 함수 c'(r)는 다른 균일한 진폭을 생성하도록 다르게정의될 수도 있다. 또한 특정한 불균일한 분포가 바람직한 경우, 보정값은 비보정된 또는 주위 플라즈마 분포 n(r, z=ceiling)과 바람직한 불균일 분포간의 차분이다. 따라서 이 방법은 특정한 불균일 패턴을 갖는 바람직한 플라즈마 이온 분포 또는 균일한 플라즈마 이온 밀도 분포를 확립하기 위해 사용될 수 있다.
다음 단계(블록(940'))는 각각의 오버헤드 코일(60, 65)에 대한 "테스트" 전류 Ii를 선택하고, 그 전류를 적절한 코일에 인가하여 n(r, z=ceiling)test로 표현할 수 있는 최종 플라즈마 이온 분포를 측정하기 위한 것이다. 이온 분포의 변화 Δn(r)은 자기장이 있는 그리고 없는 상태에서 측정한 이온 분포를 차감하여 얻는다.
다음 단계(블록(950'))는 자기장(즉, 자기압력)에 의해 가해지는 압력 기울기를 이온 분포의 변화 Δn'(r)에 연관시키는 스케일 팩터 S'를 계산하는 것이다. 이 계산은 자기압력 기울기를 Δn'(r)으로 나눔으로써 수행된다. ith코일의 자기장 B(r, z=ceiling, Ii)의 자기압력 기울기는 자기-유체역학 방정식에 따라 각각의 코일에 대해 개별적으로 계산된다.
여기서 아래 첨자 r은 방사상 성분을 나타낸다. 다음에 이렇게 각각의 코일에 대해 개별적으로 얻은 결과를 함께 합산한다. 그러므로 총 자기압력 기울기는,
따라서 스케일 팩터 S'는,
블록(950')의 단계에서 발견된 스케일 팩터 S'는 자기압력을 결정하는 코일 전류 Ii와 최종 이온 분포의 변화 간의 링크이다. 구체적으로, 한 세트의 코일 전류 Ii가 주어지는 경우, 대응하는 이온 분포 n'(r)의 변화는 상기 Ii의 세트로부터 결정된 자기압력을 스케일 팩터 S'로 승산하여 계산할 수 있다.
이 사실은 컴퓨터(마이크로프로세서(91) 등)가 상술한 방정식을 사용하여 미리 지정된 또는 바람직한 플라즈마 이온 밀도 분포의 변화 Δn'(r)의 가장 근사치를 생성하는 한 세트의 코일 전류 Ii를 탐색하는 다음 단계(블록(960'))를 위한 근거를 제공한다. 이 경우, 바람직한 변화는 블록(930')의 단계에서 계산된 보정 함수 c'(r)에 상응한다. 즉, 컴퓨터는 하기의 조건을 만족하는 한 세트의 코일 전류Ii를 탐색하는 것이다.
이와 같은 탐색은 예를 들어, 가장 가파른 하향경사의 방법을 포함하는 주지의 최적화 기술에 의해 수행될 수 있다. 이와 같은 기술은 이미 당업자에 의해 수행되고 있으므로 여기서 기술할 필요는 없을 것이다.
다음에 상기 검색에 의해 발견된 코일 전류 Ii세트의 크기 및 극성은 제어기(90)로 전송되고, 이는 다시 각각의 코일(60, 65)에 상술한 전류를 인가한다.
단지 단일 오버헤드 코일만으로, 본 장치는 동시에 양쪽은 아니지만 웨이퍼 또는 천장에서 플라즈마 이온 분포를 최적화하는데 사용할 수 있다. 적어도 2개의 오버헤드 코일(예를 들면, 오버헤드 코일(60, 65))을 가지고, 플라즈마 이온 분포 균일성은 적어도 웨이퍼와 천장 양쪽에서 동시에 개략적으로 최적화될 수 있다.
오버헤드 코일을 이용한 플라즈마의 조종
본 발명자는 천장 및/또는 측벽을 향해 플라즈마를 조종하거나 또는 웨이퍼 표면으로 그것을 조종하는 방식으로 코일 전류 Ii를 선택할 수 있음을 발견하였다. 코일 전류 Ii는 또한 도 9의 방법과 유사한 방식으로 천장 표면에서 플라즈마 밀도 분포의 균일성을 개선하기 위해 선택될 수도 있다. 그 결과, 플라즈마는 웨이퍼에 대한 처리 중에 집중되고, 다음에 세정 중에 천장 및/또는 측벽에 대해 집중될 수도 있다. 이와 같이 천장에 플라즈마를 집중시킴으로써, 세정 시간을 단축할 수 있다.
일례로, 제어기(90)가 내측 코일(60)에 -17.5 암페어의 전류 및 외측 코일(65)에 +12.5 암페어의 전류를 인가함으로써 플라즈마를 챔버의 측벽으로 조종하였다. 도 16은 제로 반지름으로부터 챔버의 주변부로 수평축을 따라 연장되고 웨이퍼 표면으로부터 천장으로 수직축을 따라 연장되는 챔버 내부의 방사상 부분을 예시한 것이다. 도 16의 작은 화살표는 제어기(90)가 내측 코일(60)에 -17.5 암페어의 전류 및 외측 코일(65)에 +12.5 암페어의 전류를 인가함으로써 플라즈마를 챔버의 측벽으로 조종하는 경우 챔버의 여러 위치에서 자기장의 크기 및 방향을 나타내고 있다. 도 17은 웨이퍼 표면에서 대응하는 자기장의 제곱의 기울기를 방사상 위치의 함수로 예시한 것이다.
다른 예로, 제어기(90)가 내측 코일(60)에 -12.5 암페어의 전류 및 외측 코일(65)에 +5 암페어의 전류를 인가함으로써 플라즈마를 챔버의 천장으로 조종하였다. 도 18은 제로 반지름으로부터 챔버의 주변부로 수평축을 따라 연장되고 웨이퍼 표면으로부터 천장으로 수직축을 따라 연장되는 챔버 내부의 방사상 부분을 예시한 것이다. 도 18의 작은 화살표는 제어기(90)가 내측 코일(60)에 -12.5 암페어의 전류 및 외측 코일(65)에 +5 암페어의 전류를 인가함으로서 플라즈마를 챔버의 천장으로 조종하는 경우 챔버의 여러 위치에서 자기장의 크기 및 방향을 나타내고 있다. 도 19는 웨이퍼 표면에서 대응하는 자기장의 제곱의 기울기를 방사상 위치의 함수로 예시한 것이다.
또 다른 예로, 제어기(90)가 내측 코일(60)에 -25 암페어의 전류 및 외측 코일(65)에 +2.75 암페어의 전류를 인가함으로써 플라즈마를 천장의 중앙부로부터 측벽으로 연장되는 자기력선을 따라 조종하였다. 도 20은 제로 반지름으로부터 챔버의 주변부로 수평축을 따라 연장되고 웨이퍼 표면으로부터 천장으로 수직축을 따라 연장되는 챔버 내부의 방사상 부분을 예시한 것이다. 도 20의 작은 화살표는 제어기(90)가 내측 코일(60)에 -25 암페어의 전류 및 외측 코일(65)에 +2.5 암페어의 전류를 인가함으로써 플라즈마를 챔버의 측벽으로 조종하는 경우 챔버의 여러 위치에서 자기장의 크기 및 방향을 나타내고 있다. 도 21은 웨이퍼 표면에서 대응하는 자기장의 제곱의 기울기를 방사상 위치의 함수로 예시한 것이다.
도 17은 플라즈마가 모서리를 향해 조종되는 경우 플라즈마에 대한 높은 정(正)의 자기압력이 챔버의 모서리 부근에서 작용하고 있음을 보여주고 있다. 도 19는 플라즈마가 천장의 모서리로 유도되는 경우 플라즈마에 대한 낮은 자기압력이 챔버의 모서리 부근에서 작용하고 있음을 보여주고 있다. 도 21은 자기력선이 천장으로부터 모서리로 연장되는 경우 높은 음의 자기압력이 챔버 모서리 부근에서 나타나고 있음을 보여주고 있다.
따라서 오버헤드 코일(60, 65)의 전류는 천장과 측벽 등 세정을 필요로 할 수 있는 챔버의 여러 위치로 플라즈마를 유도하도록 선택될 수도 있다. 또한, 플라즈마는 웨이퍼 부근에 더 집중될 수도 있다. 플라즈마를 웨이퍼나 천장으로 조종하기 위해, 또는 어떤 조종 비율(steering rate, SR)에 따라 플라즈마를 웨이퍼와 천장 사이에 분할하기 위해, 도 22에 예시된 방법 등을 수행할 수도 있다.
도 22를 참조하면, 처음 단계(도 22의 블록(2210))는 챔버 내의 자기장의 분석 모델을 오버헤드 코일(예를 들면, 한 쌍의 코일(60, 65))의 모든 코일 전류의 함수로 정의하는 것이다. 이는 당업자에 의해 정적 자기장 방정식을 사용하여 수행되고 있으므로 여기서 기술할 필요는 없을 것이다. 상기 자기장은 각 코일로부터의 개별적인 자기장의 합이다. 개별적인 자기장은 각각의 코일의 지름, 각 코일의 위치, 코일의 전류 흐름 및 챔버 내의 위치의 함수이다. 따라서 ith코일에 의해 생성되는 자기장은 다음과 같이 표현된다.
따라서 총 자기장은,
다음 단계(블록(2220))는 한 세트의 바람직한 공정 조건을 달성하는 한 세트의 자기장을 선택하는 것이다. 예를 들어, 플라즈마를 천장으로 조종하기 위해서는, 도 18의 예에서 예시한 바와 같이, 천장을 향해 플라즈마를 밀어내는 플라즈마에 대한 자기압력을 생성하는 자기장이 선택된다. 플라즈마를 측벽으로 조종하기 위해서는, 도 16에 예시한 바와 같이, 주변부를 향해 플라즈마를 밀어내는 플라즈마에 대한 자기압력을 생성하는 자기장이 선택된다.
특정한 조건을 달성하는 상술한 블록(2220)의 단계에 정의된 각 자기장에 대하여, 컴퓨터는 바람직한 자기장을 생성하는 한 세트의 코일 전류를 위하여 블록(2210)의 단계에 정의된 모델을 탐색한다. 이 단계가 다음 블록(2230)의 단계이다.블록(2230)의 단계에서 발견된 각 전류 세트는 대응하는 공정 조건과 연관된 메모리 위치에 대응하는 조건의 이름과 함께 저장된다(도 22의 블록(2240)). 특정한 공정 조건이 선택되는 때는 언제나(예를 들면, 플라즈마를 천장으로 조종), 마이크로프로세서(91)는 대응하는 메모리 위치로부터 전류값의 세트를 꺼내어(블록(2250)) 그 대응하는 전류가 적절한 코일에 인가되도록 한다(블록(2260)).
도 23은 마이크로프로세서(91)가 사용자 입력에 응답하기 위해 어떻게 프로그램될 수 있는지를 보여준다. 먼저 이 처리가 웨이퍼 표면의 에칭을 포함하는지의 여부(블록(2310))와 이 공정이 천장의 세정(에칭)을 포함하는지의 여부(블록(2320))를 결정한다. 단지 웨이퍼만이 에칭되는 경우, 플라즈마는 웨이퍼를 향해 조종되고(블록(2330)) 웨이퍼 표면에서의 플라즈마 분포 균일성은 도 9의 방법을 사용하여 최적화된다(블록(2350)). 웨이퍼가 에칭되면서 동시에 천장도 세정되는 경우, 플라즈마 밀도는 천장과 웨이퍼 간에 분할되고(블록(2360)) 플라즈마 밀도 균일성은 도 9에서와 같이 웨이퍼 표면에서 그리고 도 15에서와 같이 천장에서 최적화된다(블록2370). 단지 천장만이 세정되는 경우, 플라즈마는 천장을 향해 조종되고(블록(2380)) 천장에서의 플라즈마 밀도 균일성은 최적화된다(블록(2390)).
VHF 오버헤드 전극과 함께 사용
도 24는 내측 및 외측 코일(60, 65)이 고정식 동조 스터브를 통해 VHF 플라즈마 전원 발생기에 접속된 오버헤드 전극을 갖는 용량 결합형 반응기와 어떻게 결합할 수 있는지를 예시하고 있다. 이와 같은 반응기는 2001년 12월 19일에 출원되고 발명의 명칭이 "플라즈마에 동조되는 오버헤드 RF 전극을 갖는 플라즈마 반응기"로 Daniel Hoffmann 외가 발명자이고 본 양수인에게 양도된 미국 특허 출원번호 10/028,922에 기술되어 있으며, 그 내용이 참조로서 본 명세서에 포함되어 있다.
도 24를 참조하면, 플라즈마 반응기는 반도체 웨이퍼(110)를 지지하는 챔버의 하부에 웨이퍼 지지대(105)를 갖는 반응 챔버(100)를 포함하고 있다. 공정 키트는 대표적인 실시형태에서, 접지된 챔버 본체(127) 상에 유전 링(dielectric ring)(120)에 의해 지지되는 도전성 또는 반도전성의 링(115)을 포함할 수 있다. 챔버(100)의 상부에는 유전 밀봉부(dielectric seal)에 의해 접지된 챔버 본체(127) 상의 웨이퍼(110) 위에서 간극 길이로 지지되는 디스크 형상의 오버헤드 도전성 전극(125)이 고정되어 있다. 하나의 실시형태에서, 웨이퍼 지지대(105)는 수직 방향으로 이동 가능하므로 상기 간극 길이는 변경될 수 있다. 다른 실시형태에서, 상기 간극 길이는 고정된 소정의 길이가 될 수도 있다. 오버헤드 도전성 전극(125)은 그 내부 표면상에 반금속 물질(예를 들면, Si 또는 SiC)이 피복되는 금속(예를 들면, 알루미늄)이거나, 그 자체가 반금속 물질일 수 있다. RF 발생기(150)는 상기 전극(125)에 RF 전력을 인가한다. 발생기(150)로부터의 RF 전력은 발생기(150)에 정합되는 동축 케이블(162)을 통해 전극(125)에 접속되는 동축 스터브(135) 내로 결합되어 있다. 스터브(135)는 특성 임피던스를 가지며, 공진주파수를 가지고, 아래에 더 자세히 기술하는 바와 같이, 전극(125)과 동축 케이블(162) 또는 RF 전력 발생기(150)의 출력간의 임피던스 정합을 제공하고 있다. 챔버 본체는 RF 발생기(150)의 RF 귀선(RF 접지)에 접속되어 있다. 오버헤드 전극(125)으로부터 RF 접지로의 RF 경로는 유전 밀봉부(120)의 정전용량 및 유전 밀봉부(130)의 정전용량에 의해 영향을 받는다. 웨이퍼 지지대(105), 웨이퍼(110) 및 공정 키트 도전성 또는 반도전성 링(115)은 전극(125)에 인가되는 RF 전력을 위한 일차 RF 귀선 경로를 제공하고 있다.
도 1a의 경우에서와 같이, 내측 코일(60)은 외측 코일(65)의 지름의 절반보다 작고 외측 코일(65)보다 챔버로부터 더 멀리 떨어진 평면에 있다. 외측 코일(65)은 전극(125)의 상부의 평면에 또는 가까이에 위치하는 반면, 내측 코일(60)은 전극(125)의 훨씬 위쪽에 위치하고 있다. 도 1의 경우에서와 같이, 코일(60, 65)의 D.C. 전류는 코일(60, 65)의 전류 공급원(70, 75)을 조절하는 플라즈마 조종 제어기(90)에 의해 제어된다.
RF 귀선 또는 접지에 대해 측정한 전극(125), 공정 키트(115, 120) 및 유전 밀봉부(130)를 포함한 오버헤드 전극 어셈블리(126)의 정전용량은 하나의 대표적인 경우에서 180 피코패러드이다. 전극 어셈블리 정전용량은 전극 면적, 간극 길이(웨이퍼 지지대와 오버헤드 전극간 거리) 및 표유(stray) 정전용량에 영향을 미치는 팩터들, 특히 사용된 물질의 두께 및 유전 상수에 의해 영향을 받는 밀봉부(130) 및 유전 링(120)의 유전값에 의해 영향을 받는다. 더 일반적으로, 전극 어셈블리(126)의 정전용량(무부호수 또는 스칼라)은 아래에 논의하는 바와 같이, 특정 전원 주파수, 플라즈마 밀도 및 동작 압력에서 플라즈마의 음의 정전용량(복소수)과 크기에서 동일 또는 거의 동일하다.
상술한 관계에 영향을 주는 많은 팩터들은 대부분 반응기에 의해 수행될 필요가 있는 플라즈마 공정 필요조건의 실재값, 즉 웨이퍼의 크기 및 웨이퍼 위에서균일하게 처리를 수행하는 필요조건에 의해 미리 결정된다. 따라서 플라즈마 정전용량은 플라즈마 밀도 및 전원 주파수의 함수인 반면, 전극 정전용량은 웨이퍼 지지대에서 전극까지의 간극(높이), 전극 지름 및 상기 어셈블리 절연체의 유전값의 함수이다. 플라즈마 밀도, 동작 압력, 간극 및 전극 지름은 반응기에 의해 수행되는 플라즈마 공정의 필요조건을 만족해야 한다. 특히, 이온 밀도는 소정 범위 내에 있어야 한다. 예를 들어, 실리콘 및 유전 플라즈마 에칭 공정은 일반적으로 109내지 1012ions/cc의 범위 내에 있는 플라즈마 이온 밀도를 필요로 한다. 웨이퍼 전극 간극은, 예를 들면 이 간극이 약 2 인치인 경우 8 인치 웨이퍼를 위한 최적의 플라즈마 이온 분포 균일성을 제공하고 있다. 전극 지름은 웨이퍼의 지름보다 크지 않은 범위에서 최대한 큰 것이 바람직하다. 동작 압력도 마찬가지로 통상의 에칭 및 다른 플라즈마 공정을 위한 실제 범위의 값을 갖는다.
그러나 상술한 바람직한 관계, 특히 오버헤드 전극 어셈블리(126)를 위한 전원 주파수의 선택 및 정전용량의 선택을 달성하기 위해 선택할 수 있는 다른 팩터들은 그대로 유지된다는 점을 발견하였다. 전극에 부과되는 상술한 치수상의 제약조건 및 플라즈마에 부과되는 제약조건(예를 들면, 밀도 범위) 내에서, 전원 주파수가 VHF 주파수로 선택되는 경우 그리고 전극 어셈블리(126)의 절연체 성분의 유전값이 적절하게 선택되는 경우, 전극 정전용량은 플라즈마의 음의 정전용량의 크기에 정합될 수 있다. 이와 같은 선택으로 전원 주파수 및 플라즈마-전극 공진 주파수 간의 정합 또는 근사한 정합을 달성할 수 있다.
하나의 대표적인 경우에 의하면, 8 인치 웨이퍼에 대하여 오버헤드 전극 지름은 대략 11 인치이고, 간극은 약 2 인치이고, 플라즈마 밀도 및 동작 압력은 상술한 에칭 공정에 대하여 통상의 값을 가지고, VHF 전원 주파수는 210 MHz이고(다른 VHF 주파수도 동일하게 효과적일 수 있음), 전원 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 모두 정합 또는 거의 정합하고 있다.
더 구체적으로, 210 MHz인 전원 주파수, 약 200 MHz인 전극-플라즈마 공진 주파수 및 약 220 MHz인 스터브 주파수를 포함하는, 이들 3개의 주파수는 시스템 Q를 유리하게 감소시키는 이조(de-tuning) 효과를 달성하기 위해 서로 약간씩 오프셋되어 있다. 시스템 Q의 이러한 감소는 반응기의 성능이 챔버 내의 조건의 변화에 덜 민감하게 하여, 전체 공정을 더욱 안정되고 더 넓은 공정 윈도우에 걸쳐 수행될 수 있게 한다.
현재 바람직한 모드는 12 인치 지름 웨이퍼, 약 1.25 인치의 웨이퍼와 천장간의 간극 및 162 MHz의 VHF 전원 주파수(상술한 210 MHz가 아님)를 수용하기에 적절한 챔버 및 지지대 지름을 갖는다.
동축 스터브(135)는 전체 시스템 안정성, 넓은 공정 윈도우 능력 및 다른 많은 유용한 이점에 더 기여하는 특별히 구성된 설계로 되어있다. 여기에는 내측의 원통형 도전체(140) 및 외측의 동축 원통형 도전체(145)가 포함되어 있다. 예를 들면 상대 유전상수 1을 갖는 절연체(147)(도 24에서 크로스해칭으로 표시됨)가 내측 및 외측 도전체(140, 145) 사이의 공간을 채우고 있다. 내측 및 외측 도전체(140, 145)는 예를 들어, 니켈 도금된 알루미늄으로 형성될 수 있다. 대표적인 경우, 외측 도전체(145)는 약 4 인치의 지름을 가지며, 내측 도전체(140)는 약 1.5 인치의 지름을 갖는다. 스터브 특성 임피던스는 내측 및 외측 도전체(140, 145)의 반지름 및 절연체(147)의 유전 상수에 의해 결정된다. 상술한 경우의 스터브(15)는 65Ω의 특성 임피던스를 갖는다. 더 일반적으로, 스터브 특성 임피던스는 전원 출력 임피던스를 약 20-40%, 바람직하게는 약 30% 초과한다. 스터브(135)는 일반적으로 210 MHz의 VHF 전원 주파수로부터 약간 오프셋되면서 일반적으로 정합하도록 220 MHz 부근에서 공진을 갖기 위해 약 29 인치(220 MHz에서 반파장)의 축 길이를 갖는다.
탭(160)은 아래에 논의하는 바와 같이, RF 발생기(150)로부터 스터브(135)에 RF 전력을 인가하기 위해 스터브(135)의 축 길이를 따라 특정 지점에 제공되어 있다. RF 발생기(150)의 RF 전력 단자(150b) 및 RF 귀선 단자(150a)는 각각 내측 및 외측 동축 스터브 도전체(140, 145)에 대해 스터브(135) 상의 탭(160)에서 접속되어 있다. 이들 접속은 주지의 방식으로 발생기(150)의 출력 임피던스(통상, 50Ω)에 정합하는 특성 임피던스를 갖는, 발생기로부터 스터브까지의 동축 케이블(162)을 통해 이루어진다. 스터브(135)의 원위 단부(135a)에 있는 종단 도전체(135)는 내측 및 외측 도전체(140, 145)를 함께 단락시키므로 상기 스터브(135)는 원위 단부(135a)에서 단락된다. 스터브(135)의 근위 단부(135b)(단락되지 않은 단부)에서, 외측 도전체(145)는 환형의 도전성 하우징 또는 지지부(175)를 통해 챔버 본체에 접속되는 반면, 내측 도전체(140)는 도전성 실린더 또는 지지부(176)를 통해 전극(125)의 중앙부에 접속되어 있다. 유전 링(180)은 도전성 실린더(176)와 전극(125)간에 유지되어 이들을 분리시킨다.
내측 도전체(140)는 공정 가스와 냉각제 등의 설비용 도관을 제공한다. 이러한 특징의 주요 이점은 통상의 플라즈마 반응기와는 달리, 가스 라인(170) 및 냉각제 라인(173)이 큰 전위차를 거치지 않는다는 점이다. 따라서 이들은 그 목적을 위해 더 저가이고 더 신뢰성있는 물질인 금속으로 구성될 수 있다. 금속제 가스 라인(170)은 오버헤드 전극(125)에 또는 그 부근에 가스 출구(172)를 제공하고 있으며, 금속제 냉각제 라인(173)은 오버헤드 전극(125) 내에 냉각제 유로 또는 재킷(174)을 제공하고 있다.
능동 및 공진 임피던스 변환은 플라즈마 부하의 처리, 반사 전력의 최소화 및 부하 임피던스의 광범위한 변화를 수용하는 아주 넓은 임피던스 정합 공간의 제공에 의해 RF 발생기(150)와 오버헤드 전극 어셈블리(126)간의 이와 같이 특별히 구성된 스터브 정합에 의해 제공된다. 결과적으로, 통상의 임피던스 정합 장치의 필요성을 최소화 또는 회피하면서 전력의 사용에서 이전에 얻을 수 없었던 효율성과 함께, 광범위한 공정 윈도우 및 공정 유연성이 제공된다. 상술한 바와 같이, 스터브 공진 주파수는 또한 전체 시스템 Q, 시스템 안정성과 공정 윈도우 및 다중 공정 기능을 더 증진시키기 위해 이상적인 정합으로부터 오프셋되어 있다.
전극-플라즈마 공진 주파수 및 VHF 전원 주파수의 정합
상술한 바와 같이, 주요 특징은 전극-플라즈마 공진 주파수에서 플라즈마와 공진을 위해 그리고 전원 주파수와 전극-플라즈마 주파수를 정합(근사 정합)하기 위해 오버헤드 전극 어셈블리(126)를 구성하는 것이다. 전극 어셈블리(126)는 현저한 용량 리액턴스를 가지며, 플라즈마 리액턴스는 주파수, 플라즈마 밀도 및 다른파라미터의 복소함수이다. (아래에 더 자세히 기술된 바와 같이, 플라즈마는 허수 항을 포함하는 복소함수이고 일반적으로 음의 정전용량에 대응하는 리액턴스에 의해 분석된다.) 전극-플라즈마 공진 주파수는 (커패시터 및 인덕터의 리액턴스에 의해 결정되는 커패시터/인덕터 공진 회로의 공진 주파수와 유사하게) 전극 어셈블리(126) 및 플라즈마의 리액턴스에 의해 결정된다. 따라서 전극-플라즈마 공진 주파수는 플라즈마 밀도에 의존하는 것처럼 전원 주파수일 필요는 없을 것이다. 따라서 문제는, 전극-플라즈마 공진 주파수가 전원 주파수와 동일하거나 거의 동일하도록 플라즈마 리액턴스에 플라즈마 밀도 및 전극 치수의 특정 범위에 대한 실제 한정 값의 제한이 주어지는 지점에서 전원 주파수를 발견하는 것이다. 상기 문제는 훨씬 더 어려운데, 이는 (플라즈마 리액턴스에 영향을 미치는) 플라즈마 밀도 및 (전극 정전용량에 영향을 미치는) 전극 치수가 소정의 공정 제한 값을 만족해야 하기 때문이다. 구체적으로 유전 및 도전체 플라즈마 에칭 공정에서, 플라즈마 밀도는 플라즈마 리액턴스의 제한 값인 109~1012ions/cc의 범위 내에 있어야 한다. 또한, 예를 들어 8-인치 지름 웨이퍼를 처리하기 위한 더 균일한 플라즈마 이온 밀도 분포는 전극 정전용량의 제한 값인 웨이퍼-전극 간극 또는 약 2 인치의 높이 및 대략 웨이퍼 지름 정도 또는 그 이상의 전극 지름에 의해 실현된다. 한편, 12-인치 지름 웨이퍼에 대하여는 다른 간극이 사용될 수도 있다.
따라서 플라즈마의 음의 정전용량의 크기에 전극 정전용량을 정합(또는 근사 정합)함으로써, 전극-플라즈마 공진 주파수 및 전원 주파수는 적어도 거의 정합된다. 상기 열거된 일반적인 도전체 및 유전 에칭 공정 조건에서(즉, 109~1012ions/cc 사이의 플라즈마 밀도, 2-인치 간극 및 거의 11 인치 정도의 전극 지름), 전원 주파수가 VHF 주파수이면, 정합이 가능하다. 다른 조건(예를 들면, 다른 웨이퍼 지름, 다른 플라즈마 밀도 등)은 반응기의 이러한 특징을 수행함에 있어서 상기 정합을 실현하기 위해 다른 주파수 범위를 필요로 한다. 아래에 자세히 기술하는 바와 같이, 유전 및 금속 플라즈마 에칭과 화학 기상 증착을 포함하는 몇가지 주요 적용예에서 8-인치 웨이퍼를 처리하는 바람직한 플라즈마 처리 조건하에서, 위에 설명한 플라즈마 밀도를 갖는 하나의 통상적인 실시예에서의 플라즈마 정전용량은 -50 내지 -400 피코패러드였다. 대표적인 경우, 오버헤드 전극 어셈블리(126)의 정전용량은 11 인치의 전극 지름, 대략 2 인치의 간극 길이(전극에서 지지대까지의 공간)를 사용하여, 그리고 9의 유전 상수와 일 인치 정도의 두께를 갖는 밀봉부(130)를 위한 유전 물질 및 4의 유전 상수와 10 mm 정도의 두께를 갖는 링(120)을 위한 유전 물질을 선택하여, 상기 음의 플라즈마 정전용량의 크기에 정합되었다.
전극 어셈블리(126)와 플라즈마의 결합은, 그들의 정전용량의 정합을 상술한 바와 같이 가정하는 경우, 전극(125)에 인가되는 전원 주파수에 적어도 거의 정합하는 전극-플라즈마 공진 주파수에서 공진한다. 본 발명자는 바람직한 에칭 플라즈마 처리 방법, 환경 및 플라즈마를 위해, 이러한 전극-플라즈마 공진 주파수 및 전원 주파수가 VHF 주파수에서 정합 또는 근사 정합될 수 있으며, 그러한 주파수 정합 또는 근사 정합이 실행되는 것이 매우 유사하다는 점을 발견하였다. 대표적인경우, 음의 플라즈마 정전용량의 상기 값에 대응하는 전극-플라즈마 공진 주파수는 아래에 자세히 기술하는 바와 같이 대략 200 MHz이다. 전원 주파수는 아래에 논의하는 다른 이점들을 실현하기 위해 전원주파수가 전극-플라즈마 공진 주파수보다 약간 높게 오프셋되는 근사 정합인, 210 MHz이다.
플라즈마 정전용량은 다른 것들 중에서, 플라즈마 전자 밀도의 함수이다. 이는 양호한 플라즈마 처리 조건을 제공하기 위해 일반적으로 109내지 1012ions/cc의 범위에서 유지될 필요가 있는 플라즈마 이온 밀도와 관련이 있다. 따라서 이 밀도는 전원 주파수 및 다른 파라미터와 함께, 아래에 더 자세히 기술하는 바와 같이, 플라즈마 처리 조건을 최적화할 필요에 의해 제한되는 선택인 음의 플라즈마 정전용량을 결정한다. 그러나 오버헤드 전극 어셈블리 정전용량은 많은 물리적 팩터들에 의해 영향을 받는다. 예를 들면, 간극 길이(전극(125)과 웨이퍼간의 공간), 전극(125)의 면적, 유전 밀봉부(130)를 위한 유전 손실 탄젠트의 범위, 전극(125)과 접지된 챔버 본체(127)간의 유전 밀봉부(130)의 유전 상수의 선택, 공정 키트 유전 밀봉부(130)를 위한 유전 상수의 선택, 그리고 유전 밀봉부(130, 120)의 두께와 링(180)의 두께 및 유전 상수가 그것들이다. 이는 오버헤드 전극 정전용량에 영향을 미치는 이들 및 다른 물리적 팩터들 중에서 이루어지는 선택을 통해 전극 어셈블리 정전용량의 조정을 허용케 한다. 본 발명자는 이 조정의 범위가 오버헤드 전극 정전용량을 음의 플라즈마 정전용량의 크기에 필요한 정도로 정합시키기에 충분하다는 점을 발견하였다. 특히, 밀봉부(130) 및 링(120)의 유전 물질 및 치수는바람직한 유전 상수 및 그로 인한 유전값을 제공하도록 선택된다. 다음에 전극 정전용량과 플라즈마 정전용량의 정합은 전극 정전용량, 특히 간극 길이에 영향을 미치는 얼마의 동일한 물리적 팩터들이 하기의 실제적 문제들에 의해 결정 또는 제한된다는 사실에도 불구하고 달성될 수 있다. 더 큰 지름의 웨이퍼를 처리할 필요성, 상기 웨이퍼의 총 지름에 대한 플라즈마 이온 밀도 분포의 양호한 균일성으로 그렇게 할 필요성, 그리고 이온 밀도 대 이온 에너지의 양호한 제어를 가질 필요성이 그것들이다.
플라즈마 정전용량과 정합하는 오버헤드 전극 정전용량을 위하여 상술한 범위가 주어진 경우, 전극-플라즈마 공진 주파수는 210 MHz의 전원 주파수에 대해 대략 200 MHz이었다.
이러한 방식으로 전극 어셈블리(126)의 정전용량을 선택한 다음, 최종 전극-플라즈마 공진 주파수와 전원 주파수를 정합하는 큰 이점은, 전원 주파수 부근의 전극과 플라즈마의 공진이 더 광범위한 임피던스 정합 및 더 넓은 공정 윈도우를 제공하여, 결과적으로 공정 조건 변화에 대한 더 큰 면역성과 이에 따른 더 큰 성능의 안정성을 제공한다는 점이다. 전체 처리 시스템은 예를 들면, 플라즈마 임피던스의 변위 등 동작 조건의 변경에 덜 민감하게 되므로, 더 큰 범위의 공정 적응성으로 더 신뢰성을 갖는다. 본 명세서의 후반에서 논의하는 바와 같이, 이러한 이점은 전극-플라즈마 공진 주파수와 전원 주파수간의 작은 오프셋에 의해 더 향상된다.
도 25는 내측 및 외측 코일(60, 65)이 고정식 동조 스터브를 통해 VHF 플라즈마 전원 발생기에 접속되는 오버헤드 전극을 가지며 그 주변부에 MERIE 전자석을 갖는 용량 결합형 반응기와 어떻게 결합할 수 있는지를 예시하고 있다. 이러한 반응기는 2001년 12월 19일에 출원되고 발명의 명칭이 "플라즈마에 동조되는 오버헤드 RF 전극을 갖는 플라즈마 반응기"로 Daniel Hoffmann 외가 발명자이고 본 양수인에게 양도된 미국 특허 출원번호 10/028,922에 기술되어 있으며, 그 내용이 본 명세서에 참조문헌으로 포함되어 있다.
도 25를 참조하면, VHF 용량 결합형 반응기는 도 1a의 반응기에서 발견되는 다음 요소, 즉 반도체 웨이퍼(110)를 지지하는 챔버의 하부에 웨이퍼 지지대(105)를 갖는 반응 챔버(100)를 포함하고 있다. 예시된 경우의 공정 키트는 접지된 챔버 본체(127) 상에 유전 링(120)에 의해 지지되는 반도전성 또는 도전성의 링(115)으로 구성되어 있다. 챔버(100)는 유전 밀봉부(130)에 의해 접지된 챔버 본체(127) 상의 웨이퍼(110) 위에서 소정의 간극 길이로 지지되는 디스크 형상의 오버헤드 알루미늄 전극(125)에 의해 상부에 고정되어 있다. 오버헤드 전극(125)은 또한 그 내부 표면상에 반금속 물질(예를 들면, Si 또는 SiC)이 피복되는 금속(예를 들면, 알루미늄)이나, 그 자체가 반금속 물질일 수 있다. RF 발생기(150)는 상기 전극(125)에 RF 전력을 인가한다. 발생기(150)로부터의 RF 전력은 발생기(150)에 정합되는 동축 케이블(162)을 통해 전극(125)에 접속되는 동축 스터브(135)에 결합되어 있다. 스터브(135)는 특성 임피던스, 공진 주파수를 가지고, 아래에 더 자세히 기술하는 바와 같이, 전극(125)과 동축 케이블(162)/RF 전력 발생기(150)간의 임피던스 정합을 제공하고 있다. 챔버 본체는 RF 발생기(150)의 RF 귀선(RF 접지)에 접속되어 있다. 오버헤드 전극(125)으로부터 RF 접지로의 RF 경로는 공정 키트 유전 링(120) 및 유전 밀봉부(130)의 정전용량에 의해 영향을 받는다. 웨이퍼 지지대(105), 웨이퍼(110) 및 공정 키트 반도전성(또는 도전성) 링(115)은 전극(125)에 인가되는 RF 전력을 위한 일차 RF 귀선 경로를 제공하고 있다.
도 1a의 경우에서와 같이, 내측 코일(60)은 외측 코일(65)의 지름의 절반보다 작고 외측 코일(65)보다 챔버로부터 더 멀리 떨어진 평면에 있다. 외측 코일(65)은 전극(125)의 상부의 평면에 또는 가까이에 위치하는 반면, 내측 코일(60)은 전극(125)의 훨씬 위쪽에 위치하고 있다. 도 1의 경우에서와 같이, 코일(60, 65)의 D.C. 전류는 코일(60, 65)의 전류 공급원(70, 75)을 조절하는 플라즈마 조종 제어기(90)에 의해 제어된다.
플라즈마 밀도 분포 균일성의 개선은 (도 7 및 도 8에 나타낸 바와 같이) 웨이퍼 지지대의 주변부와 반응기 챔버의 외측에 대해 동일한 간격으로 떨어져 있는 한 세트의 MERIE 전자석(902)을 도입함으로써 달성된다. 이러한 MERIE 자석은 일반적으로 웨이퍼 지지대의 표면을 가로질러 원통형 챔버의 대칭축에 대해 저속으로 회전하는 자기장을 생성하도록 맞추어져 있다. 한 경우에, 이 특징은 웨이퍼 지지대의 원주에 접하는 각각의 축에 대해 감겨있는 전자석 권선을 갖는 MERIE 자석(902)에 의해 실현된다. 이 경우에, MERIE 전류 제어기(904)는 각각의 MERIE 자석에 대한 개별 전류를 제어한다. 순환 자기장은 동일한 주파수이지만 90도(또는 MERIE 자석의 수로 나눈 360도 각도)로 위상이 오프셋된 개개의 자석 권선의 각각에 개별 AC 전류를 제공하는 제어기(904)에 의해 가공품 지지대의 평면에 생성된다. 다른 경우, 회전 자기장의 특징은 로터(1025)(점선)에 의해 대칭축을 중심으로 회전하는 모든 MERIE 자석을 지지하는 지지 프레임(1020)(점선)에 의해 실현된다. 이러한 다른 경우에, MERIE 자석은 영구 자석이다.
가공품 또는 웨이퍼 지지대에 대해 동일한 간격으로 떨어져 있지만 제 1 세트의 MERIE 자석(902)보다 더 높은 평면에 위치하는 MERIE 자석(906)의 제 2 배열(점선으로 표시)도 또한 제공될 수 있다. 양쪽 자석 세트는 가공품 지지대의 평면 부근에 있는 각각의 평면에 놓여 있다.
제어기(910)는 각각의 전자석(902, 906)에 저주파(0.5 내지 10 Hz) AC 전류를 인가하며, 상기 전류의 위상은 상술한 바와 같이 90도로 오프셋된 인접하는 자석에 대해 인가된다. 그 결과는 저주파의 AC 전류로 가공품 지지대의 대칭축을 중심으로 회전하는 자기장이다. 상기 자기장은 플라즈마가 가공품 표면 근처의 자기장을 향해 끌리도록 그리고 그 자기장과 함께 순환되도록 한다. 이는 플라즈마를 교반하여 그 밀도 분포가 더 균일하게 되도록 한다. 그 결과, 웨이퍼의 전체 표면에 대해 더 균일한 에칭 결과를 얻게 되므로 반응기의 성능은 훨씬 개선된다.
오버헤드 전극 및 가스 분배판의 조합
챔버 내의 가스 분포의 균일성을 개선하기 위해 오버헤드 천장으로부터 공정 가스를 공급하는 것이 바람직하다. 이러한 목적으로, 도 24 및 도 25의 경우에 오버헤드 전극(125)은 가스 분배 샤워헤드가 될 수 있고, 이에 따라 가공품 지지대(105)에 대향하는 그의 하부 표면에 많은 수의 가스 주입구 또는 작은 홀(300)을 갖는다. 대표적인 경우, 홀(300)은 그 지름이 0.01과 0.03 인치 사이가 되며, 그들의 중심은 약 3/8 인치씩 균일하게 떨어져 있다.
오버헤드 전극/가스 분배판(125)(이하, 가스 분배판(125))은 아크 발생에 대하여 개선된 저항을 갖는다. 이는 각 개구 또는 홀(300)의 중심으로부터 공정 가스 및/또는 플라즈마를 차단하는 아크 억제 특징의 도입에 기인한다. 이러한 아크 억제 특징은 도 26의 단면도 및 도 27의 확대 단면도에 나타낸 바와 같이, 각각의 원통형 핑거 또는 얇은 로드(303)의 단부에서 지지되는 홀(300)의 중앙부에 있는 중심 피스(center piece) 또는 디스크(302)의 세트이다. 통상 가스 분배판 내에서의 아크 발생은 가스 주입홀의 중앙부 부근에서 발생하는 경향이 있다. 따라서 각 홀(300)의 중앙부에 중심 피스(302)를 위치시키게 되면 공정 가스가 각 홀(300)의 중앙부에 도달하는 것이 방지되어 아크의 발생이 감소하게 된다. 도 28의 평면도에 나타낸 바와 같이, 홀(300)에의 중심 피스(302)의 도입은 다른 경우의 원형의 개구 또는 홀(300)을 환형 개구(annular opening)로 변환한다.
도 29a를 참조하면, 개선된 아크 억제 기능을 갖는 가스 분배판(125)은 커버(1402) 및 베이스(1404)로 구성되어 있다. 베이스(1404)는 내부 숄더(1410)를 갖는 환형 벽(1408)에 의해 둘러싸여 그것을 통과하도록 형성된 가스 주입구로 이루어진 원반형판(discoid plate)(1406)이다. 커버(1402) 또한 원반형판이다. 디스크(302)는 커버(1402)에 부착되어 그 하부면으로부터 아래로 연장되는 원통형 핑거의 단부이다. 커버(1402)의 외측 모서리는 베이스(1404)의 숄더(1410)에 놓이게 되어 커버(1402)와 베이스(1404) 사이에 가스 매니폴드(1414)(도 26)를 형성한다. 공정 가스는 커버(1402)의 중앙부의 가스 주입구(1416)로부터 매니폴드(1414)로 흐른다.
챔버에서 공정 가스 또는 플라즈마와 접촉하는 가스 분배판(125)의 부위는 탄화규소 등 반도체 처리 호환성 물질로 피복된 알루미늄 등의 금속으로 형성될 수 있다. 본 예에서, 가스 분배판의 모든 표면은 커버(1402)의 상면을 제외하고, 도 29b의 확대 부분 단면도에서 나타낸 바와 같이 탄화규소 코팅(1502)으로 피복되어 있다. 도 30에 나타낸 바와 같이, 커버(1402)의 알루미늄 상면은 열교환기(1524)에 의해 순환되는 냉각제로 워터재킷(1522)에 의해 수냉각(water-cooled)될 수 있는 온도제어 부재(1520)와 접촉하여 가스 분배판(125)의 열도전성 알루미늄 물질은 제어되는 온도를 갖는다. 또한 도 31에 나타낸 바와 같이, 워터재킷은 가스 분배판(125) 내에 있을 수도 있다.
그러나 탄화규소 코팅(1502)이 동일한 제어 온도를 갖도록 하기 위해, 탄화규소 코팅과 알루미늄 사이에는 열도전성 본드가 있어야 한다. 그렇지 않다면, 탄화규소 코팅의 온도는 제어 불가능하게 변동할 수 있다. 가스 분배판(125)의 알루미늄 물질과 탄화규소 코팅 간에 양호한 열도전성을 달성하기 위해, 도 29a에 나타낸 바와 같이 고분자 결합층(1504)이 알루미늄 가스 분배판과 탄화규소 코팅(1502) 사이에 형성되어 있다. 도 29a는 탄화규소 코팅(1502)과 알루미늄 베이스(1404) 사이의 고분자 결합층(1504)을 나타내고 있다. 고분자 결합층은 알루미늄과 탄화규소 코팅(1502) 사이에 양호한 열도전성을 제공하여, 코팅(1502)의 온도가 열교환기(1524)에 의해 제어되게 한다.
도 32, 도 33 및 도 34는 도 29a의 가스 분배판(125)이 듀얼 존 가스흐름 제어를 제공하기 위해 어떻게 변형될 수 있는지를 예시하고 있다. 이와 같은 특징은서로 보완하는 공정 가스 분포를 선택하여 중앙부가 높거나 낮은 에칭률 또는 증착률 공간 분포의 보정을 지원하기 위해 사용될 수 있다. 구체적으로, 환형 격벽(annular partition) 또는 벽(1602)이 가스 매니폴드(1414)를 중앙 매니폴드(1414a)와 외측 매니폴드(1414b)로 구분한다. 중앙 매니폴드(1414a)에 공급하는 중앙 가스 공급원(1416)에 더하여, 가스 분배판(125)의 중앙부와 주변부 사이에 다른 가스 공급원(1418)이 외측 매니폴드(1414b)에 공급한다. 듀얼 존 제어기(1610)는 공정 가스 공급원(1612)으로부터의 가스 흐름을 내측 및 외측 가스 공급원(1416, 1418) 사이에 분할한다. 도 35는 관절식 베인(articulating vane)(1618)이 가스 분배판의 내측 및 외측 매니폴드(1414a, 1414b)에 대한 가스흐름의 상대량을 제어하는 밸브(1610)의 일 실시형태를 예시하고 있다. 지능형 유량 제어기(1640)는 베인(1618)의 위치를 조절한다. 도 36에 예시된 다른 실시형태에서, 한 쌍의 밸브(1651, 1652)는 챔버의 각 방사상 구역에 대한 개별적 가스 유량 제어를 수행한다.
도 37은 가스 분배판(125)이 3개의 가스 유량 구역을 갖는 경우를 예시하며, 매니폴드(1414)는 내측 및 외측 환형 격벽(1604, 1606)에 의해 3개의 매니폴드(1414a, 1414b, 1414c)로 구분된다. 3개의 각 가스 공급원(1416, 1418, 1420)은 각 매니폴드(1414a, 1414b, 1414c)에 대한 가스 유동을 제공한다.
본 명세서에서 상술한 여러 경우에 한 쌍의 오버헤드 코일(60, 65)을 갖는 것으로 기술되었지만, 도 37은 2개보다 더 많은 수의 오버헤드 코일이 있을 수 있음을 보여준다. 사실 도 37의 경우는 3개의 동심 오버헤드 코일 또는 코일(60, 64, 65)을 갖는 경우를 예시하고 있다. 독립적으로 제어되는 오버헤드 코일의 수를 증가시킴으로써, 불균일성 처리를 보정하는 분해능이 증가됨을 알 수 있다.
도 34 및 도 37의 다중 구역 가스 분배판은 가공품의 내측 및 외측 구역 사이의 가스 분할에 대해 유연한 제어의 이점을 갖게 한다. 그러나 가스 유동을 맞추어 조절하는 다른 방법은 다른 가스 분배판(125)의 반지름으로 다른 가스 주입홀 크기를 제공함으로써 영구적으로 그렇게 하는 것이다. 예를 들어, 반응기가 중앙부에서 높은 공간 에칭률 분포를 나타내는 경향이 있다면, 중앙부에 더 작은 가스 주입홀(300)을 사용하고 주변부 부근에 더 큰 주입홀을 사용하여 중앙부 부근에는 더 적은 양의 가스를 공급하고 챔버의 주변부에는 더 많은 양의 가스를 공급한다. 이와 같은 가스 분배판이 도 38의 평면도에 예시되어 있다. 중앙부에서 낮은 에칭 분포에 대하여는, 도 39에 예시한 바와 같이 반대의 홀 배열을 사용할 수 있다.
도 9의 반응기에서의 플라즈마 조종(Plasma Steering)
도 11 내지 도 14를 참조로 상술한 바와 같은 플라즈마 조종을 도 9의 경우에 수행하였다. 측벽에 집중하는 자기장이 내측 코일(60)에 -13 암페어의 전류 및 외측 코일(65)에 +1.4 암페어의 전류를 인가함으로써 생성되었다. 천장 또는 전극(125)의 주변부를 향해 집중하는 자기장이 내측 코일(60)에 -13 암페어의 전류 및 외측 코일(65)에 +5.2 암페어의 전류를 인가함으로써 생성되었다. 측벽의 과밀한 자기장이 내측 코일(60)에 -13 암페어의 전류 및 외측 코일(65)에 +9.2 암페어의 전류를 인가함으로써 생성되었다. 본 발명자는 상술한 방식으로 천장 또는 전극(125)의 주변부를 향해 집중하는 자기장을 인가함으로써 세정 기간에 챔버 표면의 에칭률이 40% 만큼 개선되었음을 발견하였다.
코일 구성
상술한 경우들이 내측 및 외측 코일(60, 65)과 관련하여 기술되었지만, 더 많은 수의 코일을 사용할 수도 있다. 예를 들어, 도 40의 경우에는 제어기(90)에 의해 각각 개별적으로 제어되는 그 자신의 전류로 5개의 오버헤드 코일(4060, 4062, 4064, 4066, 4068)을 갖고 있다. 코일(4060, 4062, 4064, 4066, 4068)은 (도 40에서와 같이) 천장(125) 위에서 동일한 높이로 또는 다른 높이로 있을 수 있다. 도 41은 오버헤드 코일(60, 65)이 동일한 높이로 있는 경우를 예시한 것이다. 도 41에서, 각 코일(60, 65)의 권선은 수직 및 방사상 방향 양쪽으로 적층되어 있다. 도 42 및 도 43은 코일(60, 65)이 수직 방향 및 방사상 방향으로 연장되는 권선을 갖는 다른 경우를 예시한 것이다.
도 1a를 참조로 본 명세서에서 이미 논의한 바와 같이, 불균일한 분포를 보정하기 위한 플라즈마에 대한 자기압력은 자기장의 제곱의 기울기의 방사상 성분에 비례한다. 따라서 가장 효율적인 접근 방법은 커스프 형상의 자기장 등 큰 방사상 기울기를 갖는 자기장을 사용하는 것이다. 위에 더 논의된 바와 같이, 커스프 형상의 자기장의 더 큰 효율성은 주어진 자기압력의 양에 대해 필요한 자기장의 세기를 감소시키므로, 높은 자기장과 연관된 디바이스 손상을 감소 또는 제거하게 한다. 도 44는 완전한 커스프 형상의 자기장이 챔버의 위와 아래에 각각 위치하는 한 쌍의 코일(4420, 4440)에 의해 생성되는 경우를 예시한 것이다. 상부 및 하부 코일(4420, 4440)의 전류흐름은 각각 시계 방향 그리고 반시계 방향이다. 도 45는 코일(4420, 4440)의 쌍에 의해 생성되는 완전한 커스프 형상 자기장의 자기력선 패턴의단순화 도면이다.
도 46은 종래의 MERIE 반응기(4650)의 4개의 전자석(4610, 4620, 4630, 4640)이 도 45의 완전한 커스프 형상의 자기장을 생성하기 위해 사용된 경우를 예시한 것이다. 각 전자석(4610, 4620, 4630, 4640)에서의 전류를 제어하는 전류 제어기(4660)는 도 46의 화살표에 나타낸 바와 같이, 모든 전자석(4610, 4620, 4630, 4640)에 동일한 방향(예를 들어, 시계 방향)으로 D.C. 전류흐름을 인가하기 위해 프로그램된다. 이러한 방법으로, 상부 도전체(4610a, 4620a, 4630a, 4640a)의 D.C. 전류는 시계방향의 전류 루프를 형성하고, 하부 도전체(4610b, 4620b, 4630b, 4640b)의 D.C. 전류는 반시계방향의 전류 루프를 형성하는 반면, 상기 배열의 각 모서리에서 인접한 전자석의 수직 도전체(예를 들면, 수직 도전체(4620c, 4630d)의 쌍)의 전류는 웨이퍼 표면에서 서로의 자기장을 상쇄한다. 실제 효과는, 도 44의 경우와 유사하게, 결과적으로 동일한 도 45에 예시한 완전한 커스프 형상의 자기장을 갖도록 챔버의 상부 및 하부에서 시계 및 반시계 방향의 전류 루프를 생성하는 것이다. 도 46의 반응기는 하기의 세 가지 모드 중 어느 하나의 모드로 동작한다.
(1) 커스프 형상의 자기장이 생성되는 자기압력 모드.
(2) 웨이퍼 표면 위에서 저속으로 회전하는 자기장을 생성하기 위해 4개의 전자석(4610, 4620, 4630, 4640)에 직각 위상으로 4개의 정현파 전류를 인가하는 정현파 모드.
(3) 4개의 전자석(4610, 4620, 4630, 4640)의 방위에 대해 대각선 방향으로 웨이퍼 표면을 가로질러 연장되는 일반적으로 직진 자기력선을 생성하기 위해, 4개의 전자석(4610, 4620, 4630, 4640)이 인접하는 쌍의 대향 세트에 대해 하나의 D.C. 전류를 갖는 한 쌍 및 반대의 D.C. 전류를 갖는 반대편 쌍으로 그룹화되는 구성 가능한 자기장(configurable magnetic field, CMF) 모드. 이와 같은 그룹화는 전류를 전환함으로써 회전하여, 자기장은 4개의 대각선 방위를 통해 회전하게 된다. 이들 방위의 시간 순서가 도 47a, 도 47b, 도 47c, 도 47d에 예시되어 있다.
도 47a에서 전자석(4610, 4620)은 양의 D.C. 전류흐름을 갖지만, 전자석(4630, 4640)은 음의 D.C. 전류흐름을 가지므로, 최종의 평균 자기장 방향은 일반적으로 도면의 좌측상단에서 우측하단이다. 도 47b에서 상기 그룹은 전자석(4620, 4630)이 양의 전류흐름을 가지고, 전자석(4640, 4610)은 음의 전류흐름을 가지도록 변환되고, 평균 자기장은 90도씩 시계방향으로 회전한다. 도 47c와 도 47d는 상기 사이클을 완료한다. 자기력선의 세기는 양과 음의 D.C. 전류의 크기차로 결정되어 인가되고, 원하는 대로 제어기를 프로그램하여 조정할 수도 있다.
도 9의 방법은 CMF 모드에서 4개의 전자석(4610, 4620, 4630, 4640)의 D.C. 전류를 정확하게 선택하여 불균일한 에칭률 또는 플라즈마 이온 밀도 분포에 대해 가장 양호한 보정을 생성하도록 사용될 수도 있다. 도 9의 방법을 도 47a 내지 도 47d의 CMF 모드에 적용할 때, 전자석 또는 코일(4610, 4620, 4630, 4640)의 각 코일은 오버헤드 코일(60, 65)로 대체되고, 도 9의 모든 단계는 그 대체에 따라 수행된다. 단지 차이점은 각 코일로부터 자기장의 계산은 도 47a 내지 도 47d에 대응하는 4기간에 걸쳐 평균으로 계산된다는 것이다.
도 48은 펌프 원환(pumping annulus) 위에 삽입되는 특수 그레이팅(4810)을포함하는 반응기를 예시한 것이다. 그레이팅(4810)은 탄산규소 등의 반도전성 물질 또는 알루미늄 등의 도전성 물질로 형성되며, 상기 펌프 원환을 통해 챔버로부터 가스를 배출하게 하는 개구(4820)를 갖는다. 특수 그레이팅(4810)은 펌프 원환으로부터 플라즈마를 배제하여, 필요한 보호 및 공정 제어를 제공한다. 이런 목적으로, 방사상 평면에서 각 개구(4820)의 내부를 횡단하는 거리는 플라즈마 외장 두께의 두 배보다 크지 않다. 이러한 방법으로 플라즈마가 그레이팅(4810)을 통과하는 것은 불가능하지 않더라도 매우 어려운 일이다. 이는 펌프 원환 내의 챔버 표면과 플라즈마의 상호 작용을 감소 또는 제거하게 한다.
도 49 및 도 50은 도 48의 플라즈마 한정 그레이팅(4810)을 포함하는 일체로 형성된 분리 가능한 챔버 라이너(4910)를 예시한 것이다. 라이너(4910)는 전극(125) 아래 그리고 웨이퍼(110) 위에 위치하는 영역의 방사상 외부에 있는 챔버 부위를 덮는다. 따라서 라이너(4910)는 챔버 천장의 외측 주변부를 덮는 상부 수평부(4920), 챔버 측벽을 덮는 수직부(4930) 및 플라즈마 한정 그레이팅(4810)을 포함하고 펌프 원환뿐만 아니라 웨이퍼(110)에 인접하는 환형 표면을 덮는 하부 수평부(4940)를 포함하고 있다. 한 경우에, 각 부(4920, 4930, 4940)는 모놀리식 탄화규소 피스(4950)와 같이 함께 형성된다. 라이너(4910)는 상기 탄화규소 피스(4950)의 하부 수평부(4940)의 아래에 알루미늄 베이스(4960)를 더 포함하고 있으며 거기에 접합된다. 알루미늄 베이스(4960)는 비교적 길고 얇은, 아래 방향으로 연장되며 웨이퍼 지지대(105) 아래에 있는 챔버의 접지구조 요소에 양호한 전기적 도전성을 제공하는 한 쌍의 환형 레일(4962, 4964)을 포함하고 있다.
반응기는 아래 방향으로 연장되는 환형 레일(4962, 4964)과 열 접촉하는 온도제어 요소(4972, 4974) 및 수직측부(4930)와 열 접촉하는 온도제어 요소(4976)를 가질 수 있다. 각 열제어 요소(4972, 4974, 4976)는 냉각제 유로를 포함하는 냉각 장치 및 전기 히터를 포함하는 가열 장치를 포함할 수 있다. 라이너(4910)의 내부 표면상에 고분자 또는 탄화플루오르 화합물의 증착을 최소화 또는 방지하기 위해 충분히 고온(예를 들면, 120 ℉와 같은 고온)에서 라이너(4910)를 유지하는 것이 바람직할 수 있다.
라이너(4910)는 양호한 접지 귀선 경로를 제공하므로 공정 안정성을 향상시킨다. 이는 전기적 전위가 (상부 수평부(4920), 수직부(4930) 및 하부 수평부(4940)의 내부 대향 표면을 포함하는) 탄화규소 피스(4950)의 내부 표면을 따라 균일한 사실에 기인한다. 그 결과, 라이너(4910)는 오버헤드 전극(125)이나 웨이퍼 지지대(105)로부터 전달되는 전력을 위하여 모든 그 내부 대향 표면에서 균일한 RF 귀선 경로를 제공하고 있다. 하나의 이점은 플라즈마 변동이 이동할 때 라이너(4910)의 내부 표면, 그 전류에 제공되는 임피던스의 다른 부분에 집중하는 RF 귀선 전류 분포가 거의 일정하게 유지된다는 것이다. 이러한 특징은 공정 안정성을 향상시킨다.
도 51은 오버헤드 솔레노이드(60, 65)가 사각 패턴의 MERIE 자석(92, 94, 96, 98)으로 좌우 대칭의 사각 패턴을 정의하는 도 7의 경우의 변형을 예시하고 있으며, 특히 포토리소그래픽 마스크 등 사각의 반도체 또는 유전 가공품(4910)의 균일한 처리에 적합하다.
도 52는 웨이퍼 지지대(105)가 상하로 이동할 수 있는 도 24의 반응기 버전을 예시하고 있다. 플라즈마 이온 방사상 분포를 제어하는 2개의 오버헤드 코일(60, 65)에 더하여, 웨이퍼 지지대(105)의 평면 아래에 하부 코일(5210)이 있다. 또한, 챔버의 주변부에는 외측 코일(5220)이 있다. 외측 오버헤드 코일(65) 및 하부 코일(5210)은 챔버 내에 완전한 커스프 자기장을 형성하기 위해 반대의 D.C. 전류를 가질 수 있다.
오버헤드 코일(60, 65)이 오버헤드 전원 전극 및 가스 분배판 양쪽으로 기능하는 오버헤드 천장을 갖는 반응기와 결합하여 기술되었지만, 상기 천장은 다른 종래의 방식으로(예를 들면, 측벽을 통해) 도입되는 공정 가스와 함께, 가스 분배판이 아닌 형태일 수도 있다. 더욱이, 코일(60, 65)은 전원이 천장 전극에 의해 용량 결합되지 않는 반응기에 사용될 수도 있다. 또한, 오버헤드 전극을 위한 임피던스 정합 요소는 동축 동조 스터브 등의 고정식 요소인 것으로 기술되었다. 그러나 임피던스 정합 요소는 종래의 동적 임피던스 정합 회로 등 임의의 적합한 또는 종래의 임피던스 정합 디바이스일 수도 있다.
본 발명이 바람직한 경우들에 대한 특정한 관계로 자세히 기술되었지만, 본 발명의 순수한 사상 및 범주에서 이탈하지 않고 그의 변형 및 변경을 가할 수 있다는 점을 이해할 수 있을 것이다.

Claims (32)

  1. 진공 챔버를 구획하는 측벽 및 천장과, 평판형 가공품을 지지하기 위해 상기 챔버 내에서 상기 천장에 대향하는 가공품 지지대를 구비하며, 상기 가공품 지지대 및 상기 천장이 함께 상기 가공품 지지대와 상기 천장 사이의 처리 영역을 구획하는 진공 인클로저(enclosure);
    상기 챔버 내에 공정 가스를 공급하는 공정 가스 주입구;
    RF 전력 발생기, 및 상기 챔버 내에 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 용량 결합하는 상기 RF 전력 발생기에 접속되는 플라즈마 전원 전극; 및
    상기 천장에 인접한 적어도 제 1 오버헤드 솔레노이드 전자석을 포함하고,
    상기 오버헤드 솔레노이드 전자석, 상기 천장, 상기 측벽 및 상기 가공품 지지대는 공통의 대칭축을 따라 위치되며,
    상기 제 1 솔레노이드 전자석에 접속되어 상기 제 1 솔레노이드 전자석에 제 1 전류를 공급하고, 그에 의해 상기 제 1 전류의 함수인 자기장을 상기 챔버 내에 발생시키는 전류 공급원을 또한 포함하고,
    상기 제 1 전류는 상기 자기장이 상기 가공품 지지대의 표면 부근에서 상기 대칭축에 대해 플라즈마 이온 밀도 방사상 분포의 균일성을 증가시키도록 하는 값을 갖는 플라즈마 반응기.
  2. 제 1 항에 있어서,
    상기 제 1 솔레노이드 전자석은 상기 챔버의 외부에서 상기 천장의 외부 표면에 대향하는 플라즈마 반응기.
  3. 제 1 항에 있어서,
    상기 플라즈마 전원 전극은 (a) 상기 가공품 지지대 및 (b) 상기 천장 중의 하나를 포함하는 플라즈마 반응기.
  4. 제 1 항에 있어서,
    상기 전류는 D.C. 전류이고 상기 자기장은 정적 자기장인 플라즈마 반응기.
  5. 제 1 항에 있어서,
    상기 제 1 오버헤드 솔레노이드 전자석과 거의 동심이고 상기 전류 공급원에 접속되는 제 2 오버헤드 솔레노이드 전자석을 더 포함하고,
    상기 전류 공급원은 상기 제 2 솔레노이드 전자석에 제 2 전류를 공급하여 상기 자기장에 기여하는 상기 제 2 솔레노이드 전자석에 자속을 발생시키고, 그에 의해 상기 자기장이 상기 제 1 및 제 2 솔레노이드 전자석의 상기 제 1 및 제 2 전류 양쪽에 의해 결정되고, 상기 제 1 및 제 2 전류는 상기 자기장이 상기 플라즈마 이온 밀도 방사상 분포의 균일성을 증가시키도록 하는 값을 갖는 플라즈마 반응기.
  6. 제 4 항에 있어서,
    상기 제 1 및 제 2 솔레노이드 전자석은 상기 챔버의 외부에서 상기 천장의 외부 표면에 대향하는 플라즈마 반응기.
  7. 제 6 항에 있어서,
    상기 제 1 솔레노이드 전자석은 상기 가공품 지지대의 지름 정도의 지름을 가지며 상기 가공품 지지대 위로 제 1 축거리(axial distance)에 위치되고;
    상기 제 2 솔레노이드 전자석은 상기 제 1 솔레노이드 전자석의 지름보다 작은 지름을 가지며 상기 가공품 지지대 위에서 상기 제 1 축거리보다 더 큰 제 2 축거리에 위치되는 플라즈마 반응기.
  8. 제 7 항에 있어서,
    상기 제 1 솔레노이드 전자석은 상기 가공품 지지대의 표면 부근에서 상기 제 2 솔레노이드 전자석보다 더 큰 방사상 영역에 걸쳐 플라즈마에 대해 더 큰 방사상 자기압력을 생성하고;
    상기 제 2 솔레노이드 전자석은 주로 상기 가공품 지지대의 표면 중앙부 부근에 한정되는 방사상 영역에 걸쳐 자기압력을 생성하는 플라즈마 반응기.
  9. 제 8 항에 있어서,
    상기 제 1 및 제 2 전류는 플라즈마가 상기 웨이퍼 지지대 상의 웨이퍼에 주로 유도되는 경우에는 언제나 제 1 전류 세트를 구성하고;
    상기 제 1 및 제 2 전류는 플라즈마가 상기 천장을 향해 유도되는 경우에는 언제나 제 1 전류 세트와는 다른 제 2 전류 세트를 구성하는 플라즈마 반응기.
  10. 제 9 항에 있어서,
    상기 제 1 및 제 2 전류는 플라즈마가 상기 측벽을 향해 유도되는 경우에는 언제나 상기 제 1 및 제 2 전류 세트와는 다른 제 3 전류 세트를 구성하는 플라즈마 반응기.
  11. 진공 챔버를 구획하는 측벽 및 천장과, 평판형 가공품을 지지하기 위해 상기 챔버 내에서 상기 천장에 대향하는 가공품 지지대를 구비하며, 상기 가공품 지지대 및 상기 천장이 함께 상기 가공품 지지대와 상기 천장 사이의 처리 영역을 구획하는 진공 인클로저;
    상기 챔버 내에 공정 가스를 공급하는 공정 가스 주입구;
    RF 전력 발생기 및 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 용량 결합하는 상기 RF 전력 발생기에 접속되는 전극; 및
    복수의 솔레노이드 전자석 각각에 의해 생성되는 개별적인 자기장의 합을 포함하는 상기 챔버 내의 합성 자기장을 발생하기 위한 상기 천장에 인접한 복수의 오버헤드 솔레노이드 전자석을 포함하고,
    상기 솔레노이드 전자석에는 복수의 전류가 흐르고, 상기 합성 자기장은 상기 복수의 전류에 의해 결정되며, 상기 오버헤드 솔레노이드, 상기 천장, 상기 측벽 및 상기 가공품 지지대는 공통의 대칭축을 따라 위치되고,
    상기 복수의 솔레노이드 전자석에 접속되어 상기 솔레노이드 전자석에 상기 복수의 전류를 공급하는 전류 공급원을 또한 포함하고,
    상기 전류는 상기 자기장이 상기 가공품 지지대의 표면 부근에서 상기 대칭축에 대해 플라즈마 이온 밀도 방사상 분포의 균일성을 증가시키도록 하는 각각의 값을 갖는 플라즈마 반응기.
  12. 제 11 항에 있어서,
    상기 복수의 솔레노이드 전자석은 동심이고 상기 천장 위에서 지름이 클수록 낮은 축높이로 배열되어 있는 플라즈마 반응기.
  13. 제 12 항에 있어서,
    상기 전자석 중 가장 외측의 것은 상기 전자석 중 가장 내측의 것보다 더 큰 방사상 영역에 걸쳐 플라즈마에 대해 대응하는 더 큰 방사상 자기압력을 가하고;
    상기 전자석 중 가장 내측의 것은 상기 챔버 중앙부 부근에 한정된 영역 내에서 플라즈마에 대해 방사상 자기압력을 가하는 플라즈마 반응기.
  14. 제 13 항에 있어서,
    상기 복수의 전류는 플라즈마가 상기 웨이퍼 지지대 상의 웨이퍼에 주로 유도되는 경우에는 언제나 제 1 전류 세트를 구성하고;
    상기 복수의 전류는 플라즈마가 상기 천장을 향해 유도되는 경우에는 언제나 제 1 전류 세트와는 다른 제 2 전류 세트를 구성하는 플라즈마 반응기.
  15. 제 14 항에 있어서,
    상기 복수의 전류는 플라즈마가 상기 측벽을 향해 유도되는 경우에는 언제나 상기 제 1 및 제 2 전류 세트와는 다른 제 3 전류 세트를 구성하는 플라즈마 반응기.
  16. 제 15 항에 있어서,
    상기 전류 세트 중 적어도 하나에서, 적어도 하나의 전류는 다른 전류의 극성과는 반대의 극성을 갖는 플라즈마 반응기.
  17. 제 11 항에 있어서,
    상기 복수의 전류는 D.C. 전류이고 상기 합성 자기장은 정적 자기장인 플라즈마 반응기.
  18. 제 11 항에 있어서,
    상기 공통의 대칭축에 수직하게 일반적으로 상기 공통의 대칭축으로부터 연장되는 복수의 대칭축을 가지며 상기 처리 영역에 회전 자기장을 발생하는 복수의솔레노이드 측자석(side magnet)을 더 포함하는 플라즈마 반응기.
  19. 제 18 항에 있어서,
    상기 복수의 솔레노이드 측자석은 일반적으로 상기 측벽에 대향하지만, 상기 복수의 오버헤드 솔레노이드 자석은 상기 천장에 대향하는 플라즈마 반응기.
  20. 제 19 항에 있어서,
    상기 복수의 솔레노이드 측자석은 복수의 솔레노이드 측전자석이고, 상기 반응기는 상기 복수의 솔레노이드 측전자석에 대해 각각 다른 위상의 저주파 정현 전류를 각각 인가하는 전류 발생기를 더 포함하고, 그에 의해 상기 회전 자기장을 발생하는 플라즈마 반응기.
  21. 진공 챔버를 구획하는 측벽 및 천장과, 평판형 가공품을 지지하기 위해 상기 챔버 내에서 상기 천장에 대향하는 가공품 지지대를 구비하며, 상기 가공품 지지대 및 상기 천장이 함께 상기 가공품 지지대와 상기 천장 사이의 처리 영역을 구획하는 진공 인클로저;
    상기 챔버 내에 공정 가스를 공급하는 공정 가스 주입구;
    RF 전력 발생기 및 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 용량 결합하는 상기 RF 전력 발생기에 접속되는 플라즈마 전원 전극; 및
    복수의 솔레노이드 자석 각각에 의해 생성되는 개별적인 정적 자기장의 합을포함하는 상기 챔버 내의 합성 정적 자기장을 갖는 상기 천장에 인접한 복수의 오버헤드 솔레노이드 자석을 포함하고,
    상기 오버헤드 솔레노이드, 상기 천장, 상기 측벽 및 상기 가공품 지지대는 공통의 대칭축을 따라 위치되고,
    상기 합성 자기장은 상기 가공품 지지대의 표면 부근에서 상기 대칭축에 대해 플라즈마 이온 밀도 방사상 분포의 균일성을 증가시키는 플라즈마 반응기.
  22. 제 21 항에 있어서,
    상기 복수의 솔레노이드 자석은 동심이고 상기 천장 위에서 지름이 클수록 낮은 축높이로 배열되어 있는 플라즈마 반응기.
  23. 제 21 항에 있어서,
    상기 자석 중 가장 외측의 것은 상기 자석 중 가장 내측의 것보다 더 큰 방사상 영역에 걸쳐 플라즈마에 대해 대응하는 더 큰 방사상 자기압력을 가하고;
    상기 자석 중 가장 내측의 것은 상기 챔버 중앙부 부근에 한정된 영역 내에서 플라즈마에 대해 방사상 자기압력을 가하는 플라즈마 반응기.
  24. 진공 챔버를 구획하는 측벽 및 천장과, 평판형 가공품을 지지하기 위해 상기 챔버 내에서 상기 천장에 대향하는 가공품 지지대를 구비하며, 상기 가공품 지지대 및 상기 천장이 함께 상기 가공품 지지대와 상기 천장 사이의 처리 영역을 구획하는 진공 인클로저;
    상기 챔버 내에 공정 가스를 공급하는 공정 가스 주입구;
    RF 전력 발생기, 및 상기 챔버 내에 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 용량 결합하는 상기 RF 전력 발생기에 접속되는 플라즈마 전원 전극; 및
    상기 챔버 외부에서 상기 천장의 외부 표면에 대향하는 오버헤드 솔레노이드 자석을 포함하고,
    상기 오버헤드 솔레노이드 자석, 상기 천장, 상기 측벽 및 상기 가공품 지지대는 공통의 대칭축을 따라 위치되고,
    상기 오버헤드 솔레노이드 자석은 상기 가공품 지지대의 표면 부근에서 상기 대칭축에 대해 플라즈마 이온 밀도 방사상 분포의 균일성을 증가시키기에 충분한 방사상 성분을 갖는 상기 챔버 내의 D.C. 자기장을 갖는 플라즈마 반응기.
  25. 진공 챔버를 구획하는 측벽 및 천장과, 평판형 가공품을 지지하기 위해 상기 챔버 내에서 상기 천장에 대향하는 가공품 지지대를 구비하며, 상기 가공품 지지대 및 상기 천장이 함께 상기 가공품 지지대와 상기 천장 사이의 처리 영역을 구획하는 진공 인클로저;
    상기 챔버 내에 공정 가스를 공급하는 공정 가스 주입구;
    RF 전력 발생기, 및 플라즈마를 유지하기 위해 상기 챔버 내로 플라즈마 전원을 용량 결합하는 상기 RF 전력 발생기에 접속되는 전극; 및
    복수의 솔레노이드 전자석 각각에 의해 생성되는 개별적인 자기장의 합을 포함하는 상기 챔버 내의 합성 자기장을 발생하는 상기 천장에 인접한 복수의 오버헤드 솔레노이드 전자석을 포함하고,
    상기 솔레노이드 전자석에는 복수의 전류가 흐르고, 상기 합성 자기장은 상기 복수의 전류에 의해 결정되며, 상기 오버헤드 솔레노이드, 상기 천장, 상기 측벽 및 상기 가공품 지지대는 공통의 대칭축을 따라 위치되고, 상기 복수의 솔레노이드 전자석은 동심이며 상기 천장 위에서 지름이 클수록 낮은 축높이로 배열되어 있고,
    상기 복수의 솔레노이드 전자석에 접속되어 상기 복수의 전류를 상기 솔레노이드 전자석에 공급하는 플라즈마 조종 제어기를 또한 포함하고,
    상기 복수의 전류는 플라즈마가 상기 웨이퍼 지지대 상의 웨이퍼에 주로 유도되는 경우에는 언제나 제 1 전류 세트를 구성하고, 플라즈마가 상기 천장을 향해 유도되는 경우에는 언제나 상기 제 1 전류 세트와는 다른 제 2 전류 세트를 구성하는 플라즈마 반응기.
  26. 제 25 항에 있어서,
    상기 전자석 중 가장 외측의 것은 상기 전자석 중 가장 내측의 것보다 더 큰 방사상 영역에 걸쳐 플라즈마에 대해 대응하는 더 큰 방사상 자기압력을 가하고;
    상기 전자석 중 가장 내측의 것은 상기 챔버 중앙부 부근에 한정된 영역 내에서 플라즈마에 대해 방사상 자기압력을 가하는 플라즈마 반응기.
  27. 제 25 항에 있어서,
    상기 복수의 전류는 플라즈마가 상기 측벽을 향해 유도되는 경우에는 언제나 상기 제 1 및 제 2 전류 세트와는 다른 제 3 전류 세트를 구성하는 플라즈마 반응기.
  28. 제 27 항에 있어서,
    상기 전류 세트 중 적어도 하나에서, 적어도 하나의 전류는 다른 전류의 극성과는 반대의 극성을 갖는 플라즈마 반응기.
  29. 제 25 항에 있어서,
    상기 복수의 전류는 D.C. 전류이고 상기 합성 자기장은 정적 자기장인 플라즈마 반응기.
  30. 제 25 항에 있어서,
    상기 공통의 대칭축에 수직하게 일반적으로 상기 공통의 대칭축으로부터 연장되는 복수의 대칭축을 가지며 상기 처리 영역에 회전 자기장을 발생하는 복수의 솔레노이드 측자석을 더 포함하는 플라즈마 반응기.
  31. 제 30 항에 있어서,
    상기 복수의 솔레노이드 측자석은 일반적으로 상기 측벽에 대향하지만, 상기 복수의 오버헤드 솔레노이드 자석은 상기 천장에 대향하는 플라즈마 반응기.
  32. 제 30 항에 있어서,
    상기 복수의 솔레노이드 측자석은 복수의 솔레노이드 측전자석이고, 상기 반응기는 상기 복수의 솔레노이드 측전자석에 대해 각각 다른 위상의 저주파 정현 전류를 각각 인가하는 전류 발생기를 더 포함하고, 그에 의해 상기 회전 자기장을 발생하는 플라즈마 반응기.
KR1020047018795A 2002-05-22 2003-05-22 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기 KR100883875B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US38319402P 2002-05-22 2002-05-22
US60/383,194 2002-05-22
US10/192,271 2002-07-09
US10/192,271 US6853141B2 (en) 2002-05-22 2002-07-09 Capacitively coupled plasma reactor with magnetic plasma control
PCT/US2003/016559 WO2003100818A1 (en) 2002-05-22 2003-05-22 Capacitively coupled plasma reactor with magnetic plasma control

Publications (2)

Publication Number Publication Date
KR20040111674A true KR20040111674A (ko) 2004-12-31
KR100883875B1 KR100883875B1 (ko) 2009-02-17

Family

ID=29552802

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047018795A KR100883875B1 (ko) 2002-05-22 2003-05-22 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기

Country Status (6)

Country Link
US (1) US6853141B2 (ko)
EP (1) EP1506563A1 (ko)
JP (1) JP4387299B2 (ko)
KR (1) KR100883875B1 (ko)
CN (1) CN100431086C (ko)
WO (1) WO2003100818A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100853577B1 (ko) * 2005-01-28 2008-08-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
EP2506090B1 (en) * 2000-05-30 2016-12-07 Dai Nippon Printing Co., Ltd. Computer-generated hologram, reflector using a computer-generated hologram, and reflective liquid crystal display
WO2002097937A1 (en) * 2001-03-23 2002-12-05 Tokyo Electron Limited Inductively coupled high-density plasma source
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US8048328B2 (en) * 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7883633B2 (en) * 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
CN1925074B (zh) * 2005-08-29 2010-08-11 北京北方微电子基地设备工艺研究中心有限责任公司 电感耦合线圈及其电感耦合等离子体装置
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US20080110567A1 (en) 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US7884025B2 (en) * 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7879731B2 (en) * 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7942965B2 (en) * 2007-03-19 2011-05-17 Applied Materials, Inc. Method of fabricating plasma reactor parts
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US7972469B2 (en) * 2007-04-22 2011-07-05 Applied Materials, Inc. Plasma processing apparatus
US20080260966A1 (en) * 2007-04-22 2008-10-23 Applied Materials, Inc. Plasma processing method
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US7614871B2 (en) * 2007-07-12 2009-11-10 Husky Injection Molding Systems Ltd Rotary valve assembly for an injection nozzle
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US8336891B2 (en) * 2008-03-11 2012-12-25 Ngk Insulators, Ltd. Electrostatic chuck
JP5551343B2 (ja) * 2008-05-14 2014-07-16 東京エレクトロン株式会社 誘導結合プラズマ処理装置
DE112008003955B4 (de) * 2008-07-28 2018-02-08 Leco Corp. Ionenführung, Verwendung einer solchen Ionenführung, Schnittstelle, gepulster Ionenkonverter für die Ionenführung sowie Verfahren zur Ionenmanipulation
JP5292558B2 (ja) * 2008-12-24 2013-09-18 株式会社昭和真空 イオンガン
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5155235B2 (ja) * 2009-01-15 2013-03-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5705290B2 (ja) * 2009-01-15 2015-04-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101357123B1 (ko) * 2009-01-15 2014-02-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리장치
WO2010089670A1 (en) 2009-02-04 2010-08-12 General Fusion, Inc. Systems and methods for compressing plasma
ES2513866T3 (es) 2009-05-13 2014-10-27 Sio2 Medical Products, Inc. Revestimiento e inspección de recipientes
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5554099B2 (ja) * 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8133809B2 (en) 2010-08-11 2012-03-13 Magic Technologies, Inc. Method to fabricate thin metal via interconnects on copper wires in MRAM devices
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
JP2012164766A (ja) * 2011-02-04 2012-08-30 Ulvac Japan Ltd エッチング装置
JP5720021B2 (ja) * 2011-03-15 2015-05-20 株式会社昭和真空 イオンガン
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
TWI743509B (zh) 2011-05-05 2021-10-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
WO2012177900A1 (en) 2011-06-22 2012-12-27 Research Triangle Institute, International Bipolar microelectronic device
DE102011106433B4 (de) * 2011-07-04 2016-10-13 Integrated Dynamics Engineering Gmbh Integrierbare Magnetfeldkompensation für den Einsatz an Raster- und Transmissionselektronenmikroskopen, Schwingungsisolationssystem sowie Verfahren zum Abbilden, Untersuchen und / oder Bearbeiten einer Probe
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
CN102915902B (zh) * 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
AU2012318242A1 (en) 2011-11-11 2013-05-30 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
JP6382830B2 (ja) 2012-11-30 2018-08-29 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 医療シリンジ、カートリッジ等上でのpecvd堆積の均一性制御
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
CN105392916B (zh) 2013-03-11 2019-03-08 Sio2医药产品公司 涂布包装材料
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
JP6204869B2 (ja) * 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN106664788B (zh) * 2014-08-19 2019-01-08 全面熔合有限公司 用于控制等离子体磁场的***和方法
CN104505327B (zh) * 2014-12-19 2018-03-27 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
CN116982977A (zh) 2015-08-18 2023-11-03 Sio2医药产品公司 具有低氧气传输速率的药物和其他包装
US10811233B2 (en) * 2016-08-13 2020-10-20 Applied Materials, Inc. Process chamber having tunable showerhead and tunable liner
JP6462072B2 (ja) * 2017-09-01 2019-01-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10811144B2 (en) 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
KR102065349B1 (ko) * 2018-05-31 2020-01-13 세메스 주식회사 기판 처리 방법 및 장치
US11127572B2 (en) * 2018-08-07 2021-09-21 Silfex, Inc. L-shaped plasma confinement ring for plasma chambers
CN109541084B (zh) * 2018-11-28 2021-11-16 湖北航天化学技术研究所 一种动态分析检测气相产物的装置
CN109518136B (zh) * 2019-01-24 2020-11-27 成都京东方光电科技有限公司 蒸镀结构、蒸镀***及蒸镀结构的使用方法
US20220238312A1 (en) * 2019-05-29 2022-07-28 Lam Research Corporation Showerhead insert for uniformity tuning
CN112233955B (zh) * 2020-10-26 2024-07-02 浙江艾微普科技有限公司 离子源及离子刻蚀设备及方法
US20230096706A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Model-based characterization of plasmas in semiconductor processing systems
WO2024112517A1 (en) * 2022-11-23 2024-05-30 Lam Research Corporation Systems and methods for controlling tilts across a surface of a substrate

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59175125A (ja) * 1983-03-24 1984-10-03 Toshiba Corp ドライエツチング装置
CN1027549C (zh) * 1985-10-14 1995-02-01 株式会社半导体能源研究所 利用磁场的微波增强型cvd***和方法
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
EP0343500B1 (en) 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
JPH0227718A (ja) * 1988-07-15 1990-01-30 Mitsubishi Electric Corp プラズマ処理方法およびそれに用いるプラズマ処理装置
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
CN1028588C (zh) * 1990-03-23 1995-05-24 四川大学 微波等离子体的产生方法和装置
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5175472A (en) * 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6291999B1 (en) * 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) * 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6325019B1 (en) * 2000-06-19 2001-12-04 Bruce E. Taber Squirrel-proof birdfeeder
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100853577B1 (ko) * 2005-01-28 2008-08-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기

Also Published As

Publication number Publication date
CN1669108A (zh) 2005-09-14
JP4387299B2 (ja) 2009-12-16
US6853141B2 (en) 2005-02-08
WO2003100818A1 (en) 2003-12-04
US20030218427A1 (en) 2003-11-27
EP1506563A1 (en) 2005-02-16
CN100431086C (zh) 2008-11-05
JP2005527119A (ja) 2005-09-08
KR100883875B1 (ko) 2009-02-17

Similar Documents

Publication Publication Date Title
KR100883875B1 (ko) 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기
US6900596B2 (en) Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US8617351B2 (en) Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6471822B1 (en) Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
JP4236294B2 (ja) 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
US6095084A (en) High density plasma process chamber
US6825618B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6417626B1 (en) Immersed inductively—coupled plasma source
US20040168771A1 (en) Plasma reactor coil magnet
US20070020937A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP3150058B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20040018343A (ko) 복수의 권선들을 갖는 코일을 구비하는 유도성 플라즈마프로세서 및 플라즈마 밀도의 제어방법
JP2009027194A (ja) 非均一な磁界を有する磁気励起プラズマチャンバ
US6850012B2 (en) Plasma processing apparatus
KR20220143947A (ko) 플라즈마 프로세싱 디바이스의 에지 링에서의 전력을 조작하기 위한 장치 및 방법들
JP3479580B2 (ja) プラズマ発生装置
KR20020083097A (ko) 낮은 종횡비를 갖는 유도결합형 플라즈마 발생장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 9