KR20010051740A - 시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법 - Google Patents

시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법 Download PDF

Info

Publication number
KR20010051740A
KR20010051740A KR1020000068102A KR20000068102A KR20010051740A KR 20010051740 A KR20010051740 A KR 20010051740A KR 1020000068102 A KR1020000068102 A KR 1020000068102A KR 20000068102 A KR20000068102 A KR 20000068102A KR 20010051740 A KR20010051740 A KR 20010051740A
Authority
KR
South Korea
Prior art keywords
pressure
chamber
substrate
mtorr
chamber pressure
Prior art date
Application number
KR1020000068102A
Other languages
English (en)
Inventor
아빈드 선달라잔
데릴 엔젤로
페이준 딩
베리 친
임란 하심
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010051740A publication Critical patent/KR20010051740A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 기판 표면상에 고개구비 피쳐로 재료를 증착하기 위한 다단계 프로세스를 제공한다. 프로세스는 재료를 제 1압력에서 제 1주기 동안 기판상에 증착하는 단계와 재료를 제 2압력에서 제 2주기 동안 기판상에 증착하는 단계를 포함한다. 압력의 변조는 플라즈마 환경에서 이온화되는 입자의 이온화와 입자의 궤도에 영향을 준다. 본 발명의 한 양태에서 고압 단계 동안 고개구비 피쳐의 바닥에 최상의 증착을 허용하여 적어도 낮은 압력 단계 동안에도 피쳐의 측벽상에 증착을 증가시킨다.

Description

시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법{A PRESSURE MODULATION METHOD TO OBTAIN IMPROVED STEP COVERAGE OF SEED LAYER}
본 발명은 기판상에 형성된 고개구비 피쳐로 증착된 금속막의 스텝 커버리지를 보강하는 방법에 관한 것이다.
물리 기상 증착(PVD) 또는 스퍼터링은 집적회로의 제조에 사용된 종래의 기술이다. 스퍼터링은 타깃상의 재료가 기판의 소망의 표면에 이동되어 여기에 박막을 형성하는 방법이다. 통상적인 PVD 프로세스에서, 타깃과 코팅되어질 기판은 배기되어 약 10 밀리토르 이하의 압력에서 유지되는 진공 챔버내에 놓여 있다. 아르곤과 같은 불활성 가스가 진공 챔버에 공급되며 펌핑 시스템은 챔버내에서 소망의 가스압을 유지한다. 글로우 방전 플라즈마는 음극( 통상적으로 타깃)에 네가티브 DC 또는 RF 전압을 가하고 챔버벽 및 양극(통상적으로 기판)을 접지함으로써 챔버내에서 발생된다. 글로우 방전 플라즈마는 양극과 음극사이의 공간내에서 발생되고, 그리고 일반적으로 다크 스페이스 또는 플라즈마 시일드에 의해 전극과 구별되어 있다. 표준 PVD 챔버에서, 조밀 플라즈마는 타깃 근처에 존재한다. 이 플라즈마는 스퍼터링 프로세스 동안 타깃으로부터 방출된 제 2 전자에 의해 유지된다. 마그네트론 조립체를 사용함으로써, 제 2전자는 자장에 의해 포획되어 타깃에 인접해서 플라즈마를 효율적으로 생성한다. 이런 장치에서, 전기장이 만들어지며 이는 타깃의 노출 표면에 거의 수직이다. 그러므로, 플라즈마로부터 나온 양이온은 다크 스페이스를 통해서 타깃의 노출 표면상에 가속되므로 타깃의 스퍼터링을 가져온다.
대부분 증착 프로세스의 목적은 기판의 표면을 통해서 균일한 두께의 막을 증착하고 동시에 또한 기판상에 형성된, 라인, 상호연결부, 콘택트, 비아 및 다른 피쳐의 양호한 충진을 제공하는 것이다. 약간의 분야에서는, 컴포멀 라이너, 배리어 또는 시드층이 증착될 수 있다. 예를 들어, 구리 충진 프로세스에서, 배리어층은 기판내에서 형성된 피쳐상에 증착되어 기판의 베이스 재료로 구리의 확산을 방지한다. 따라서, 컴포멀 시드층은 배리어 층위에 증착되고 구리는 피쳐를 채우도록 증착된다. 장치가 소형화됨에 따라, 소형 피쳐로 재료를 컴포멀하게 증착해서 이들 피쳐내에 배리어 시드층을 형성하는 것은 점차적으로 어려워진다.
최근에 반도체 소자의 크기가 감소하고 그에 따라 장치 피쳐가 감소되고 구멍 폭이 1/4 마이크론( 〈 0.25㎛)보다 작아짐에 따라, 종래의 스퍼터링(즉, PVD)은 예를 들어 이온화된 금속 플라즈마(IMP) PVD와 같은 것으로 알려진, 고밀도 플라즈마(HDP) PVD의 사용으로 발전해왔다. IMP-PVD는 스퍼터링 타깃과 기판사이에 배치된 코일을 사용해서 타깃으로부터 스퍼터링된 원자를 이온화한다. 이온화된 금속 원자가 기판에 가까운 플라즈마 경계면에 접근하면, 기판상에 가해진 바이어스(bias)에 의해 발생된 전기장은 이온화된 금속 원자를 기판 표면에 전체적으로 수직인 방향으로 안내한다. 이들 이온은 플라즈마 시일드내에 기판의 표면을 향해 수직으로 가속되어, 고개구비 피쳐, 예를 들어, 서브 쿼터 마이크론의 선택적이거나 우선적인 충진을 개선한다. 플라즈마 전압에 대한 기판의 바이어싱은 기판에 도달하는 이온의 에너지를 제어하고 방향성을 개선하기 위해서 HDP PVD내에서 널리 사용된다. 이온화된 금속 원자가 기판의 표면에 수직으로 활주하기 때문에, 이들 원자는 피쳐의 측벽을 타격하지 않고 그리고 피쳐의 상부 코너에서의 오버행을 형성하지 않고 고개구비 피쳐의 바닥으로 증착될 수 있다.
HDP PVD에서의 문제점중 하나는 타깃 재료와 플라즈마 가스 사이의 질량의 상당한 큰 차이에 의한 것이다. 예를 들어, 구리 대 아르곤의 질량비는 약 1.59이다. 이 차이 때문에, 타깃 원자는 HDP PVD 챔버내에서 쉽게 이온화될 수 없다. 스퍼터링된 금속 입자의 이온화를 증가시키는 한 시도로서, 챔버 압력을 증가해서, 플라즈마 밀도를 증가시키는 방안이 제안되어 왔다. 이렇게 하면 고밀도는 입자사이의 평균 자유 통로를 감소하며 보다 많은 충돌을 야기하여 이온화를 증가한다. 그러나, 증착 결과는 압력이 상한값에 한번 도달하면 나빠진다.
HDP PVD에서의 다른 문제점은 점차적으로 보다 소형의 장치 피쳐내에서 컴포멀 커버리지를 성취할 수 없다는 것이다. 피쳐의 바닥과 측벽의 컴포멀 커버리지는 전기도금과 같은 다음 프로세스를 최적화하는데 필요하다. 전기도금은 피쳐의 균일한 충전을 보장하기 위해서 소자 피쳐내의 컴포멀 배리어층과 컴포멀 시드층을 필요로 한다. 종래 HDP PVD 프로세스는 기판상의 바이어스에 의해 제공된 이온의 방향성에 의해서 양호한 바닥 커버리지를 달성하지만, 측벽 커버리지는 양호하지 못하다. 이러한 결과는 부분적으로 피쳐의 바닥을 향해서는 높은 방향성이 유도되고 측벽을 향해서는 낮은 방향성이 유도되기 때문이다.
그러므로, 고개구비 피쳐내의 컴포멀 커버리지를 제공하는 금속 증착 프로세스가 필요하다.
도 1은 코일을 사용한 통상적인 프로세싱 챔버의 사시도.
도 2는 본 발명의 제 1실시예의 압력 변조 곡선의 그래프.
도 3은 본 발명의 제 1실시예의 압력 변조 곡선의 그래프.
도 4는 저압에 의해 달성된 이온의 각도 분포의 사시도.
도 5는 고압에 의해 달성된 이온의 각도 분포의 사시도.
도 6a 내지 도 6d는 피쳐내의 재료의 증착시 압력 변조의 효과를 도시하는 사시도.
도 7은 유전 재료내에 형성된 반도체 기판의 사시도.
도 8은 도 7에 배리어층이 형성된 반도체 기판 비아의 사시도.
도 9는 도 8에 시드층이 형성된 반도체 기판 비아의 사시도.
도 10은 비아를 채우기 위해 도 9에 도전 재료가 증착된 반도체 기판의 사시도.
도 11은 평탄화작업 후 도 10의 반도체 기판 비아의 사시도.
본 발명은 일반적으로 배리어층 및/또는 시드층을 형성하도록 기판상에 컴포멀 막을 증착하는 방법을 제공하는 것이다. 본 방법은 제 1압력으로 재료를 증착하고 그 다음에 제 2압력으로 재료를 증착하는 단계를 포함한다. 한 실시예에서, 제 1압력은 제 1압력보다 높다. 고압 단계는 피쳐 바닥상에 재료의 상당히 많은 증착을 가져오고 저압 단계는 피쳐의 측벽상에 재료의 상당히 많은 증착을 가져온다.
상술한 본 발명의 특징, 장점 및 목적은 첨부의 도면을 참고로 설명한 실시예를 참고함으로서 보다 이해하기 쉬울 것이다.
그러나, 첨부 도면은 단지 본 발명의 통상적인 실시예를 설명하므로 본 발명의 범위를 제한하고자 하는 것은 아니므로 본 발명과 동일한 효과의 다른 실시예도 본 발명에 속할 수 있다.
본 발명은 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 이용가능한 상표명 Endura 플랫폼과 같은 프로세스 장비를 사용해서 실시될 수 있는 이온화 금속 플라즈마(LMP) 프로세스를 참고로 아래에 기술하겠다. 이 장비는 양호하게 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 이용가능한 상표명 IMP VECTRA 챔버로서 알려진, 이온 금속 플라즈마(IMP) 프로세싱 챔버와 같은 HDP-PVD 챔버를 가진 집적 플랫폼을 포함한다. 본 발명이 양호하게 HDP-PVD 챔버내에서 실시되어도, 기판상에 재료의 이온화 및 증착을 할 수 있는 어떠한 챔버도 양호하게 사용될 수 있다. 이런 챔버는 전자 사이크론 공명(ECR) 챔버와 중공 음극 챔버를 포함한다.
도 1은 본 발명에 양호하게 사용될 수 있는 IMP 챔버(100)의 단면사시도이다. 챔버(100)는 측벽(101), 리드(102) 및 바닥(103)을 포함한다. 스퍼터링될어질 재료를 포함하는 타깃(104)은 챔버(100)의 리드(102)에 배치되어 있다. 기판 지지부재(112)는 챔버(100)내에 이동가능하게 배치되어 있으며 기판(110)을 지지하기 위한 상부 지지면(105)을 제공한다. 지지부재(112)는 하강 로딩/언로딩 위치와 상승 프로세싱 위치 사이로 기판 지지부재(112)를 상승 및 하강하는 리프트 모터(114)에 연결된 스템상에 장착되어 있다. 기판 지지부재가 하강 로딩/언로딩 위치에 있을 때, 챔버(100)의 개구(108)는 로봇(도시 생략)이 챔버로부터 기판(110)을 전달 및 회수할 수 있는 접근 통로를 제공한다. 리프트 모터(118)에 연결된 리프트판(116)은 챔버(100)내에 장착되어 있어 기판 지지체내에 장착된 핀을 상승 및 하강한다. 핀(120)은 기판 지지부재(112)의 상부 지지면(105)으로부터 기판을 상승 및 하강한다. 시일드(124)는 챔버내에 배치되어 스퍼터된 재료로부터 챔버를 시일드한다. 코일(122)은 양호하게 기판 지지부재(112)와 타깃(104)사이의 시일드 비아 지지체(126)에 장착되어 있으며 챔버내에 전자기장을 제공하여 타깃(104)과 기판(110)사이의 플라즈마를 발생해서 유지하는데 도움을 준다. 코일(122)에 의해 만들어진 전자기장은 스퍼터링된 타깃 재료를 이온화하는 고밀도 플라즈마를 달성한다. 그리고 나서 이온화된 재료는 기판(110)을 향해 안내되어 여기서 증착된다. 지지체(126)는 코일(122)과 시일드(124)와 챔버(100)를 전기적으로 절연한다. 클램프 링(128)은 코일(122)과 기판 지지부재(112)사이에 장착되어 있고, 기판(110)이 프로세싱 위치로 상승되어지면 기판(110)의 후측면과 외부 에지를 시일드하고 클램프 링(128)의 하부와 결합한다.
챔버(100)내에는 3개의 전원이 사용된다. 제 1전원(130)은 RF이든지 또는 DC 전력을 타깃(104)에 전달하여 프로세싱 가스가 플라즈마를 형성하게 한다. 리드(102)뒤에 배치된 자석(106)은 타깃 표면에 자장을 형성하여, 스퍼터링 효율을 증가시키기 위해서 전자를 포획하고 타깃(104)에 인접한 플라즈마의 밀도를 증가시킨다. 제 2전원(132), 적합하게 RF전원은 통상적으로 메가헤르츠 범위의 전압을 코일(122)에 가한다. 제 3전원(134), 적합하게 RF 또는 DC 전원은 플라즈마에 대해서 기판 지지부재(112)를 바이어스하고 기판(110)을 향해 이온화된 스퍼터된 재료의 방향성 인력(directional attraction)을 제공한다.
하나 이상의 플라즈마 가스는 각 매스 흐름 제어기(142, 144)에 의해 측정한 바와 같이, 가스원(138)으로부터 가스 입구(136)를 통해서 챔버(100)에 공급되어진다. 하나 이상의 진공 펌프(146)의 배기 포트(148)가 챔버(100)에 연결되어 챔버(100)를 배기하고 챔버(100)내의 소망의 압력을 유지한다. 적합하게 진공 펌프(146)는 저온펌프 또는 수용가능한 저압을 지탱할 수 있는 어떠한 펌프라도 좋다.
마이크로프로세서/제어기(149)는 전원, 리프트 모터들, 가스 주입용 매스 흐름 제어기, 진공 펌프 및 다른 관련 챔버 부품 및 작용을 제어한다. 마이크로프로세서/제어기(149)는 본 발명의 단계를 수행하기 위해서 메모리내에 저장된 기계 판독가능한 프로그램 작업을 실행한다. 양호한 실시예에서, 메모리는 하드 디스크 드라이브이고, 마이크로프로세서/제어기(149)는 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어기 보드를 포함할 수 있다. 광학 및/또는 자기 센서는 일반적으로 가동가능한 기계적 조립체의 위치를 이동하고 결정하는데 사용된다.
작동시, 로봇은 개구(108)를 통해서 기판(110)을 챔버(100)로 전달한다. 핀(120)은 로봇으로부터 기판(110)을 상승하도록 상향으로 연장된다. 그 다음 로봇은 챔버(100)로부터 수축되며 개구(108)는 밀봉된다. 핀(120)은 기판(110)을 기판 지지부재(112)의 상부 지지면(105)으로 하강한다. 기판 지지부재(112)는 기판(110)을 상승하여 클램프 링(128)과 결합한다. 그리고 나서 하나 이상의 플라즈마 가스는 챔버(100)내에 도입되어 프로세스 압력으로 챔버(100)를 안정시킨다. 플라즈마는 제 1전원(130)으로부터 전력을 받아서 타깃(104)과 기판 지지부재(112)사이에서 발생된다. 제 2전원(132)은 전력을 코일(122)에 전달하여 플라즈마를 조밀하게 하고 타깃(104)으로부터 스퍼터링된 타깃 재료의 플럭스를 이온화하여 이온을 형성한다. 이온은 기판(110)을 향해 가속되어 제 2전원(134)에 의해 바이어스된다. 증착후, 기판 지지부재(112)는 하강되며, 핀(120)은 상승되어 기판(110)을 상승하며, 로봇은 챔버(100)로 들어가 기판(110)을 회수하고 필요하다면 프로세스를 위해 다른 기판을 전달한다.
본 발명에 따른 방법은 고개구비, 특히 개구비가 〉 4: 1인 경우의 피쳐내에, 시드층 및 배리어층의 스텝 커버리지를 개선하기 위해서 챔버(100)내에서 수행될 수 있는 다단계 압력 변조 방법을 제공하는 것이다. 또한 본 발명의 방법은 기판상에 형성된 피쳐를 충진하는데 사용될 수 있다. 본 방법은 재료를 제 1압력에서 제 1주기 동안 기판상에 증착하는 단계와 재료를 제 2압력에서 제 2주기 동안 기판상에 증착하는 단계를 포함한다. 챔버 압력의 변조는 플라즈마 환경에서 이온화되는 재료의 활주 방향과 스퍼터링된 재료의 이온화에 영향을 준다.
한 실시예에서, 챔버 압력은 상한값과 하한값 사이로 변화된다. 도 2는 주기 T1을 갖은 압력 변조 곡선(200)의 그래프이다. 압력 변조 곡선(200)은 적합하게 상한값(202)과 하한값(204)사이로 변동됨므로써 압력차(ΔP1)를 가져온다. 라이너, 배리어 또는 시드층의 증착을 위해서, 상한값(202)은 적합하게 약 35mTorr와 약 70mTorr사이이다. 하한값은 적합하게 약 10mTorr와 약 20mTorr사이이다. 그러므로, ΔP1은 약 15mTorr와 약 60mTorr사이이다. 상한값(202)과 하한값(204)은 제각기 독립적으로 가변가능한 지속 시간(t1, t2)을 제각기 가진다. 시간(t1, t2)이 압력과 전력을 포함하는 다른 프로세스 매개변수에 대해서 특정값으로 변하면, 시간(t1, t2)의 합은 적합하게 라이너, 배리어 또는 시드층의 증착을 하는데 약 120초 이하이다. 추가로 시간(t1, t2)은 증착 속도의 차이를 보상하도록 조정될 수 있어서 결국 챔버 압력의 변화를 야기할 수 있다. 예를 들어, 시간(t2)에 비교해서 시간(t1) 동안의 저 증착 속도는 시간(t2)보다 크게 시간(t)을 설정함으로써 보상받을 수 있다.
곡선(200)은 단지 변조 시퀸스가 저압에서 시작해서 고압으로 상승하든지 또는 이와 반대로 하는 경우를 설명하고 있으며 본 발명을 제한하고 있는 것은 아니다. 또한 압력 변조 곡선(200)은 단지 각 사이클에 대해 단일 주기(T1)를 포함할 수 있다. 그러므로, 예를 들어, 프로세스 한 방편은 한 주기 동안 상한 압력값(202)에서 기판상에 막의 증착을 허용하고 증착 사이클 동안 하한 압력값(204)에서 챔버 압력을 안정화시킬 수 있다. 양호하게, 기판 온도, 타깃 전력 등과 같은 다른 프로세스 매개 변수는 이 기술분야에 알려진 방편에 따라서 조정되거나 일정하게 유지된다. 타깃 전력에 대해서, 약간의 레벨의 전력은 일정한 스퍼터링 및 증착을 제공하기 위해서 증착 사이클을 통해서 타깃(104)에 적용된다.
도 3은 본 발명의 다른 실시예를 도시하며 여기서는 챔버 압력이 두 압력 이상사이에서 변경된다. 예를 들어, 도 3은 상한 압력(212), 하한 압력(214) 및 중간 압력(216)을 가지는 압력 변조 곡선(213)을 도시한다. 상한 압력(212)과 하한 압력(214)사이의 차압은 ΔPz이며, 이는 특정 분야에 따라서 어느 정당한 량으로 결정될 수 있으며, 적합하게 라이너, 배리어 또는 시드층의 증착을 위해서 약 15mTorr와 약 60mTorr사이다. 그러므로, 작동시, 챔버 압력은 초기에 한 주기 동안 상한 압력(212)에서 안정된다. 계속해서, 챔버 압력은 한 주기 동안 중간 압력(216)으로 하강된다. 끝으로, 챔버 압력은 한 주기 동안 하한 압력(214)으로 하강된다. 곡선(213)의 전체 주기는 T2이다. 압력(212, 214, 216)의 각각은 특정 분야에 의해 결정된 바와 같은 소망의 시간 동안 유지될 수 있고 단일 프로세싱 사이클 동안 소망의 주기에서 반복될 수 있다. 이전 실시예와 마찬가지로, 전력은 연속적으로 타깃(104)에 제공되므로 증착 사이클에 거쳐서 스퍼터링을 보장한다.
본 발명의 압력 변조는 가스원으로부터 나온 가스의 입구 흐름을 변경하거나 또는 챔버로부터 진공 펌핑 하류까지의 가스의 출구 흐름을 변경함으로써 달성될 수 있다. 예를 들어, 도 2의 프로세스 변조 곡선(200)에 대해서, 시간(t1) 동안 유지된 유량은 감소되지만 챔버로부터의 배기량은 일정하게 유지되어 시간(t2) 동안 하한 압력을 달성할 수 있다. 그러므로 증착 프로세스 동안 압력 변조의 다양한 모드에 대한 프로그램가능한 시퀸스를 설정할 수 있다. 압력을 변조함으로써, 양호한 측벽과 바닥 커버리지를 얻을 수 있다. 예를 들어, 제 1압력은 제 1압력보다 낮은 제 2압력과 비교해서 피쳐의 바닥에서 상당히 보다 높은 증착을 제공하며, 반면에 제 2압력은 피쳐의 측벽에서 상당히 보다 높은 증착을 제공한다.
이온의 각도 분포에 대한 압력 변조의 효과는 도 4 및 도 5를 참고로 이해될 수 있으며, 여기서 측벽(252)과 바닥(254)을 가진 기판(251)내에 형성된 비아(250)의 단면을 도시하고 있다. 하한 압력에서, 이온(258)(여기서 Cu 이온으로 도시함)을 도입하는 각도 분포(256)는 비아(250)의 측벽(252)상에 보다 큰 증착 속도를 야기하여 도 4에 도시한 바와 같은 층(255)을 형성한다. 각도 분포(256)는 이온화된 스퍼터링 원자의 비율이 감소하기 때문에 생긴다. 낮은 챔버 압력에서, 플라즈마 밀도는 감소되고, 그럼으로써 스퍼터링된 원자와 플라즈마 구성요소와의 사이의 보다 적은 충돌을 야기하고 그러므로 스퍼터링된 원자의 이온화가 보다 적다. 비이온화된 원자가 기판상의 바이어스에 의해 변하지 않기 때문에, 각도 분포(256)는 측벽(252)상의 증착의 상당히 보다 높은 속도를 이루는 코사인 분포를 하고 있다.
상한 압력 동안 이온(264)을 도입하는 각도 분포(262)는 변경되어 비아(250)의 바닥(254)에서 보다 큰 증착을 달성함으로써, 도 5에 도시한 바와 같이, 바닥(254)상에 층(257)을 형성한다. 각도 분포(262)는 상당히 보다 높은 챔버 압력과 연관해서 증착된 이온화 작업의 결과이다. 그러므로, 기판상의 바이어스는 이온을 기판의 표면에 보다 수직으로 안내한다.
도 6a 내지 도 6d는 측벽(252)과 바닥(254)을 가진 비아(250)의 단면도를 도시하며 본 발명에 따라 압력 변조를 사용해서 전 시간에 거쳐서 기판(251)상의 재료(270)의 점차적인 증착을 도시한다. 도 6a 내지 도 6d는 챔버 압력 변조에 의한 두 압력 제한값(도 2에 도시한 바와 같이)사이로 변동하는 곡선의 두 주기 동안 측벽(252)과 바닥(254)상의 증착을 나타낸다. 압력 변조는 측벽(252)상에 그리고 바닥(254)에서의 증착의 가변 속도를 달성한다. 초기에, 상당히 높은 압력은 도 5에 도시한 각도 분포(262)를 야기하고, 재료(270)를 도 6a에 도시한 바와 같은 비아(250)의 바닥(254)상에 증착시킨다. 계속해서, 상당히 낮은 압력은 도 4에 도시한 각도 분포(256)를 야기하고, 재료(270)를 도 6b에 도시한 바와 같은 비아(250)의 측벽(252)상에 증착시킨다. 도 6c는 연속 고압 주기 동안의 바닥(254)상에 증가하는 막 두께를 도시한다. 압력 변조는 소망의 두께의 컴포멀 층(259)이 도 6d에 도시한 바와 같이 도착한 후, 소망의 주기로 그리고 소망의 시간에서 고압과 저압으로 반복될 수 있다.
따라서, 상당히 보다 높은 챔버 압력 동안, 비아(250)의 바닥(254)상의 증착 퍼센테이지가 높고, 반면에 상당히 보다 낮은 챔버 압력 동안, 측벽(252)상의 증착 퍼센테이지가 높다. 그러므로, 이온의 각도 분포를 변하도록 챔버 압력을 변조함으로써, 최종 층 두께의 얇은 서브층을 형성하는 점증적인 증착은 제어될 수 있으므로써, 컴포멀 스템 커버리리와 보이드 없는 증착을 가져올 수 있다.
본 발명은 컴포멀 스템 커버리지 또는 균일한 충진이 텅스텐(W), 티타늄(Ti), 질화티타늄(TiN), 알루미늄(Al), 구리(Cu)와 다른 재료의 증착에서 필요한 경우에, 어떠한 물리 기상 증착 프로세서에서도 유용하다. 구리의 금속화에서의 필수 단계들중 하나는 예를 들어, 비아, 트렌치, 콘택트 등과 같은 소자 피쳐의 측벽과 바닥상에 특정 두께까지 배리어층과 시드층을 증착하는 단계이다. 배리어층과 시드층의 최소 두께는 예들 들어 전기도금에 의해 구조물을 충진하는데 바람직하다. 구리 금속화 작업의 단계로서 비아내의 배리어층 및 시드층의 증착은 도 7내지 도 11에 도시되어 있다.
도 7은 실리콘 베이스(168), 도전층(170)과 유전체 층(172)을 포함하는 기판(160)의 단면도이다. 바닥(164)과 측벽(166)에 의해 형성된 비아(162)는 유전체 층(172)내에 형성되어 있다. 초기에, 기판(160)은 양호하게 예비 세척 챔버내에서 아르곤 충격 및/또는 반응성 예비 세척과 같은 세척 프로세스를 받아서 기판상의 본래의 산화물 또는 다른 오염물을 제거한다.
계속해서, 기판(160)을 IMP 챔버로 이동하여 여기서 배리어층(174)을 도 8에 도시한 바와 같이, 기판(160)의 바닥(164)과 측벽(166)위에 컴포멀하게 증착하여, 연속해서 증착된 시드층과 충진 재료를 아래의 유전체 층(172)으로 확산하지 못하게 한다. 배리어층(174)은 양호하게, 탄탈, 질화탄탈, 텅스텐, 질화텅스텐, 질화티타늄 또는 이들의 조합물이다. 타깃(104)은 기판(160)에 증착되어질 소망의 배리어층 재료( 또는 적어도 이들의 일부분)를 포함한다. 배리어층(174)을 적합하게 약 100Å 내지 450Å의 두께로 증착한다. 기판 지지부재(112)를 약 100℃와 약 150℃ 사이의 온도로 가열한다. 그 다음 플라즈마 가스를 제 1압력에서 챔버 압력을 안정화하도록 챔버(100)로 도입한다. 중간/고밀도 플라즈마를 약 1kW와 약 5kW사이의 RF전력을 코일(122)에 가함으로써 발생하여 유지한다. 신호를 약 1kW와 약 4kW의 타깃에 적용한다. 이렇게 만들어진 네가티브 바이어스는 플라즈마 이온을 타깃(104)으로 유도하여 타깃(104)의 스퍼터링을 야기한다. 그리고 나서 스퍼터링된 타깃 재료를 플라즈마 요소와 충돌시켜서 이온화한다. 약 0kW와 약 500kW 사이의 13.56MHz 신호를 이온화된 타깃 재료를 기판(160)에 유도하기 위해서 기판(160)에 적용한다. 압력을 약 40m의 제 1압력과 약 15mTorr의 제 2압력사이로 변조한다. 보다 높은 제 1압력을 바닥(164)을 증착하는데 기여하도록 선택하고 반면에 보다 낮은 제 2압력을 측벽(166)을 증착하는데 기여하도록 선택한다. 변조는 막 두께가 조금씩 증가하도록 바닥과 측벽을 증착하기 위해서 다단계로 실시할 수 있어 기판층의 스템 커버리지와 균일성을 개선할 수 있다. 또한, 상한 및 하한 압력은 각각 약 25-30사이와 15초의 주기 동안 유지될 수 있다.
압력 변조의 시퀸스는 가변적이다. 그러므로 변조 시퀸스는 저압에서 시작해서 고압으로 증가 될 수 있거나, 변경적으로 시퀸스는 고압에서 시작해서 저압으로 감소될 수 있다. 그러나, 피쳐의 바닥에서의 증착을 방해하는 피쳐의 상부 코너상의 오버행의 형성을 피하기 위해서, 양호하게 증착은 고압에서 먼저 실행하고 그리고 나서 저압에서 실행한다. 따라서, 피쳐의 바닥에서의 증착 속도는 초기에 고압 단계 동안 보다 높다. 그리고, 낮은 챔버 압력 동안, 측벽상의 증착 속도는 상당히 높다.
도 9에 도시한 바와 같이, 배리어층(174)의 증착 다음에, 시드층(176)을 양호하게 본 발명의 압력 변조에 따라서 비아(162)내에 증착하여 도전 재료로 비아의 계속적인 충진을 용이하게 하는 컴포멀 막을 제공한다. 한 실시예에서, 시드층(176)은 약 100Å 내지 450Å의 두께로 증착되는 구리를 포함한다. 배리어층의 증착에 대해서 설명한 것과 유사한 방법으로 타깃(104)의 스퍼터링을 일으키도록 플라즈마를 발생하여 유지한다. 그러고 나서, 플라즈마를 챔버(100)로 도입하여 약 20mTorr과 60mTorr사이로 챔버 압력을 안정시킨다. 약 1kW 내지 약 5kW의 타깃 바이어스와 약 1kW 내지 약 5kW의 코일 RF 전력을 제각기 타깃(104)과 코일(122)에 전달한다. 약 0-450W의 13.56MHz 바이어스를 기판(160)에 적용한다. 시드층의 증착을 약 45mTorr과 15mTorr사이로 압력을 변조하면서 실행한다.
양호하게, 배리어층(174)과 시드층(176)을 증착 단계 사이의 주위 환경으로 기판(160)을 이동하지 않고 연속 프로세스로서 증착하므로써, 배리어층(174)과 시드층(176)사이의 양호한 접착을 제공한다. 추가로, 시드층(176)의 양호한 막 조직을 얻을 수 있다. 그러므로, 기판(160)은 양호하게 진공 상태에서 시드층 증착용 다른 IMP 챔버로 이송된다.
배리어층과 시드층을 증착한 후, 비아(162)를 도 10에 도시한 바와 같이 도전 재료(180)로 충진한다. 도시한 도전 재료(180)는 구리이고 본 발명의 압력 변조 방법을 포함하는, 전기도금, 화학 기상 증착 및 PVD와 같은 이 기술분야에서 알려진 방법에 따라서 증착될 수 있다. 전기도금의 경우에, 기판을 양호하게 프로세스 셀내에 놓고 전해 용액에 노출한다. 용액으로부터 이온을 끌어내기 위해서 전원을 도전 시드층에 연결한다. 이온은 시드층상에 증착하고 도 10에 도시한 바와 같이 비아(162)를 충진한다. 비아(162)가 충진된 후, 기판(160)은 화학 기계 폴리싱 시스템 또는 에칭 시스템으로 이송되어, 여기서 초과의 재료는 기판(160)으로부터 제거되고 비아(162)는 도 11에 도시한 바와 같이 평탄화된다.
상술한 내용은 본 발명의 양호한 실시예를 설명하였지만, 본 발명의 다른 및 추가의 실시예는 본 발명의 기본 범주로부터 벗어나지 않고 변경될 수 있으며 본 발명의 범주는 아래의 청구범위에 의해서 결정된다.
본 발명은 고압 단계 동안 고개구비 피쳐의 바닥에 최상의 증착을 허용하여 적어도 낮은 압력 단계 동안에도 피쳐의 측벽상에 증착을 증가시킨다.

Claims (20)

  1. 기판상에 재료를 증착하기 위한 방법에 있어서,
    (a) 제 1압력으로 챔버내에 플라즈마를 제공하는 단계와,
    (b) 상기 챔버내에 증착된 타깃으로부터 재료를 스퍼터링하는 단계와,
    (c) 상기 스퍼터링된 재료를 이온화하는 단계와,
    (d) 상기 기판상에 스퍼터링된 재료를 제 1챔버 압력으로 증착하는 단계와,
    (e) 상기 챔버내의 압력을 적어도 상기 제 1 챔버 압력과 제 2압력사이로 변조하는 단계와,
    (f) 상기 기판상에 스퍼터링된 재료를 상기 제 2챔버 압력으로 증착하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 제 1챔버 압력과 상기 제 2챔버 압력은 약 120초 이하의 전체 주기 동안 약 유지되는 방법.
  3. 제 1항에 있어서, (g) 상기 기판상에 층을 형성하는 다단계 증착 동안 상기 단계(d), (e) 및 (f)을 반복하는 단계를 더 포함하는 방법
  4. 제 1항에 있어서, 상기 제 1챔버 압력은 약 30mTorr와 약 70mTorr 사이인 방법.
  5. 제 1항에 있어서, 상기 제 2챔버 압력은 약 10mTorr와 약 20mTorr 사이인 방법.
  6. 제 1항에 있어서, 상기 제 1챔버 압력은 약 35mTorr와 약 70mTorr 사이이고, 상기 제 1챔버 압력은 약 10mTorr와 약 20mTorr 사이인 방법.
  7. 제 1항에 있어서, 상기 제 1챔버 압력은 상기 제 2챔버 압력보다 큰 방법.
  8. 제 1항에 있어서, 상기 재료는 구리, 탄탈, 질화탄탈, 텅스텐, 질화텅스텐, 티타늄, 질화티타늄 및 이들의 조합물의 그룹으로부터 선택된 방법.
  9. 기판상에 형성된 피쳐내에 재료를 증착하기 위한 방법에 있어서,
    (a) 챔버내에 플라즈마를 제공하는 단계와,
    (b) 상기 챔버내에 증착된 타깃으로부터 재료를 스퍼터링하는 단계와,
    (c) 상기 재료를 이온화하는 단계와,
    (d) 상기 챔버 압력을 변조하면서 상기 피쳐상에 재료를 증착하는 단계를 포함하는 방법.
  10. 제 9항에 있어서, 상기 챔버 압력은 약 10mTorr와 약 70mTorr 사이로 변조되는 방법.
  11. 제 10항에 있어서, 상기 재료는 구리, 탄탈, 질화탄탈, 텅스텐, 질화텅스텐, 질화티타늄 및 이들의 조합물의 그룹으로부터 선택된 방법.
  12. 기판상에 형성된 피쳐내의 하나 이상의 층을 증착하기 위한 방법에 있어서,
    (a) 타깃과 코일이 배치된 챔버내에 플라즈마를 제공하는 단계와,
    (b) 상기 타깃에 신호를 제공하는 단계와,
    (c) 상기 코일에 신호를 제공하는 단계와,
    (d) 상기 피쳐상에 제 1층을 제 1챔버 압력으로 증착하는 단계와,
    (e) 상기 피쳐상에 제 2층을 제 2챔버 압력으로 증착하는 단계를 포함하는 방법.
  13. 제 12항에 있어서, 상기 단계(d) 전에 상기 재료를 이온화하는 단계를 더 포함하는 방법.
  14. 제 12항에 있어서, 상기 단계(d)와 (e) 동안 상기 챔버 압력은 약 10mTorr와 약 70mTorr 사이로 변조되는 방법.
  15. 제 12항에 있어서, 상기 피쳐를 충진하는 단계를 더 포함하는 방법.
  16. 제 12항에 있어서, 상기 제 1층, 상기 제 2층 또는 이들 모두는 구리, 탄탈, 질화탄탈, 텅스텐, 질화텅스텐, 질화티타늄 및 이들의 조합물의 그룹으로부터 선택된 재료를 포함하는 방법.
  17. 컴퓨터에 의해 판독 및 실행되는 프로그램 작업 방법에 있어서,
    (a) 챔버내에 배치된 타깃에 신호를 제공하는 단계와,
    (b) 코일에 신호를 제공하는 단계와,
    (c) 기판상에 재료의 증착동안 챔버 압력을 변조하는 단계를 포함하는 방법.
  18. 제 17항에 있어서, 상기 챔버 압력은 약 10mTorr와 약 70mTorr 사이로 변조되는 방법.
  19. 제 17항에 있어서, 상기 챔버 압력은 제 1압력과 상기 제 1압력보다 낮은 제 2압력사이로 변조되는 방법.
  20. 제 17항에 있어서, 상기 챔버 압력을 변조하는 단계는 기판상의 피쳐가 재료로 충진될 때까지 2 이상의 값사이의 압력으로 변경하는 단계를 포함하는 방법.
KR1020000068102A 1999-11-16 2000-11-16 시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법 KR20010051740A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9/440,679 1999-11-16
US09/440,679 US6458251B1 (en) 1999-11-16 1999-11-16 Pressure modulation method to obtain improved step coverage of seed layer

Publications (1)

Publication Number Publication Date
KR20010051740A true KR20010051740A (ko) 2001-06-25

Family

ID=23749738

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000068102A KR20010051740A (ko) 1999-11-16 2000-11-16 시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법

Country Status (4)

Country Link
US (2) US6458251B1 (ko)
EP (1) EP1101834A3 (ko)
JP (1) JP2001223182A (ko)
KR (1) KR20010051740A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101427140B1 (ko) * 2013-03-29 2014-08-07 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US6803309B2 (en) * 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US20040161536A1 (en) * 2003-02-14 2004-08-19 Applied Materials, Inc. Method for depositing a low-k material having a controlled thickness range
US8476162B2 (en) * 2010-10-27 2013-07-02 Applied Materials, Inc. Methods of forming layers on substrates
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US20140001576A1 (en) * 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9859403B1 (en) 2016-07-22 2018-01-02 Globalfoundries Inc. Multiple step thin film deposition method for high conformality
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US11315771B2 (en) * 2020-07-14 2022-04-26 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01312851A (ja) * 1988-06-10 1989-12-18 Fujitsu Ltd 半導体装置の製造方法
JPH0360120A (ja) * 1989-07-28 1991-03-15 Ricoh Co Ltd スパッタリング法
US5108569A (en) * 1989-11-30 1992-04-28 Applied Materials, Inc. Process and apparatus for forming stoichiometric layer of a metal compound by closed loop voltage controlled reactive sputtering
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
JP3343620B2 (ja) * 1992-04-09 2002-11-11 アネルバ株式会社 マグネトロンスパッタリングによる薄膜形成方法および装置
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
US5639357A (en) * 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
US5985103A (en) * 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
JPH1079358A (ja) * 1996-09-05 1998-03-24 Nec Corp スパッタ法
US5830330A (en) * 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6077779A (en) * 1998-05-22 2000-06-20 Taiwan Semiconductor Manufacturing Company Multi-step deposition to improve the conformality of ionized PVD films
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101427140B1 (ko) * 2013-03-29 2014-08-07 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법
WO2014157883A1 (ko) * 2013-03-29 2014-10-02 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법 및 그 방법으로 형성된 고종횡비 비아를 갖는 반도체 소자
US9953867B2 (en) 2013-03-29 2018-04-24 Korea Institute Of Industrial Technology Method for forming seed layer on high-aspect ratio via and semiconductor device having high-aspect ratio via formed thereby

Also Published As

Publication number Publication date
JP2001223182A (ja) 2001-08-17
EP1101834A2 (en) 2001-05-23
US6458251B1 (en) 2002-10-01
EP1101834A3 (en) 2003-11-26
US20030066747A1 (en) 2003-04-10

Similar Documents

Publication Publication Date Title
Rossnagel Directional and ionized physical vapor deposition for microelectronics applications
KR100878103B1 (ko) 순차적 증착 및 에칭에 의한 이온화된 pvd
KR101031617B1 (ko) 웨이퍼를 바이어스하면서 알루미늄을 스퍼터링하는 방법 및장치
CN101914752B (zh) 金属膜的薄膜沉积方法和薄膜沉积装置
US6709553B2 (en) Multiple-step sputter deposition
EP1103631A2 (en) Apparatus and method for depositing material on a substrate
JP2004526868A5 (ko)
EP1101835A1 (en) Method and apparatus for physical vapor deposition using modulated power
US20050252765A1 (en) Method and apparatus for forming a barrier layer on a substrate
WO2005118905A1 (en) Method and apparatus of plasma processing
Klawuhn et al. Ionized physical-vapor deposition using a hollow-cathode magnetron source for advanced metallization
US6200433B1 (en) IMP technology with heavy gas sputtering
KR20010015227A (ko) 필름내 입자 거동이 개선된 imp 구리 증착 방법 및 장치
WO2009071667A1 (en) Reactive sputtering with hipims
KR20010051740A (ko) 시드층의 개선된 스텝 커버리지를 얻기 위한 압력 변조 방법
US20050189217A1 (en) Method and apparatus for forming a barrier layer on a substrate
EP0856593B1 (en) A method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6165567A (en) Process of forming a semiconductor device
US20040140196A1 (en) Shaping features in sputter deposition
WO2002009149A2 (en) Post deposition sputtering
CN116065121A (zh) Pvd方法及设备
WO2021080726A1 (en) Method of depositing layers

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid