KR102619528B1 - 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 - Google Patents

포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR102619528B1
KR102619528B1 KR1020150175067A KR20150175067A KR102619528B1 KR 102619528 B1 KR102619528 B1 KR 102619528B1 KR 1020150175067 A KR1020150175067 A KR 1020150175067A KR 20150175067 A KR20150175067 A KR 20150175067A KR 102619528 B1 KR102619528 B1 KR 102619528B1
Authority
KR
South Korea
Prior art keywords
photoresist
formula
group
silicon
film
Prior art date
Application number
KR1020150175067A
Other languages
English (en)
Other versions
KR20170068173A (ko
Inventor
박진
김현우
한진규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150175067A priority Critical patent/KR102619528B1/ko
Priority to US15/372,773 priority patent/US10234760B2/en
Priority to CN201611121178.7A priority patent/CN106959586B/zh
Publication of KR20170068173A publication Critical patent/KR20170068173A/ko
Priority to US16/256,827 priority patent/US10551738B2/en
Application granted granted Critical
Publication of KR102619528B1 publication Critical patent/KR102619528B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자, 불화 설포늄 염을 포함하는 광불소 발생제 및 용매를 포함한다.

Description

포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법{PHOTORESIST COMPOSITIONS, METHODS OF FORMING PATTERNS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES}
본 발명은 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 본 발명은 감광성 고분자를 포함한 포토레지스트 조성물, 상기 조성물을 사용한 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치에 포함되는 각종 패턴 형성을 위해, 포토레지스트 조성물을 사용하는 사진 식각 공정이 활용되고 있다. 예를 들면, 노광 공정을 통해 포토레지스트 막을 노광부 및 비노광부로 구분하고 현상 공정을 통해 상기 노광부를 제거하여 포토레지스트 패턴을 형성할 수 있다. 이후, 상기 포토레지스트 패턴을 식각 마스크로 사용하여 식각 대상막을 패터닝함으로써 원하는 패턴을 형성할 수 있다.
상기 노광 공정시 발생하는 광의 특성, 상기 포토레지스트 조성물에 포함된 성분들의 작용에 의해 상기 사진 식각 공정의 해상도가 영향을 받을 수 있다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트 조성물을 제공하는 것이다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트용 조성물을 활용한 패턴 형성 방법을 제공하는 것이다.
본 발명의 일 과제는 향상된 해상도를 갖는 포토레지스트용 조성물을 활용한 반도체 장치의 제조 방법을 제공하는 것이다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자, 불화 설포늄 염을 포함하는 광불소 발생제 및 용매를 포함한다.
예시적인 실시예들에 있어서, 상기 광불소 발생제는 하기의 화학식 1로 표시될 수 있다.
[화학식 1]
상기 화학식 1에 있어서, R1, R2 및 R3은 각각 독립적으로 수소, C1~C20의 지방족 탄화수소 그룹, 또는 질소(N), 산소(O) 및 할로겐 중 적어도 하나를 포함하는 C1~C20의 헤테로 지방족 탄화수소 그룹을 나타낼 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기는 에스테르 그룹을 통해 상기 포토레지스트 고분자의 주쇄에 연결될 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기를 포함하는 상기 반복단위는 하기의 화학식 2 또는 화학식 3으로 표시될 수 있다.
[화학식 2]
[화학식 3]
상기 화학식 2 및 화학식 3에 있어서, R4, R5 및 R6은 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기를 나타낼 수 있다. X는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기는 2 이상의 연결 그룹들을 통해 상기 포토레지스트 고분자의 주쇄와 연결될 수 있다.
예시적인 실시예들에 있어서, 상기 연결 그룹은 에스테르 그룹을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기를 포함하는 상기 반복단위는 하기의 화학식 4로 표시될 수 있다
[화학식 4]
상기 화학식 4에서, R7 및 R8은 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기를 나타낼 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 불소 생성 가능한 증감제를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 불소 치환기 및 비공유 전자쌍을 포함하는 치환기를 포함하는 방향족 화합물을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 하기의 화학식 5로 표시될 수 있다.
[화학식 5]
상기 화학식 5에서 F는 상기 불소 치환기를 나타내며, 상기 불소 치환기의 개수는 1 내지 5일 수 있다. Y는 상기 비공유 전자쌍을 포함하는 치환기를 나타내며, Y는 히드록실기, 알콕시(alkoxy)기, 티올(thiol)기 또는 아미노기를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 하기의 화학식 6으로 표시될 수 있다.
[화학식 6]
예시적인 실시예들에 있어서, 상기 증감제는 상기 포토레지스트 고분자의 주쇄에 증감제 반복단위로서 결합될 수 있다.
예시적인 실시예들에 있어서, 상기 증감제 반복단위는 하기의 화학식 7로 표시될 수 있다.
[화학식 7]
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 포토레지스트 조성물은 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자, 광불소 발생제, 불소 생성 가능한 증감제 및 용매를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 광불소 발생제는 불화 설포늄 염을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 불화 설포늄 염은 황(S) 원자에 결합되며, 자리옮김 반응이 가능한 복수의 방향족 치환기들을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 불소 치환기를 포함하며, 광화학 반응에 의해 공명 안정화가 가능한 방향족 화합물을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 상기 불소 치환기를 포함하는 페놀을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 상기 포토레지스트 고분자의 주쇄에 반복 단위로서 포함될 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기는 에스테르 그룹을 통해 상기 포토레지스트 고분에 결합될 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 패턴 형성 방법에 따르면, 기판 상에 식각 대상막을 형성할 수 있다. 상기 식각 대상막 상에 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자, 불화 설포늄 염을 포함하는 광불소 발생제 및 용매를 포함하는 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성할 수 있다. 상기 포토레지스트 막 상에 노광 공정을 수행하여 노광부 및 비노광부를 형성할 수 있다. 상기 노광부를 제거하여 포토레지스트 패턴을 형성할 수 있다. 상기 포토레지스트 패턴을 이용하여 상기 식각 대상막을 패터닝할 수 있다.
예시적인 실시예들에 있어서, 상기 노광 공정을 수행에 있어, 상기 노광부 내에서 상기 광불소 발생제로부터 생성된 불소 이온에 의해 상기 실리콘 함유 이탈기를 상기 포토레지스트 고분자로부터 분리될 수 있다.
예시적인 실시예들에 있어서, 상기 노광부의 상기 실리콘 함유 이탈기가 제거된 자리에는 히드록실기 또는 카르복실기가 생성될 수 있다.
예시적인 실시예들에 있어서, 상기 노광부는 상기 비노광부보다 증가된 친수성 또는 극성을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 노광부를 제거하는 것은 친수성 용액을 사용하는 현상 공정을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 불소 생성 가능한 증감제를 더 포함할 수 있다. 상기 노광 공정에 있어서, 상기 증감제로부터 발생한 불소 이온 및 상기 광불소 발생제로부터 생성된 불소 이온에 의해 상기 실리콘 함유 이탈기를 상기 포토레지스트 고분자로부터 분리시킬 수 있다.
예시적인 실시예들에 있어서, 상기 증감제는 불소 치환기 및 비공유 전자쌍을 포함하는 치환기가 결합된 방향족 화합물을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 노광 공정은 극자외선(EUV) 광원을 사용하여 수행될 수 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 반도체 장치의 제조 방법에 따르면, 기판 상에 소자 분리막을 형성하여 액티브 패턴들을 형성할 수 있다. 상기 소자 분리막 및 상기 액티브 패턴들 상에 게이트 구조물을 형성할 수 있다. 상기 액티브 패턴들과 전기적으로 연결되는 콘택들을 형성할 수 있다. 상기 게이트 구조물 및 상기 콘택들을 덮는 층간 절연막을 형성할 수 있다. 상기 층간 절연막 상에 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자, 불화 설포늄 염을 포함하는 광불소 발생제 및 용매를 포함하는 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성할 수 있다. 상기 포토레지스트 막을 부분적으로 제거하여 포토레지스트 패턴을 형성할 수 있다. 상기 포토레지스트 패턴을 이용하여 상기 층간 절연막을 부분적으로 제거하여 상기 콘택들을 노출시키는 개구부들을 형성할 수 있다. 상기 개구부들 내에 상기 콘택들과 전기적으로 연결되는 배선들을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 패턴을 형성함에 있어, 상기 포토레지스트 막 상에 노광 공정을 수행하여 상기 광불소 발생제로부터 발생한 불소 이온에 의해 상기 실리콘 함유 이탈기가 제거된 노광부를 형성할 수 있다. 상기 노광부를 제거할 수 있다.
전술한 바와 같이 예시적인 실시예들에 따르면, 포토레지스트 조성물은 광산 발생제 대신에 불소 이온을 발생시키는 광불소 발생제를 포함할 수 있다. 상기 광불소 발생제는 예를 들면, 불화 설포늄 염을 포함하며, 설포늄 그룹에 의해 상기 불소 이온의 발생이 촉진될 수 있다. 상기 불소 이온은 예를 들면, 포토레지스트 고분자에 포함된 실리콘 함유 이탈기를 공격하여 제거시킬 수 있다. 이에 따라, 노광부의 친수성 및/또는 극성이 증가되어 예를 들면, 현상 공정을 통해 상기 노광부를 제거할 수 있다. 또한, 증감제로서 광화학 반응에 의해 불소 이온들을 발생시킬 수 있는 화합물 또는 반복단위가 사용되어 상기 불소 이온의 발생을 증폭시킬 수 있다. 그러므로, 산의 개입이 없이도 고감도의 사진 식각 시스템을 구현할 수 있다.
다만, 본 발명의 과제 및 효과는 상기 언급한 바에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1 내지 도 6은 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 7 내지 도 13은 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.
도 14 내지 도 35는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 아니 된다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
본 출원에 사용되는, 용어"약"은 개시된 함량, 농도 등과 같은 수치에 있어서, 전형적으로 언급된 수치의 균등물 범위에 있는 +/- 범위까지 포함하는 것으로 이해된다.
본 출원에 있어서, 일부 실시예들은 범위 형식으로 개시될 수 있다. 범위에 대한 설명은 모든 가능한 서브-범위들 뿐만 아니라, 그 범위 안에 있는 개별적 수치도 개시하는 것으로 이해된다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
이하, 첨부한 도면들을 참조하여, 본 발명의 예시적인 실시예들에 대해 보다 상세하게 설명하고자 한다.
포토레지스트 조성물
예시적인 실시예들에 따른 포토레지스트 조성물은 예를 들면, 반도체 장치에 포함되는 각종 절연 패턴, 게이트 전극 및/또는 배선 구조물의 형성을 위한 사진 식각 공정에 적용될 수 있다. 일부 예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 극자외선(Extreme Ultraviolet: EUV) 광원을 위한 감광성 조성물로 활용될 수 있다.
예시적인 실시예들에 따르면, 상기 포토레지스트 조성물은 포토레지스트 고분자, 광불소 발생제(Photo Fluorine Generator) 및 용매를 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 증감제(sensitizer)를 더 포함할 수 있다.
상기 광불소 발생제는 예를 들면, EUV와 같은 광원에서 발생되는 광자(photon)에 의해 불소 이온(F-)을 발생시킬 수 있다.
예시적인 실시예들에 따르면, 상기 광불소 발생제는 설포늄 이온과 카운터 이온으로서 불소 이온이 결합된 불화 설포늄 염을 포함할 수 있다.
예를 들면, 상기 광불소 발생제는 아래의 화학식 1로 표시될 수 있다.
[화학식 1]
상기 화학식 1에 있어서, R1, R2 및 R3은 각각 독립적으로 수소, C1-C20의 지방족 탄화수소 그룹, 또는 질소(N), 산소(O) 및 할로겐 중 적어도 하나를 포함하는 C1-C20의 헤테로 지방족 탄화수소 그룹을 나타낼 수 있다.
상기 설포늄 이온에서는 상기 광자와 광화학 반응을 통해 황(S)과 결합된 적어도 하나의 페닐(phenyl) 링이 자리 옮김(rearrangement) 반응을 일으킬 수 있다. 상기 자리 옮김 반응에 따른 전자 이동에 의해 상기 설포늄 이온으로부터 프로톤(H+)이 발생될 수 있으며, 이는 불소 이온과 결합되어 불산(HF)이 생성될 수 있다.
상술한 바와 같이, 상기 설포늄 이온은 상기 자리 옮김 반응에 의해 안정화되므로, 불소 이온 또는 HF의 생성이 적은 양의 광자에 의해서도 촉진될 수 있다.
예시적인 실시예들에 따르면, 상기 포토레지스트 고분자는 주쇄(back-bone)에 결합되며, 실리콘 함유 이탈기를 포함하는 반복단위를 포함할 수 있다.
상기 주쇄(backbone chain)는 포토레지스트 물질에 사용되는 일반적인 탄소 사슬을 포함할 수 있다. 예를 들면, 노볼락(novolac), 폴리스티렌(polystyrene), 폴리히드록시 스티렌(polyhydroxystyrene: PHS), 폴리아크릴레이트(polyacrylate), 폴리메타크릴레이트(polymethacrylate), 폴리비닐에스테르(polyvinyl ester), 폴리비닐에테르(polyvinyl ether), 폴리올레핀(polyolefin), 폴리노르보넨(polynorbornene), 폴리에스테르(polyester), 폴리아미드(polyamide), 폴리카보네이트(polycarbonate)와 같은 고분자 사슬이 상기 주쇄로서 사용될 수 있다. 일 실시예에 있어서, 상기 주쇄로서 노볼락, 폴리스티렌, 폴리히드록시 스티렌 또는 폴리아크릴레이트가 사용될 수 있다.
상기 실리콘 함유 이탈기는 예를 들면, 실릴(silyl) 기를 포함할 수 있다. 예를 들면, 상기 실리콘 함유 이탈기는 트리메틸실릴(trimethylsilyl: TMS), 터트부틸디메틸실릴(tert-butyldimethylsilyl: TBDMS), 트리이소프로필실릴(triisopropylsilyl: TIPS), 터트부틸디페닐실릴(tert-butyldiphenylsilyl: TBDPS) 또는 이들의 조합중에서 선택될 수 있다
상기 실리콘 함유 이탈기는 연결 그룹(linker group)을 통해 상기 주쇄에 결합될 수 있다. 일부 실시예들에 있어서, 상기 연결 그룹은 에스테르(ester) 그룹을 포함할 수 있다.
예를 들면, 상기 실리콘 함유 이탈기를 포함하는 반복단위는 아래의 화학식 2 또는 화학식 3으로 표시될 수 있다.
[화학식 2]
[화학식 3]
상기 화학식 2 및 화학식 3에 있어서, R4, R5 및 R6은 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기를 나타낼 수 있다. R4, R5 및 R6은 서로 상이하거나 동일할 수 있다. 한편 화학식 3의 X는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기를 나타낼 수 있다.
예시적인 실시예들에 있어서, 상기 광불소 발생제로부터 발생된 HF에서 불소 이온(F-)이 생성될 수 있다. 상기 불소 이온은 상기 실리콘 함유 이탈기를 포함한 반복 단위의 실리콘 원자를 공격하여, 상기 반복 단위로부터 상기 실리콘 함유 이탈기가 불리 또는 탈보호될 수 있다. 상기 실리콘 함유 이탈기가 분리된 지점에는 HF로부터 발생한 프로톤(H+)이 트랩되어 히드록실기 또는 카르복실기가 생성될 수 있다. 따라서, 노광부의 상기 포토레지스트 고분자 부분은 증가된 친수성 또는 극성을 가질 수 있다.
일부 예시적인 실시예들에 있어서, 상기 실리콘 함유 이탈기는 적어도 2이상의 연결 그룹들과 결합될 수 있다. 예를 들면, 상기 실리콘 함유 이탈기는 2개의 에스테르 그룹들과 연결될 수 있다.
이 경우, 상기 실리콘 함유 이탈기를 포함하는 반복단위는 아래의 화학식 4로 표시될 수 있다.
[화학식 4]
상기 화학식 4에서, R7 및 R8은 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기를 나타낼 수 있다. R7 및 R8은 서로 상이하거나 동일할 수 있다.
상술한 바와 같이, 상기 광불소 발생제로부터 생성된 불소 이온이 상기 화학식 4로 표시된 반복단위의 실리콘 원자를 공격하는 경우, 하나의 불소 이온에 의해 2개의 히드록실기들 또는 카르복실기들이 생성될 수 있다. 따라서, 노광부의 친수성 및/또는 극성이 더욱 증가될 수 있다.
상기 증감제는 광원으로부터 도입된 광자에 의해 불소 이온들의 개수를 증폭시키기 위해 첨가될 수 있다.
예시적인 실시예들에 따르면, 상기 증감제는 불소 치환기가 결합된 방향족 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 증감제는 비공유 전자쌍을 포함한 치환기를 더 포함할 수 있다.
일부 예시적인 실시예들에 있어서, 상기 증감제는 아래의 화학식 5로 표시될 수 있다.
[화학식 5]
상기 화학식 5에서 F는 불소 치환기를 나타내며, 상기 불소 치환기의 개수는 1 내지 5일 수 있다. Y는 상기 비공유 전자쌍을 포함하는 치환기를 나타내며, 히드록실기, 알콕시(alkoxy) 기, 티올(thiol) 기 또는 아미노기를 포함할 수 있다.
일부 실시예들에 있어서, 상기 증감제는 아래의 화학식 6으로 표시될 수 있다.
[화학식 6]
상기 증감제가 상기 광원으로부터의 상기 광자에 노출되는 경우, 예를 들면 히드록실기에 포함된 비공유 전자쌍이 벤젠 고리와 공명 안정화(resonance stabilization) 구조들을 형성할 수 있다. 상기 공명 안정화에 의해 2차 전자가 생성되면서 불소 이온이 방출될 수 있다.
일부 예시적인 실시예들에 따르면, 상기 증감제 일분자로부터 복수의 불소 이온들이 방출될 수 있다. 이에 따라, 상기 포토레지스트 조성물을 사용하는 포토 공정의 감도가 더욱 향상될 수 있다.
일부 예시적인 실시예들에 있어서, 상기 증감제는 상기 포토레지스트 고분자의 주쇄에 증감제 반복단위로서 결합될 수도 있다. 상기 증감제 반복단위는 1 내지 4개의 불소 치환기를 포함할 수 있다. 예를 들면, 상기 증감제 반복단위는 아래의 화학식 7로 표시될 수 있다.
[화학식 7]
상기 용매는 고분자 물질에 높은 용해성을 가지며, 균일한 두께의 포토레지스트 막을 형성하기 위해 도포성이 우수한 유기 용매를 포함할 수 있다. 상기 용매의 예로서, 사이클로헥사논, 사이클로펜타논, 테트라하이드로퓨란(tetrahydrofuran: THF), 디메틸 포름아미드(dimethyl formamide: DMF), 프로필렌 글리콜 모노메틸 에테르 아세테이트(propylene glycol monomethyl ether acetate: PGMEA), 에틸 락테이트(ethyl lactate), 메틸에틸케톤, 벤젠, 톨루엔 등을 들 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다.
상기 포토레지스트 조성물은 상기 포토레지스트 조성물로부터 형성되는 포토레지스트 막의 화학적, 물리적 물성을 개선하기 위해 첨가제를 더 포함할 수도 있다. 상기 첨가제의 예로서 계면활성제. 레벨링제, 점도 개질제 등을 들 수 있다.
예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 상기 조성물의 총 중량 대비 약 5 중량% 내지 약 20 중량%의 상기 포토레지스트 고분자, 약 0.1 중량% 내지 약 5 중량%의 상기 광불소 발생제, 약 0.01 중량% 내지 약 1 중량%의 상기 증감제, 약 0.01 중량% 내지 약 1 중량%의 상기 첨가제, 및 약 75 중량% 내지 약 94 중량%의 상기 용매를 포함할 수 있다.
예시적인 실시예들에 따르면, 상기 포토레지스트 조성물은 광산 발생제(Photo Acid Generator: PAG)를 포함하지 않을 수 있다. 이에 따라, 상기 PAG로부터 발생되는 산의 확산에 의한 포토레지스트 패턴의 불량을 회피할 수 있다.
예시적인 실시예들에 따른 포토레지스트 조성물은 포지티브 타입일 수 있다. 예를 들면, 상기 포토레지스트 조성물을 사용하여 형성된 상기 포토레지스트 막 상에 노광 공정 수행 시, 노광부에서는 상기 광불소 발생제로부터 불소 이온과 같은 활성 불소가 생성될 수 있다. 상기 활성 불소에 의해 상기 포토레지스트 고분자의 상기 실리콘 함유 이탈기가 제거될 수 있다. 상기 실리콘 함유 이탈기가 제거된 자리에는 예를 들면, 히드록실기 또는 카르복실기가 생성될 수 있다. 따라서, 상기 노광부는 비노광부에 비해 높은 친수성 및/또는 용해도를 가질 수 있다. 이에 따라, 상기 노광부는 식각 공정 또는 현상 공정에 의해 제거되어 포토레지스트 패턴이 형성될 수 있다.
상술한 바와 같이, 상기 포토레지스트 조성물은 실질적으로 이동도 및 확산도의 제어가 곤란한 산을 발생시키는 PAG 대신 불소 이온과 같은 활성 불소를 발생시키는 광불소 발생제를 포함할 수 있다. 따라서, 원하는 선폭 및/또는 피치를 갖는 포토레지스트 패턴을 형성할 수 있다. 또한, 상기 광불소 발생제로서 불화 설포늄 염을 사용함으로써, 상기 활성 불소의 생성을 촉진할 수 있으며, 상기 증감제의 추가에 의해 고감도의 사진 식각 시스템을 구현할 수 있다.
패턴 형성 방법
도 1 내지 도 6은 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다. 예를 들면, 도 1 내지 도 6은 상술한 포토레지스트 조성물을 활용한 패턴 형성 방법을 설명하고 있다.
도 1을 참조하면, 기판(100) 상에 식각 대상막(110)을 형성할 수 있다. 기판(100)으로서 예를 들면, 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판, 실리콘-온-인슐레이터(Silicon-On-Insulator: SOI) 기판, 게르마늄-온-인슐레이터(Germanium-On-Insulator: GOI) 기판 등과 같은 반도체 기판을 사용할 수 있다. 일부 실시예들에 있어서, 기판(100)은 GaP, GaAs, GaSb 등과 같은 III-V족 화합물을 포함할 수도 있다.
식각 대상막(110)은 포토레지스트 패턴으로부터 이미지가 전사되어 소정의 패턴으로 변환되는 층을 의미할 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 예를 들면, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물과 같은 절연 물질을 포함하도록 형성될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 금속, 금속 질화물, 금속 실리사이드, 금속 실리사이드 질화막과 같은 도전 물질을 포함하도록 형성될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 폴리실리콘과 같은 반도체 물질을 포함하도록 형성될 수 있다.
식각 대상막(110)은 예를 들면, 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 플라즈마 강화 화학 기상 증착(Plasma Enhanced Chemical Vapor Deposition: PECVD) 공정, 저압 화학 기상 증착(Low Pressure Chemical Vapor Deposition: LPCVD) 공정, 고밀도 플라즈마 화학 기상 증착(High Density Plasma Chemical Vapor Deposition: HDP-CVD) 공정, 스핀 코팅(spin coating) 공정, 스퍼터링(sputtering) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정, 물리 기상 증착(physical vapor deposition: PVD) 공정 들 중 적어도 하나의 공정을 통해 형성될 수 있다.
도 2를 참조하면, 식각 대상막(110) 상에 순차적으로 하부 코팅막(120) 및 포토레지스트 막(130)을 형성할 수 있다.
하부 코팅막(120)은 포토레지스트 막(130) 및 식각 대상막(110) 사이의 부착성 향상을 위한 접착막 또는 평탄화 막으로 제공될 수 있다. 일부 실시예들에 있어서, 하부 코팅막(120)은 유기계 또는 무기계열의 반사 방지막으로 형성될 수도 있다. 일부 실시예들에 있어서, 하부 코팅막(120)은 상술한 포토레지스트 고분자와 실질적으로 동일하거나 유사한 고분자 물질을 사용하여 형성될 수 있다.
일부 실시예들에 있어서, 하부 코팅막(120)의 형성은 생략될 수도 있다.
포토레지스트 막(130)은 상술한 예시적인 실시예들에 따른 포토레지스트 조성물을 예를 들면, 스핀 코팅 공정을 통해 도포한 후, 소프트-베이킹(soft-baking) 공정과 같은 예비 경화 공정을 통해 형성될 수 있다.
상기 포토레지스트 조성물은 포토레지스트 고분자, 광불소 발생제 및 용매를 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 증감제를 더 포함할 수 있다.
상기 광불소 발생제는 예를 들면, 상기 화학식 1로 표시되는 바와 같은 불화 설포늄 염을 포함할 수 있다. 상기 포토레지스트 고분자는 실리콘 함유 이탈기가 결합된 반복 단위를 포함할 수 있다. 상기 반복 단위는 예를 들면, 상기 화학식 2 또는 화학식 3으로 표시될 수 있다. 상기 실리콘 함유 이탈기는 상기 화학식 4로 표시된 바와 같이, 2 이상의 연결 그룹들을 통해 상기 포토레지스트 고분자의 주쇄와 연결될 수 있다.
상기 증감제는 상기 화학식 5 및 화학식 6으로 표시된 바와 같이, 불소 치환기 및 비공유 전자쌍을 포함하는 치환기를 포함하는 방향족 화합물을 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 증감제는 상기 화학식 7로 표시된 바와 같이, 상기 포토레지스트 고분자에 증감제 반복 단위로서 결합될 수도 있다.
도 3을 참조하면, 포토레지스트 막(130) 상에 노광 공정을 수행할 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 막(130) 상부에 노광 마스크(140)를 배치하고 노광 마스크(140)에 포함된 개방부 또는 투과부를 통해 광을 조사할 수 있다. 상기 노광 공정에 사용되는 광원은 예를 들면 ArF, KrF, 전자빔, I-line, EUV 광원 등을 포함할 수 있다. 예시적인 실시예들에 있어서, 상기 광원으로서 EUV 광원을 사용할 수 있다.
상기 노광 공정에 의해 포토레지스트 막(130)은 노광부(133) 및 비노광부(135)로 구분될 수 있다. 예시적인 실시예들에 따르면, 노광부(133)에서는 하기의 반응식에 도시된 메카니즘에 따라 화학적 구조가 변성될 수 있다.
반응식은 예시적으로, 상기 실리콘 함유 이탈기가 화학식 4로 표시된 바와 같이 2개의 에스테르 그룹을 통해 포토레지스트 고분자의 주쇄에 연결되고, 상기 화학식 7로 표시된 바와 같이 상기 증감제 반복 단위가 상기 포토레지스트 고분자의 상기 주쇄에 함께 연결된 경우를 도시하고 있다.
[반응식]
상기 반응식을 참조하면, 예를 들면 EUV 광원을 사용하여 노광(exposure) 공정이 수행되면, 단계 S10에서 상기 광불소 발생제로 제공되는 불화 설포늄 염에서 페닐 링의 자리옮김 반응이 발생할 수 있다. 이에 따라, 하나의 페닐 링이 인접한 다른 페닐 링의 수소를 치환하면서 HF가 생성될 수 있다.
한편, 상기 EUV 광원에 의해 도입되는 광자에 의해 상기 증감제 반복단위에서는 벤젠 링과 히드록실기의 비공유 전자쌍이 공명 안정화되면서 2차 전자가 생성될 수 있다. 이에 따라, 상기 증감제 반복 단위로부터 적어도 하나의 불소 이온(F-)이 발생할 수 있다.
단계 S20에서, 상기 불화 설포늄 염에 의해 생성된 HF 및/또는 상기 증감제 반복 단위로부터 발생된 불소 이온이 상기 실리콘 함유 이탈기의 실리콘 원자를 공격할 수 있다. 이에 따라, 상기 실리콘 원자는 예를 들면, 2개의 불소 이온들과 결합되어 상기 포토레지스트 고분자로부터 이탈 또는 탈보호될 수 있다.
단계 S30에서, 상기 실리콘 함유 이탈기가 제거된 에스테르 그룹은 상기 불화 설포늄 염에 의해 생성된 HF로부터 프로톤(H+)을 수용하여 카르복실산이 생성될 수 있다. 이에 따라, 노광부(133)는 비노광부(135)에 비해 증가된 친수성 및/또는 극성을 가질 수 있다.
상기 반응식에 도시된 바와 같이, 하나의 실리콘 함유 이탈기에 의해 2개의 카르복실산이 생성되어 노광부(133)의 친수성 및/또는 극성이 보다 현저히 증가될 수 있다.
상기 EUV 광원은 작은 파장으로 인해 좁은 피치, 선폭의 패턴 형성에 유리할 수 있으며, 작은 파워로도 노광 공정이 수행될 수 있다. 그러나, 파워가 감소됨에 따라 광자의 양이 감소될 수 있으며, 이에 따라 사진 식각 공정의 감도가 저하될 수 있다.
그러나, 상술한 예시적인 실시예들에 따르면 상기 광불소 발생제의 자리옮김 반응에 의해 적은 광자에 의해서도 불소 이온과 같은 활성 불소가 발생될 수 있다. 또한, 공명 안정화에 의해 불소 이온을 보충해주는 상기 증감제 또는 상기 증감제 반복단위가 포함됨에 따라, 고감도의 사진 식각 공정 시스템이 구현될 수 있다.
추가적으로, 예시적인 실시예들에 따른 상기 사진 식각 공정 시스템에서는, 일반적인 PAG에 의해 발생되는 산이 직접적으로 개입되지 않을 수 있다. 따라서, 실질적으로 이동 제어가 곤란한 산이 비노광부(135)에까지 확산되어 상기 사진 식각 공정의 해상도가 저하되거나 패턴 불량이 발생되는 것을 방지할 수 있다.
도 4를 참조하면, 노광부(133)를 선택적으로 제거할 수 있다. 이에 따라, 식각 대상막(110) 또는 하부 코팅막(120) 상에는 잔류하는 포토레지스트 막(130)의 비노광부(135)에 의해 포토레지스트 패턴(150)이 정의될 수 있다.
예시적인 실시예들에 따르면, 알코올 계열 용액, 테트라메틸 암모늄 히드록사이드(tetra methyl ammonium hydroxide: TMAH)와 같은 히드록사이드 계열 용액 등과 같은 현상액을 사용하는 현상 공정을 통해 노광부(133)를 선택적으로 제거할 수 있다.
상기 반응식을 참조로 설명한 바와 같이, 노광부(133)는 비노광부(135) 대비 높은 극성 및/또는 친수성을 갖는 패턴으로 변환되므로, 상기 현상액에 비노광부(135) 대비 높은 용해도를 가질 수 있다. 이에 따라, TMAH와 같은 현상액에 의해 노광부(133)만 실질적으로 제거될 수 있다.
일부 실시예들에 있어서, 노광부(133)는 건식 식각 공정을 통해 제거될 수도 있다. 상기 건식 식각 공정은 예를 들면, 산소 가스 등을 활용한 플라즈마 식각 또는 반응성 이온 식각(Reactive Ion Etching: RIE) 공정 등을 포함할 수 있다.
노광부(133)는 상술한 바와 같이, 카르복실산과 같은 강한 친수성 및/또는 극성 그룹을 포함할 수 있다. 따라서, 노광부(133)는 상기 플라즈마 식각 또는 RIE 공정에 상대적으로 높은 친화도를 가질 수 있다. 그러므로, 비노광부(135) 대비 높은 식각 선택비로 노광부(133)를 제거할 수 있다.
일부 실시예들에 있어서, 노광부(133) 제거 후에 하드-베이킹(hard-baking) 공정을 수행하여 비노광부(135)로부터 포토레지스트(150)이 형성될 수 있다.
도 5를 참조하면, 포토레지스트 패턴(150)을 식각 마스크로 사용하여 하부 코팅막(120) 및 식각 대상막(110)을 식각할 수 있다. 이에 따라, 포토레지스트 패턴(150) 및 기판(100) 사이에는 하부 코팅막 패턴(125) 및 타겟 패턴(115)이 형성될 수 있다.
상기 식각 공정은 식각 대상막(110)에 포함된 물질, 및 포토레지스트 패턴(150)과 식각 대상막(110) 사이의 식각 선택비를 고려하여 적절한 건식 또는 습식 식각 공정을 포함할 수 있다.
일부 실시예들에 있어서, 상기 건식 식각 공정은 플라즈마 식각 공정을 포함할 수 있다.
일부 실시예들에 있어서, 상기 습식 식각 공정 수행 시, 식각 대상막(110)에 포함된 물질에 따라, 불산, 인산, 황산 또는 퍼옥사이드(peroxide) 등과 같은 적절한 식각액을 선택할 수 있다.
일부 예시적인 실시예들에 있어서, 하부 코팅막(120)은 예를 들면, 노광부(133) 제거를 위한 현상 공정 수행시 함께 제거되어 하부 코팅막 패턴(125)이 형성될 수도 있다. 예를 들면, 노광부(133)에서 생성된 불소 이온이 노광부(133) 아래의 하부 코팅막(120) 부분까지 확산될 수 있다. 이에 따라, 노광부(133) 제거 시, 노광부(133) 아래의 하부 코팅막(120)의 상기 부분도 함께 제거될 수 있다.
도 6을 참조하면, 포토레지스트 패턴(150) 및 하부 코팅막 패턴(125)을 제거하여, 기판(100) 상에 타겟 패턴(115)을 잔류시킬 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 패턴(150) 및 하부 코팅막 패턴(125)은 애싱(ashing) 및/또는 스트립(strip) 공정을 통해 제거될 수 있다. 일부 실시예들에 있어서, 포토레지스트 패턴(150) 및 하부 코팅막 패턴(125)은 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정과 같은 평탄화 공정을 통해 제거될 수도 있다.
식각 대상막(110)이 도전 물질로 형성된 경우, 타겟 패턴(115)은 예를 들면, 반도체 장치의 배선, 콘택, 패드, 플러그, 인터커넥션(interconnection) 구조물 등으로 제공될 수 있다.
식각 대상막(110)이 절연 물질로 형성된 경우, 타겟 패턴(115)은 층간 절연막 패턴, 매립 절연 패턴과 같은 소정의 절연 패턴으로 제공될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)의 상술한 사진 식각 공정에 의해 제거된 부분은 예를 들면, 콘택 홀, 개구부, 트렌치와 같은 형태로 상기 절연 패턴에 포함될 수 있다.
도 7 내지 도 13은 예시적인 실시예들에 따른 패턴 형성 방법을 설명하기 위한 단면도들이다.
예를 들면, 도 7 내지 도 13은 상술한 포토레지스트 조성물을 활용한 배선 구조물의 형성 방법을 도시하고 있다. 도 1 내지 도 6을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략한다.
도 7을 참조하면, 하부 절연막(210)을 관통하는 하부 콘택(215)을 형성할 수 있다. 하부 콘택(215)은 복수 개로 형성되어 하부 절연막(210) 내에 매립될 수 있다.
예시적인 실시예들에 따르면, 패시베이션 막(200) 상에 하부 절연막(210)을 형성하고, 하부 절연막(210) 및 패시베이션 막(200)을 관통하는 콘택 홀을 형성할 수 있다. 이후, 상기 콘택 홀 내부에 도전막을 증착하거나 도금 공정을 통해 충진하여 하부 콘택(215)을 형성할 수 있다.
일부 실시예들에 있어서, 상기 콘택 홀 형성을 위해 하부 절연막(210)을 식각 대상막으로 하여 도 1 및 도 6을 참조로 설명한 패턴 형성 방법을 활용할 수 있다.
하부 절연막(210)은 실리콘 산화물, 실리콘 산질화물과 같은 절연 물질을 포함하도록 형성될 수 있다. 예를 들면, 하부 절연막(210)은 피이오엑스(Plasma Enhanced Oxide: PEOX), 테오스(TetraEthyl OrthoSilicate: TEOS), 실리케이트 글래스 등과 같은 실리콘 산화물 계열의 물질을 포함할 수 있다.
패시베이션 막(200)은 실리콘 질화물을 포함하도록 형성될 수 있다. 상기 도전막은 알루미늄(Al), 텅스텐(W), 구리(Cu) 등과 같은 금속, 금속 질화물, 금속 실리사이드 및/또는 도핑된 폴리실리콘을 포함하도록 형성될 수 있다.
일부 실시예들에 있어서, 하부 콘택(215)은 반도체 기판 상에 형성된 회로 소자 또는 하부 배선과 전기적으로 연결될 수 있다. 패시베이션 막(200)에 의해 상기 콘택 홀 형성 시, 상기 회로 소자 혹은 상기 하부 배선의 식각 손상이 방지될 수 있다.
하부 절연막(210) 상에는 하부 콘택들(215)을 덮는 제1 식각 저지막(220)이 형성될 수 있다. 제1 식각 저지막(220)은 실리콘 질화물 또는 실리콘 산질화물을 포함하도록 형성될 수 있다. 예를 들면, 제1 식각 저지막(220)은 CVD 공정, PECVD 공정, 스퍼터링 공정, ALD 공정 등을 통해 형성될 수 있다.
도 8을 참조하면, 제1 식각 저지막(220) 상에 층간 절연막(225), 버퍼막(230) 및 제2 식각 저지막(235)을 순차적으로 형성될 수 있다.
예를 들면, 층간 절연막(225)은 실리콘 산화물, 또는 폴리실록산, 실세스퀴옥산과 같은 저유전 산화물을 포함하도록 형성될 수 있다. 버퍼막(230) 및 제2 식각 저지막(235)은 예를 들면, 각각 실리콘 산질화물 및 실리콘 질화물을 포함하도록 형성될 수 있다. 버퍼막(230)에 의해 제2 식각 저지막(235)으로부터 발생되는 응력이 완충 혹은 흡수될 수 있다.
층간 절연막(225), 버퍼막(230) 및 제2 식각 저지막(235)은 CVD 공정, PECVD 공정, 이온빔 스퍼터링 공정과 같은 스퍼터링 공정, 스핀 코팅 공정 등을 통해 형성될 수 있다.
도 9를 참조하면, 제2 식각 저지막(235) 상에 포토레지스트 막(240)을 형성할 수 있다.
포토레지스트 막(240)은 도 2를 참조로 설명한 바와 같이, 상술한 예시적인 실시예들에 따른 포토레지스트 조성물을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 포토레지스트 막(240) 형성 전에 하부 코팅막을 더 형성할 수도 있다.
상기 포토레지스트 조성물은 포토레지스트 고분자, 광불소 발생제 및 용매를 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 증감제를 더 포함할 수 있다.
상기 광불소 발생제는 예를 들면, 상기 화학식 1로 표시되는 바와 같은 불화 설포늄 염을 포함할 수 있다. 상기 포토레지스트 고분자는 실리콘 함유 이탈기가 결합된 반복 단위를 포함할 수 있다. 상기 반복 단위는 예를 들면, 상기 화학식 2 또는 화학식 3으로 표시될 수 있다. 상기 실리콘 함유 이탈기는 상기 화학식 4로 표시된 바와 같이, 2 이상의 연결 그룹들을 통해 상기 포토레지스트 고분자의 주쇄와 연결될 수 있다.
상기 증감제는 상기 화학식 5 및 화학식 6으로 표시된 바와 같이, 불소 치환기 및 비공유 전자쌍을 포함하는 치환기를 포함하는 방향족 화합물을 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 증감제는 상기 화학식 7로 표시된 바와 같이, 상기 포토레지스트 고분자에 증감제 반복 단위로서 결합될 수도 있다.
상기 포토레지스트 조성물을 도포하여 예비 포토레지스트 막을 형성한 후, 소프트-베이킹 공정과 같은 열경화 공정을 통해 포토레지스트 막(240)이 형성될 수 있다.
도 10을 참조하면, 도 3 및 도 4를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여 포토레지스트 패턴(250)을 형성할 수 있다.
예시적인 실시예들에 따르면, 예를 들면, EUV 광원을 사용하는 노광 공정을 통해 노광부에 포함된 상기 광불소 발생제로부터 불소 이온과 같은 활성 불소를 발생시키고, 이를 상기 실리콘 함유 이탈기로 전이시킬 수 있다. 이에 따라, 예를 들면, 상기 반응식으로 설명한 메커니즘에 의해 광화학 반응이 유도되어 상기 노광부의 극성 및/또는 친수성이 비노광부에 비해 현저히 증가될 수 있다. 추가적으로, 불소 이온 방출이 가능한 상기 증감제 또는 증감제 반복단위에 의해 상기 노광부의 감도가 더욱 향상될 수 있다.
이후, 현상 공정 또는 건식 식각 공정을 통해 상기 노광부를 선택적으로 제거하여 포토레지스트 패턴(250)을 형성할 수 있다.
도 11을 참조하면, 포토레지스트 패턴(250)을 식각 마스크로 사용하여 제2 식각 저지막(235), 버퍼막(230), 층간 절연막(225) 및 제1 식각 저지막(220)을 순차적으로, 부분적으로 식각할 수 있다. 이에 따라, 하부 콘택(215)을 노출시키는 개구부(260)가 형성될 수 있다.
개구부(260)는 예를 들면, 건식 식각 공정을 통해 형성될 수 있다. 개구부(260)는 층간 절연막(225) 및 제1 식각 저지막(220)을 관통하여 하부 콘택(215)의 상면을 적어도 부분적으로 노출시킬 수 있다.
일부 실시예들에 있어서, 개구부(260)는 각각의 하부 콘택(215)을 노출시키는 콘택 홀 형상을 가질 수 있다. 일부 실시예들에 있어서, 개구부(260)는 복수의 하부 콘택들(215)의 상면들을 노출시키며 연장하는 라인 형상을 가질 수도 있다.
도 12를 참조하면, 개구부들(260)을 채우는 도전막을 형성할 수 있다.
예시적인 실시예들에 따르면, 포토레지스트 패턴(250)의 상면 및 측벽들, 개구부들(260)의 측벽 및 저면들(혹은 하부 콘택(215)의 노출된 상면들)을 따라, 컨포멀하게 배리어 막(265)을 형성할 수 있다. 배리어막(265) 상에는 개구부들(260)을 충분히 채우는 도전막(270)을 형성할 수 있다.
배리어 막(265)은 티타늄 질화물(TiNx), 탄탈륨 질화물(TaNx) 또는 텅스텐 질화물(WNx)과 같은 금속 질화물을 포함하도록 형성될 수 있다. 배리어 막(265)은 도전막(270)에 포함된 금속 물질이 층간 절연막(225)으로 확산되는 것을 방지할 수 있다. 또한, 배리어 막(265)에 의해 도전막(270) 형성을 위한 소정의 접착력이 제공될 수 있다. 배리어 막(265)은 예를 들면, 스퍼터링 공정 또는 ALD 공정을 통해 형성될 수 있다.
도전막(270)은 예를 들면, 전해도금(electroplating) 공정을 통해 형성될 수 있다. 이 경우, 예를 들면, 구리 타겟을 사용하는 스퍼터링 공정을 통해 배리어 막(265) 상에 컨포멀한 형상의 씨드(seed) 막을 형성할 수 있다. 이후, 황산구리와 같은 도금액을 사용한 전기화학 반응을 통해 상기 씨드막 상에 구리를 포함하는 도전막(270)을 석출 또는 성장시킬 수 있다.
일부 실시예들에 있어서, 도전막(270)은 구리, 텅스텐, 알루미늄 등과 같은 금속 타겟을 사용하는 스퍼터링 공정 또는 ALD 공정을 통해 증착될 수도 있다.
도 13을 참조하면, 도전막(270) 및 배리어막(265)의 상부를 평탄화하여 도전 패턴(280)을 형성할 수 있다.
예시적인 실시예들에 따르면, 도전막(270) 및 배리어막(265)의 상기 상부를 층간 절연막(225)의 상면이 노출될 때까지, 예를 들면 CMP 공정을 통해 평탄화 할 수 있다. 상기 평탄화 공정에 의해 포토레지스트 패턴(250), 제2 식각 저지막(235) 및 버퍼막(230)이 함께 제거될 수 있다.
이에 따라, 개구부(260) 내부에는 하부 콘택(215)과 전기적으로 연결되는 도전 패턴(280)이 형성될 수 있다. 도전 패턴(280)은 개구부(260)의 상기 측벽 및 저면 상에 형성된 배리어 패턴(267), 및 배리어 패턴(267) 상에 형성되어 개구부(260)의 나머지 부분을 채우는 도전 매립 패턴(275)을 포함할 수 있다.
도 12 및 도 13에서는 포토레지스트 패턴(250)이 도전 패턴(280) 형성을 위한 상기 평탄화 공정에 의해 제거되는 것으로 도시되었으나, 포토레지스트 패턴(250)은 개구부(260) 형성 후, 배리어 막(265) 형성 전에 먼저 제거될 수도 있다. 예를 들면, 개구부(260)를 형성한 후, 애싱 및/또는 스트립 공정을 통해 포토레지스트 패턴(250)을 제거할 수 있다.
일부 실시예들에 있어서, 층간 절연막(225) 상에 도전 패턴(280)과 전기적으로 연결되는 배선을 더 형성할 수 있다. 예를 들면, 층간 절연막(225) 및 도전 패턴(280) 상에 금속막을 형성한 후, 상기 금속막을 상술한 포토레지스트 조성물을 활용한 사진 식각 공정을 통해 패터닝하여 상기 배선을 형성할 수 있다.
도 14 내지 도 35는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다. 예를 들면, 도 14 내지 도 35는 로직 반도체 소자의 제조 방법을 예시적인 설명하고 있다. 예를 들면, 상기 로직 반도체 소자는 핀 전계 효과 트랜지스터(Fin field-effect transistor: FinFET)를 포함할 수 있다.
구체적으로, 도 14, 도 16 및 도 22는 상기 반도체 장치의 제조 방법을 설명하기 위한 평면도들이다. 도 15, 도 17 및 도 18 상기 평면도들에 I-I' 라인을 따라 절단된 단면도들이다. 도 20, 도 24, 도 26 및 도 30는 상기 평면도들에 표시된 I-I' 라인 및 II-II' 라인을 따라 절단한 단면도들을 포함하고 있다. 도 19, 도 21, 도 23, 도 25, 도 27 내지 도 29, 및 도 31 내지 도 35는 상기 평면도들에 표시된 III-III' 라인을 따라 절단한 단면도들이다.
도 14 내지 도 35에서 기판 상면에 대해 평행하며 서로 교차하는 두 방향을 제1 방향 및 제2 방향으로 정의한다. 예를 들면, 상기 제1 방향 및 제2 방향은 서로 수직하게 교차할 수 있다. 화살표로 표시된 방향과 반대 방향은 서로 동일한 방향으로 간주된다.
도 14 및 도 15를 참조하면, 기판(300) 상부로부터 돌출된 액티브 패턴(305)을 형성할 수 있다.
기판(300)은 실리콘, 게르마늄, 실리콘-게르마늄과 같은 반도체 물질, 또는 GaP, GaAs, GaSb 등과 같은 Ⅲ-Ⅴ족 반도체 화합물을 포함할 수 있다. 일부 실시예들에 따르면, 기판(300)은 SOI 기판, 또는 GOI 기판일 수 있다.
예시적인 실시예들에 따르면, 액티브 패턴(305)은 기판(300) 상부에 대해 얕은 트렌치 분리(Shallow Trench Isolation: STI) 공정을 수행함으로써 형성될 수 있다. 예를 들면, 기판(300) 상부를 부분적으로 식각하여 소자 분리 트렌치를 형성한 후, 상기 소자 분리 트렌치를 충분히 채우는 절연막을 기판(300) 상에 형성할 수 있다. 상기 절연막의 상부를 기판(300) 상면이 노출될 때까지 예를 들면, CMP 공정을 통해 평탄화하여 소자 분리막(302)을 형성할 수 있다. 상기 절연막은 예를 들면, 실리콘 산화물을 포함할 수 있다.
소자 분리막(302)이 형성됨에 따라, 기판(300)으로부터 복수의 돌출부들이 형성될 수 있으며, 상기 돌출부들은 액티브 패턴(305)으로 정의될 수 있다. 액티브 패턴(305)은 각각 상기 제1 방향으로 연장하며, 상기 제2 방향을 따라 복수의 액티브 패턴들(305)이 형성될 수 있다.
일부 실시예들에 있어서, 액티브 패턴(305) 상부에 대해 이온 주입 공정을 수행하여 웰(well) 영역을 더 형성할 수도 있다.
도 14 및 도 15에서는 액티브 패턴(305)이 기판(300)으로부터 형성되는 것으로 도시하였으나, 기판(300) 상에 별도의 채널막을 예를 들면 선택적 에피텍셜 공정(Selective Epitaxial Growth: SEG)을 통해 형성하고, 상기 채널막에 대해 STI 공정을 수행하여 액티브 패턴(305)을 형성할 수도 있다.
도 16 및 도 17을 참조하면, 예를 들면, 에치-백 공정을 통해 소자 분리막(302)의 상부를 제거할 수 있다. 이에 따라, 액티브 패턴(305)의 상부가 노출될 수 있다. 예시적인 실시예들에 따르면, 노출된 액티브 패턴(305)의 상기 상부는 액티브 핀(active fin)(307)으로 정의될 수 있다. 액티브 핀(407)은 상기 제1 방향으로 연장되며, 복수의 액티브 핀들(307)이 상기 제2 방향을 따라 배열될 수 있다.
도 18 및 도 19를 참조하면, 소자 분리막(302) 및 액티브 핀(307) 상에 순차적으로 더미 게이트 절연막(310), 더미 게이트 전극막(312) 및 더미 게이트 마스크막(316)을 형성할 수 있다. 더미 게이트 마스크막(316) 상에는 제1 포토레지스트 막(320)을 형성할 수 있다.
상기 더미 게이트 절연막은 실리콘 산화물을 포함하도록 형성될 수 있다. 상기 더미 게이트 전극막은 폴리실리콘을 포함하도록 형성될 수 있다. 상기 더미 게이트 마스크 막은 실리콘 질화물을 포함하도록 형성될 수 있다. 상기 더미 게이트 절연막, 상기 더미 게이트 전극막 및 상기 더미 게이트 마스크 막은 CVD 공정, 스퍼터링 공정 또는 ALD 공정을 통해 형성될 수 있다.
제1 포토레지스트 막(320)은 도 2를 참조로 설명한 바와 같이, 상술한 예시적인 실시예들에 따른 포토레지스트 조성물을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 제1 포토레지스트 막(320) 형성 전에 하부 코팅막을 더 형성할 수도 있다.
상기 포토레지스트 조성물은 포토레지스트 고분자, 광불소 발생제 및 용매를 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 포토레지스트 조성물은 증감제를 더 포함할 수 있다.
상기 광불소 발생제는 예를 들면, 상기 화학식 1로 표시되는 바와 같은 불화 설포늄 염을 포함할 수 있다. 상기 포토레지스트 고분자는 실리콘 함유 이탈기가 결합된 반복 단위를 포함할 수 있다. 상기 반복 단위는 예를 들면, 상기 화학식 2 또는 화학식 3으로 표시될 수 있다. 상기 실리콘 함유 이탈기는 상기 화학식 4로 표시된 바와 같이, 2 이상의 연결 그룹들을 통해 상기 포토레지스트 고분자의 주쇄와 연결될 수 있다.
상기 증감제는 상기 화학식 5 및 화학식 6으로 표시된 바와 같이, 불소 치환기 및 비공유 전자쌍을 포함하는 치환기를 포함하는 방향족 화합물을 포함할 수 있다. 일부 예시적인 실시예들에 있어서, 상기 증감제는 상기 화학식 7로 표시된 바와 같이, 상기 포토레지스트 고분자에 증감제 반복 단위로서 결합될 수도 있다.
상기 포토레지스트 조성물을 도포하여 예비 포토레지스트 막을 형성한 후, 소프트-베이킹 공정과 같은 열경화 공정을 통해 제1 포토레지스트 막(320)이 형성될 수 있다.
도 20 및 도 21을 참조하면, 제1 포토레지스트 막(320)을 활용한 사진 식각 공정을 통해 더미 게이트 구조물(319)을 형성할 수 있다.
예시적인 실시예들에 따르면, 도 3 및 도 4를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여 제1 포토레지스트 패턴(325)을 형성할 수 있다.
예를 들면, EUV 광원을 사용하는 노광 공정을 통해 노광부에 포함된 상기 광불소 발생제로부터 불소 이온과 같은 활성 불소를 발생시키고, 이를 상기 실리콘 함유 이탈기로 전이시킬 수 있다. 이에 따라, 예를 들면, 상기 반응식으로 설명한 메커니즘에 의해 광화학 반응이 유도되어 상기 노광부의 극성 및/또는 친수성이 비노광부에 비해 현저히 증가될 수 있다. 추가적으로, 불소 이온 방출이 가능한 상기 증감제 또는 증감제 반복단위에 의해 상기 노광부의 감도가 더욱 향상될 수 있다.
이후, 현상 공정 또는 건식 식각 공정을 통해 상기 노광부를 선택적으로 제거하여 제1 포토레지스트 패턴(325)을 형성할 수 있다.
제1 포토레지스트 패턴(325)을 식각 마스크로 활용하여 더미 게이트 마스크막(316), 더미 게이트 전극막(312) 및 더미 게이트 절연막(310)을 순차적으로 식각할 수 있다. 이에 따라, 액티브 핀(307) 및 소자 분리막(302) 상에 순차적으로 적층된 더미 게이트 절연 패턴(311), 더미 게이트 전극(315) 및 더미 게이트 마스크(317)를 포함하는 더미 게이트 구조물(319)이 형성될 수 있다.
더미 게이트 구조물(319)은 상기 제2 방향으로 연장하며, 복수의 액티브 핀들(307)과 교차할 수 있다. 또한, 복수의 더미 게이트 구조물들(319)이 상기 제1 방향을 따라 형성될 수 있다.
더미 게이트 구조물(319) 형성 후, 제1 포토레지스트 패턴(325) 애싱 및/또는 스트립 공정을 통해 제거될 수 있다.
도 22 및 도 23을 참조하면, 더미 게이트 구조물(319)의 측벽 상에 게이트 스페이서(330)를 형성할 수 있다.
예시적인 실시예들에 따르면, 더미 게이트 구조물(319), 액티브 핀(307) 및 소자 분리막(302) 상에 스페이서 막을 형성하고, 상기 스페이서 막을 이방성 식각하여 게이트 스페이서(330)을 형성할 수 있다. 상기 스페이서 막은 예를 들어, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄질화물과 같은 질화물 계열 물질을 포함하도록 형성할 수 있다.
도 22에 도시된 바와 같이, 게이트 스페이서(330)는 더미 게이트 구조물(319)과 함께 상기 제2 방향을 따라 연장될 수 있다.
도 24 및 도 25를 참조하면, 게이트 스페이서(330) 및/또는 더미 게이트 구조물(315)에 인접한 액티브 핀(307)의 상부를 식각하여 리세스(335)를 형성할 수 있다.
리세스(335) 형성을 위한 상기 식각 공정에 있어서, 게이트 스페이서(330)가 실질적으로 식각 마스크로 기능할 수 있다. 예시적인 실시예들에 따르면, 리세스(335)의 내벽은 도 25에 도시된 바와 같이 실질적으로 "U" 자 형상의 프로파일을 가질 수 있다.
일부 실시예들에 있어서, 리세스(335)는 소자 분리막(302) 상면 아래의 액티브 패턴(305) 부분까지 깊이가 확장될 수도 있다.
도 26 및 도 27을 참조하면, 리세스(335)를 채우는 소스/드레인(source/drain) 층(340)을 형성할 수 있다.
예시적인 실시예들에 따르면, 소스/드레인 층(340)은 리세스(335)에 의해 노출된 액티브 핀(307) 상면을 씨드(seed)로 사용하는 SEG 공정을 통해 형성될 수 있다.
상기 SEG 공정에 있어서 실란 계열의 실리콘 소스와 함께 포스핀(phosphine: PH3)과 같은 n형 불순물 소스, 또는 디보레인(diborane: B2H6)과 같은 p형 불순물 소스가 함께 주입될 수 있다.
소스/드레인 층(340)은 예를 들면 수직 및 수평 방향으로 성장되어 예를 들면, 도 26에 도시된 바와 같이 다각형 단면을 가질 수 있다. 일부 실시예들에 있어서, 소스/드레인 층(340)은 리세스(335)를 충분히 채우며 게이트 스페이서(330)의 저부와 접촉할 수 있다.
도 28을 참조하면, 액티브 핀(307) 및 소자 분리막(302) 상에 더미 게이트 구조물(319), 게이트 스페이서(330) 및 소스/드레인 층들(340)을 덮는 하부 절연막(345)을 형성할 수 있다. 이후, 예를 들면 더미 게이트 전극(315)의 상면이 노출될 때까지 CMP 공정 및/또는 에치-백 공정을 통해 하부 절연막(345) 상부를 평탄화할 수 있다.
일부 실시예들에 있어서, 상기 CMP 공정에 의해 더미 게이트 마스크(317)가 제거될 수 있으며, 게이트 스페이서(330)의 상부도 일부 제거될 수 있다.
하부 절연막(345)은 예를 들면, 실리콘 산화물 계열 물질을 포함하도록 CVD 공정을 통해 형성될 수 있다.
도 29를 참조하면, 더미 게이트 전극(315) 및 더미 게이트 절연 패턴(311)을 제거할 수 있다. 이에 따라, 한 쌍의 게이트 스페이서들(330) 사이에 액티브 핀(307)의 상부가 노출되는 트렌치(도시되지 않음)가 형성될 수 있다.
노출된 액티브 핀(207)를 열산화시켜 인터페이스 막(350)을 형성할 수 있다. 이후, 하부 절연막(345)의 상면, 상기 트렌치의 내측벽, 및 인터페이스 막(350) 및 소자 분리막(302)의 상면들을 따라 게이트 절연막(352)를 형성하고, 게이트 절연막(352) 상에 버퍼막(354)을 형성할 수 있다. 버퍼막(354) 상에 상기 트렌치의 나머지 부분을 채우는 게이트 전극막(356)을 형성할 수 있다.
게이트 절연막(352)은 하프늄 산화물, 탄탈륨 산화물 및/또는 지르코늄 산화물과 같은 고유전율(high-k)을 갖는 금속 산화물을 포함하도록 형성될 수 있다. 버퍼막(354)은 예를 들면, 게이트 전극에서의 일함수 조절을 위해 삽입되며, 티타늄, 탄탈륨, 알루미늄 등과 같은 금속의 질화물을 포함하도록 형성될 수 있다. 게이트 전극막(356)은 알루미늄, 구리, 텅스텐 등과 같은 저저항 금속을 사용하여 형성될 수 있다.
게이트 절연막(352), 버퍼막(354) 및 게이트 전극막(356)은 CVD 공정, ALD 공정, PVD 공정 등을 통해 형성될 수 있다. 일부 실시예들에 있어서, 인터페이스 막(350) 또한 CVD 공정, ALD 공정 등과 같은 증착 공정을 통해 형성될 수 있으며, 이 경우 게이트 절연막(352)과 실질적으로 동일하거나 유사한 프로파일로 형성될 수 있다.
도 30 및 도 31을 참조하면, 게이트 전극막(356), 버퍼막(354) 및 게이트 절연막(352)의 상부들을 예를 들면, 하부 절연막(345) 상면이 노출될 때까지 CMP 공정을 통해 평탄화할 수 있다.
이에 따라, 상기 트렌치 내부에는 순차적으로 적층된 인터페이스 막 (350), 게이트 절연 패턴(351), 버퍼 패턴(353) 및 게이트 전극(357)을 포함하는 게이트 구조물이 형성될 수 있다. 상기 게이트 구조물 및 소스/드레인 층(340)에 의해 로직 반도체 소자의 NMOS 트랜지스터 또는 PMOS 트랜지스터가 정의될 수 있다.
이후, 하부 절연막(345), 게이트 스페이서들(330) 및 상기 게이트 구조물 상에 패시베이션 막(360)을 형성할 수 있다. 패시베이션 막(360)은 예를 들면, 실리콘 질화물, 실리콘 산질화물과 같은 질화물 계열 물질을 포함하도록 CVD 공정을 통해 형성될 수 있다. 상기 게이트 구조물을 커버하는 패시베이션 막(360) 부분은 게이트 마스크로 제공될 수 있다.
도 32를 참조하면, 소스/드레인 층(340)과 전기적으로 연결되는 액티브 콘택(365)을 형성할 수 있다.
예시적인 실시예들에 따르면, 패시베이션 막(360) 및 하부 절연막(345)을 부분적으로 식각하여 소스/드레인 층(340)을 노출시키는 제1 콘택 홀을 형성할 수 있다.
일부 실시예들에 있어서, 상기 제1 콘택 홀 형성을 위한 상기 식각 공정 시, 소스/드레인 층(340)의 상부도 일부 제거될 수 있다.
예시적인 실시예들에 따르면, 상기 제1 콘택 홀에 의해 노출된 소스/드레인 층(340)의 상부에 실리사이드 패턴(343)을 형성할 수 있다. 예를 들면, 상기 제1 콘택 홀에 의해 노출된 소스/드레인 층(340) 상에 금속막을 형성하고 어닐링 공정과 같은 열처리를 수행할 수 있다. 상기 열처리에 의해 상기 금속막과 접촉하는 소스/드레인 층(340) 부분은 금속 실리사이드로 변환될 수 있다. 이후, 미반응 잔여 금속막을 제거함으로써, 실리사이드 패턴(343)을 형성할 수 있다.
상기 금속막은 예를 들어, 코발트 또는 니켈 등을 포함하도록 형성될 수 있다. 이에 따라, 실리사이드 패턴(343)은 코발트 실리사이드 또는 니켈 실리사이드와 같은 금속 실리사이드를 포함할 수 있다.
일부 실시예들에 있어서, 실리사이드 패턴(343)은 소스/드레인 층(340)의 상면 위로 돌출되어 상기 제1 콘택 홀의 저부를 채우도록 형성될 수도 있다.
이후, 상기 제1 콘택 홀 내부를 채우는 액티브 콘택(365)를 형성할 수 있다. 예를 들면, 패시베이션 막(360) 상에 상기 제1 콘택 홀들을 충분히 채우는 도전막을 형성할 수 있다. 상기 도전막의 상부를 패시베이션 막(360) 상면이 노출될 때까지 CMP 공정을 통해 평탄화하여 액티브 콘택들(365)을 형성할 수 있다. 상기 도전막은 금속, 금속 질화물, 금속 실리사이드, 또는 도핑된 폴리실리콘을 포함하도록 형성될 수 있다.
일부 실시예들에 있어서, 상기 게이트 구조물 상에는 게이트 콘택(367)이 형성될 수 있다. 게이트 콘택(367)은 패시베이션 막(360)을 관통하여 게이트 전극(357)의 상면과 접촉할 수 있다.
일부 실시예들에 있어서, 게이트 콘택(367) 및 액티브 콘택(365)은 실질적으로 동일한 식각 공정 및 증착 공정을 통해 함께 형성될 수 있다. 예를 들면, 상기 제1 콘택 홀과 함께 패시베이션 막(360)을 관통하여 게이트 전극(357)의 상기 상면을 노출시키는 제2 콘택 홀이 형성될 수 있다. 상기 도전막은 상기 제2 콘택 홀을 함께 채우며, 상기 CMP 공정을 통해 상기 제2 콘택 홀 내부에 게이트 콘택(367)이 형성될 수 있다.
이후, 상기 로직 반도체 소자의 라우팅 회로 형성을 위한 BEOL 공정이 수행될 수 있다.
도 33을 참조하면, 패시베이션 막(360), 액티브 콘택(365) 및 게이트 콘택(367)을 덮는 제1 층간 절연막(370)을 형성할 수 있다. 제1 층간 절연막(370) 상에는 제2 포토레지스트 패턴(375)을 형성할 수 있다.
예시적인 실시예들에 따르면, 도 18 및 도 19를 참조로 설명한 제1 포토레지스트 막(320)과 실질적으로 동일하거나 유사한 물질 및 공정을 통해 제1 층간 절연막(370) 상에 제2 포토레지스트 막을 형성할 수 있다.
상기 제2 포토레지스트 막은 상술한 예시적인 실시예들에 따른 포토레지스트 조성물을 사용하여 형성될 수 있다.
이후, 도 3 및 도 4를 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행하여 제2 포토레지스트 패턴(375)을 형성할 수 있다.
예시적인 실시예들에 따르면, 예를 들면, EUV 광원을 사용하는 노광 공정을 통해 상기 제2 포토레지스트 막의 노광부에 포함된 광불소 발생제로부터 불소 이온과 같은 활성 불소를 발생시키고, 이를 포토레지스트 고분자에 포함된 실리콘 함유 이탈기로 전이시킬 수 있다. 이에 따라, 예를 들면, 상기 반응식으로 설명한 메커니즘에 의해 광화학 반응이 유도되어 상기 노광부의 극성 및/또는 친수성이 비노광부에 비해 현저히 증가될 수 있다. 추가적으로, 불소 이온 방출이 가능한 증감제 또는 증감제 반복단위에 의해 상기 노광부의 감도가 더욱 향상될 수 있다.
이후, 현상 공정 또는 건식 식각 공정을 통해 상기 노광부를 선택적으로 제거하여 제2 포토레지스트 패턴(375)을 형성할 수 있다.
도 34를 참조하면, 제2 포토레지스트 패턴(375)을 식각 마스크로 사용하여, 제1 층간 절연막(370)을 부분적으로 제거함으로써 액티브 콘택(365)을 노출시키는 제1 개구부를 형성할 수 있다. 상기 제1 개구부 내에 도전 물질을 충진하여 액티브 콘택(365)과 전기적으로 연결되는 제1 배선(372)을 형성할 수 있다.
도 35를 참조하면, 제1 층간 절연막(370) 상에 제1 배선들(372)을 덮는 제2 층간 절연막(380)을 형성할 수 있다. 제1 및 제2 층간 절연막들(370, 380)은 저유전 특성을 갖는 폴리실록산, 실세스퀴옥산 계열의 실리콘 산화물을 포함하도록 CVD 공정, 스핀 코팅 공정 등을 통해 형성될 수 있다.
이후, 제2 층간 절연막(380) 및 제1 층간 절연막(370)을 관통하여 게이트 콘택(367)의 상면을 노출시키는 제2 개구부를 형성할 수 있다. 상기 제2 개구부는 도 33 및 도 34를 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정들을 통해 형성될 수 있다.
예를 들면, 상기 제1 개구부 형성을 위해 활용된 예시적인 실시예들에 따른 광불소 발생제에 기반한 고감도 사진 식각 공정 시스템을 통해 형성될 수 있다.
상기 제2 개구부 내에 도전물질을 충진하여 게이트 콘택(367)과 전기적으로 연결되는 관통 콘택(385)을 형성할 수 있다.
이후, 제2 층간 절연막(380) 상에 관통 콘택(385)을 덮는 금속막을 형성하고, 상기 금속막을 패터닝하여 관통 콘택(385)과 전기적으로 연결되는 제2 배선(390)을 형성할 수 있다.
제2 배선(390) 역시 상기 금속막에 대해 예시적인 실시예들에 따른 상기 광불소 발생제에 기반한 고감도 사진 식각 공정 시스템을 수행하여 형성될 수 있다.
전술한 예시적인 실시예들에 따른 포토레지스트 조성물은 예를 들면, 약 20nm 스케일 또는 약 10nm 스케일의 임계 치수를 갖는 미세 패턴 형성을 위한 사진 식각 공정에 응용될 수 있다. 상기 사진 식각 공정을 통해 플래시(Flash) 메모리 장치, 디램(DRAM) 장치 등과 같은 각종 메모리 장치 또는 로직 소자를 포함하는 반도체 장치의 미세 배선, 콘택, 절연 패턴 등을 고해상도로 형성할 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100, 300: 기판 110: 식각 대상막
115: 타겟 패턴 120: 하부 코팅막
125: 하부 코팅막 패턴 130, 240: 포토레지스트 막
133: 노광부 135: 비노광부
140: 노광 마스크 150, 250: 포토레지스트 패턴
200: 패시베이션 막 210, 345: 하부 절연막
215: 하부 콘택 220: 제1 식각 저지막
225: 층간 절연막 230: 버퍼막
235: 제2 식각 저지막 260: 개구부
265: 배리어 막 267: 배리어 패턴
270: 도전막 275: 도전 매립 패턴
280: 도전 패턴 302: 소자 분리막
305: 액티브 패턴 307: 액티브 핀
310: 더미 게이트 절연막 311: 더미 게이트 절연 패턴
312: 더미 게이트 전극막 315: 더미 게이트 전극
316: 더미 게이트 마스크막 317: 더미 게이트 마스크
319: 더미 게이트 구조물 320: 제1 포토레지스트 막
325: 제1 포토레지스트 패턴 330: 게이트 스페이서
335: 리세스 340: 소스/드레인 층
343: 실리사이드 패턴 350: 인터페이스 막
351: 게이트 절연 패턴 352: 게이트 절연막
353: 버퍼 패턴 354: 버퍼막
356: 게이트 전극막 357: 게이트 전극
360: 패시베이션 막 365: 액티브 콘택
367: 게이트 콘택 370: 제1 층간 절연막
372: 제1 배선 375: 제2 포토레지스트 패턴
380: 제2 층간 절연막 385: 관통 콘택

Claims (20)

  1. 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자;
    하기의 화학식 1로 표시되는 광불소 발생제; 및
    용매를 포함하는 포토레지스트 조성물.
    [화학식 1]

    (상기 화학식 1에 있어서, R1, R2 및 R3은 각각 독립적으로 수소, C1~C20의 지방족 탄화수소 그룹, 또는 질소(N), 산소(O) 및 할로겐 중 적어도 하나를 포함하는 C1~C20의 헤테로 지방족 탄화수소 그룹이다)
  2. 삭제
  3. 제1항에 있어서, 상기 실리콘 함유 이탈기는 에스테르 그룹을 통해 상기 포토레지스트 고분자의 주쇄에 연결되는 포토레지스트 조성물.
  4. 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자;
    불화 설포늄염을 포함하는 광불소 발생제; 및
    용매를 포함하고,
    상기 실리콘 함유 이탈기를 포함하는 상기 반복단위는 하기의 화학식 2 또는 화학식 3으로 표시되는 포토레지스트 조성물.
    [화학식 2]

    [화학식 3]

    (상기 화학식 2 및 화학식 3에 있어서, R4, R5 및 R6은 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기를 나타내며, X는 스티렌, 히드록시스티렌, 아크릴레이트, C1~C6의 알킬렌, 아릴렌, 카르보닐, 옥시, C2~C30의 불포화 지방족기 또는 이들의 조합에서 선택되는 2가의 작용기이다)
  5. 제1항에 있어서, 상기 실리콘 함유 이탈기는 2 이상의 연결 그룹들을 통해 상기 포토레지스트 고분자의 주쇄와 연결되는 포토레지스트 조성물.
  6. 제5항에 있어서, 상기 연결 그룹은 에스테르 그룹을 포함하는 포토레지스트 조성물.
  7. 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자;
    불화 설포늄염을 포함하는 광불소 발생제; 및
    용매를 포함하고,
    상기 실리콘 함유 이탈기를 포함하는 상기 반복단위는 하기의 화학식 4로 표시되는 포토레지스트 조성물.
    [화학식 4]

    (상기 화학식 4에서, R7 및 R8은 각각 독립적으로 수소, C1~C20의 알킬기, C3~C20의 시클로알킬기 또는 C6~C30의 방향족기이다)
  8. 제1항에 있어서, 불소 생성 가능한 증감제를 더 포함하는 포토레지스트 조성물.
  9. 제8항에 있어서, 상기 증감제는 불소 치환기 및 비공유 전자쌍을 포함하는 치환기를 포함하는 방향족 화합물을 포함하는 포토레지스트 조성물.
  10. 제9항에 있어서, 상기 증감제는 하기의 화학식 5로 표시되는 포토레지스트 조성물.
    [화학식 5]

    (상기 화학식 5에서 F는 상기 불소 치환기를 나타내며, 상기 불소 치환기의 개수는 1 내지 5이고, Y는 상기 비공유 전자쌍을 포함하는 치환기를 나타내며, Y는 히드록실기, 알콕시(alkoxy)기, 티올(thiol)기 또는 아미노기이다)
  11. 제10항에 있어서, 상기 증감제는 하기의 화학식 6으로 표시되는 포토레지스트 조성물.
    [화학식 6]
  12. 제8항에 있어서, 상기 증감제는 상기 포토레지스트 고분자의 주쇄에 증감제 반복단위로서 결합되는 포토레지스트 조성물.
  13. 제12항에 있어서, 상기 증감제 반복단위는 하기의 화학식 7로 표시되는 포토레지스트 조성물.
    [화학식 7]
  14. 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자;
    광불소 발생제;
    불소 생성 가능한 증감제; 및
    용매를 포함하는 포토레지스트 조성물.
  15. 제14항에 있어서, 상기 광불소 발생제는 불화 설포늄 염을 포함하는 포토레지스트 조성물.
  16. 제15항에 있어서, 상기 불화 설포늄 염은 황(S) 원자에 결합되며, 자리옮김 반응이 가능한 복수의 방향족 치환기들을 포함하는 포토레지스트 조성물.
  17. 제14항에 있어서, 상기 증감제는 불소 치환기를 포함하며, 광화학 반응에 의해 공명 안정화가 가능한 방향족 화합물을 포함하는 포토레지스트 조성물.
  18. 기판 상에 식각 대상막을 형성하고;
    상기 식각 대상막 상에 실리콘 함유 이탈기가 결합된 반복단위를 포함하는 포토레지스트 고분자, 불화 설포늄 염을 포함하는 광불소 발생제 및 용매를 포함하는 포토레지스트 조성물을 도포하여 포토레지스트 막을 형성하고;
    상기 포토레지스트 막 상에 노광 공정을 수행하여 노광부 및 비노광부를 형성하고;
    상기 노광부를 제거하여 포토레지스트 패턴을 형성하고; 그리고
    상기 포토레지스트 패턴을 이용하여 상기 식각 대상막을 패터닝하는 것을 포함하는 패턴 형성 방법.
  19. 제18항에 있어서, 상기 노광 공정을 수행하는 것은 상기 노광부 내에서 상기 광불소 발생제로부터 생성된 불소 이온에 의해 상기 실리콘 함유 이탈기를 상기 포토레지스트 고분자로부터 분리시키는 것을 포함하는 패턴 형성 방법.
  20. 제18항에 있어서, 상기 노광부의 상기 실리콘 함유 이탈기가 제거된 자리에는 히드록실기 또는 카르복실기가 생성되는 패턴 형성 방법.
KR1020150175067A 2015-12-09 2015-12-09 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법 KR102619528B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020150175067A KR102619528B1 (ko) 2015-12-09 2015-12-09 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
US15/372,773 US10234760B2 (en) 2015-12-09 2016-12-08 Photoresist compositions, intermediate products, and methods of manufacturing patterned devices and semiconductor devices
CN201611121178.7A CN106959586B (zh) 2015-12-09 2016-12-08 光刻胶组合物和制造图案化器件的方法
US16/256,827 US10551738B2 (en) 2015-12-09 2019-01-24 Photoresist compositions, intermediate products, and methods of manufacturing patterned devices and semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150175067A KR102619528B1 (ko) 2015-12-09 2015-12-09 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20170068173A KR20170068173A (ko) 2017-06-19
KR102619528B1 true KR102619528B1 (ko) 2023-12-29

Family

ID=59019946

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150175067A KR102619528B1 (ko) 2015-12-09 2015-12-09 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Country Status (3)

Country Link
US (2) US10234760B2 (ko)
KR (1) KR102619528B1 (ko)
CN (1) CN106959586B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102619528B1 (ko) * 2015-12-09 2023-12-29 삼성전자주식회사 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
JP7325167B2 (ja) * 2017-03-16 2023-08-14 富士電機株式会社 半導体装置の製造方法
FR3070089B1 (fr) * 2017-08-11 2019-12-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de regions d'extension dopees dans une structure a nanofils superposes
JP7186187B2 (ja) 2018-02-09 2022-12-08 浜松ホトニクス株式会社 試料支持体、イオン化法及び質量分析方法
CN108493305B (zh) * 2018-03-22 2019-08-02 潍坊星泰克微电子材料有限公司 一种图形化蓝宝石衬底的制备方法
JP6933605B2 (ja) * 2018-05-21 2021-09-08 信越化学工業株式会社 パターン形成方法
CN112242347A (zh) * 2019-07-18 2021-01-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN114200777B (zh) * 2021-12-21 2023-06-13 中国科学院光电技术研究所 一种方形基片夹持装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014208103A1 (en) 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3418289A (en) * 1965-10-12 1968-12-24 Du Pont Polymerization of 2,2-dialkyl-3-propiolactones using teritary sulfonium salts as initiators
US3998856A (en) * 1975-04-10 1976-12-21 Hoffmann-La Roche Inc. Preparation of epoxides
US4599393A (en) * 1985-04-29 1986-07-08 General Electric Company Method for polymerizing lactams and products obtained therefrom
US4975222A (en) * 1986-09-23 1990-12-04 Katsumi Yoshino Radiation detecting elements and method of detection
US5187244A (en) * 1988-07-22 1993-02-16 Mitsubishi Rayon Co., Ltd. Preparation process of block copolymers and resulting block copolymers
US5254664A (en) * 1991-12-31 1993-10-19 Nippon Shokubai Co., Ltd. Curing composition
JP2980149B2 (ja) * 1993-09-24 1999-11-22 富士通株式会社 レジスト材料およびパターン形成方法
US5885745A (en) * 1997-04-28 1999-03-23 Hitachi Chemical Co., Ltd. Photoimageable compositions comprising polyquinoline polymer and photogenerable acid precursor
KR100241492B1 (ko) 1997-10-15 2000-03-02 유현식 포토레지스트의 광산발생제용 4-비닐옥시에톡시페닐술포늄염 및 그 유도체
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
JP4378872B2 (ja) * 2000-11-15 2009-12-09 日本電気株式会社 光酸発生剤、化学増幅レジスト組成物、およびそれを用いたパターン形成方法
JP4319363B2 (ja) * 2001-01-15 2009-08-26 富士フイルム株式会社 ネガ型画像記録材料
KR20020080737A (ko) 2001-04-17 2002-10-26 삼성전자 주식회사 포토레지스트용 중합체, 이의 제조방법 및 이를 사용한포토레지스트조성물
KR20020080736A (ko) 2001-04-17 2002-10-26 삼성전자 주식회사 포토레지스트용 중합체, 이의 제조방법 및 이를 사용한포토레지스트조성물
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
KR20030028103A (ko) 2001-09-27 2003-04-08 삼성전자주식회사 포토레지스트용 중합체 및 이를 사용한 포토레지스트 조성물
US20030235775A1 (en) 2002-06-13 2003-12-25 Munirathna Padmanaban Photoresist composition for deep ultraviolet lithography comprising a mixture of photoactive compounds
US6844139B2 (en) * 2003-01-03 2005-01-18 Kodak Polychrome Graphics, Llc Method for forming a lithographic printing plate
US6919160B2 (en) * 2003-02-20 2005-07-19 Air Products And Chemicals, Inc. Acrylic compounds for sub-200 nm photoresist compositions and methods for making and using same
CN1934233B (zh) * 2003-10-28 2015-02-04 塞克姆公司 清洁溶液和蚀刻剂及其使用方法
JP4524154B2 (ja) * 2004-08-18 2010-08-11 富士フイルム株式会社 化学増幅型レジスト組成物及びそれを用いたパターン形成方法
JP4488215B2 (ja) * 2004-08-19 2010-06-23 信越化学工業株式会社 レジスト組成物並びにこれを用いたパターン形成方法
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7326518B2 (en) 2004-11-24 2008-02-05 Rohm And Haas Electronic Materials Llc Photoresist compositions
US7678528B2 (en) 2005-11-16 2010-03-16 Az Electronic Materials Usa Corp. Photoactive compounds
US20070287766A1 (en) * 2006-06-08 2007-12-13 International Business Machines Corporation Easily removable uv degradable paint and process for applying the same
WO2008044741A1 (fr) * 2006-10-12 2008-04-17 Asahi Glass Company, Limited Composition résistante destinée à être utilisée dans un procédé de lithographie utilisant un faisceau à électrons, un rayon x ou une lumière uv extrême
KR20080068231A (ko) * 2007-01-18 2008-07-23 주식회사 하이닉스반도체 2층 포토레지스트용 중합체, 상기 중합체를 함유한포토레지스트 조성물 및 이를 이용한 포토레지스트 패턴의형성 방법
JP5260094B2 (ja) 2007-03-12 2013-08-14 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フェノール系ポリマー及びこれを含有するフォトレジスト
CN101952269B (zh) 2007-10-10 2014-06-25 巴斯夫欧洲公司 锍盐引发剂
US20090107520A1 (en) * 2007-10-29 2009-04-30 Wai Mun Lee Amidoxime compounds as chelating agents in semiconductor processes
JP2010208023A (ja) * 2009-03-06 2010-09-24 Canon Inc インクジェットヘッドの製造方法及びインクジェットヘッド
EP2495234B1 (en) 2009-10-26 2018-06-06 Adeka Corporation Aromatic sulfonium salt compound
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US9454076B2 (en) 2012-03-16 2016-09-27 Institute Of Chemistry, Chinese Academy Of Sciences Molecular glass photoresists containing bisphenol a framework and method for preparing the same and use thereof
CN104379628B (zh) 2012-04-19 2016-11-16 巴斯夫欧洲公司 锍化合物、其制备及其用途
US8846295B2 (en) 2012-04-27 2014-09-30 International Business Machines Corporation Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
JP6392753B2 (ja) 2012-07-18 2018-09-19 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung フッ素化界面活性剤
US9029065B2 (en) 2012-10-26 2015-05-12 Rohm And Haas Electronic Materials Llc Photoacid generating compound and photoresist composition comprising same, coated article comprising the photoresist and method of making an article
JP2014106299A (ja) * 2012-11-26 2014-06-09 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物、パターン形成方法、レジスト膜、電子デバイスの製造方法及び電子デバイス
US8999625B2 (en) 2013-02-14 2015-04-07 International Business Machines Corporation Silicon-containing antireflective coatings including non-polymeric silsesquioxanes
JP6240409B2 (ja) 2013-05-31 2017-11-29 サンアプロ株式会社 スルホニウム塩および光酸発生剤
EP3063592B1 (en) 2013-10-30 2021-04-07 California Institute of Technology Direct photopatterning of robust and diverse materials
KR102619528B1 (ko) * 2015-12-09 2023-12-29 삼성전자주식회사 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014208103A1 (en) 2013-06-27 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Polymer Science Series B, 2010, 52.5: 353-361.(2010.07.01) 1부.*

Also Published As

Publication number Publication date
US10234760B2 (en) 2019-03-19
CN106959586B (zh) 2022-01-11
CN106959586A (zh) 2017-07-18
US20190179227A1 (en) 2019-06-13
KR20170068173A (ko) 2017-06-19
US20170168389A1 (en) 2017-06-15
US10551738B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
KR102619528B1 (ko) 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
US11018053B2 (en) Semiconductor structure with material modification and low resistance plug
CN107017252B (zh) 带有固相扩散的集成电路结构和方法
KR102374049B1 (ko) 포토레지스트를 이용한 패턴 형성 방법
US20170148879A1 (en) Integrated circuit structure and method with solid phase diffusion
US10083839B2 (en) Sidewall image transfer (SIT) methods with localized oxidation enhancement of sacrificial mandrel sidewall by ion beam exposure
TW201230162A (en) Vertically stacked fin transistors and methods of fabricating and operating the same
KR102324819B1 (ko) 포토레지스트용 고분자, 포토레지스트 조성물, 패턴 형성 방법 및 반도체 장치의 제조 방법
JP2010537433A (ja) 異なる高さの隣接シリコンフィンを製造する方法
US10892184B2 (en) Photobucket floor colors with selective grafting
US20100112796A1 (en) Patterning method
TW201839818A (zh) 積體電路裝置的製造方法
CN107230727A (zh) 制作半导体元件的方法
JP2016201542A (ja) オープンフィーチャ内に誘電体分離構造を作成するサブトラクティブ法
US8349739B2 (en) Conformal etch material and process
TW201911374A (zh) 半導體結構的形成方法
US7871893B2 (en) Method for non-selective shallow trench isolation reactive ion etch for patterning hybrid-oriented devices compatible with high-performance highly-integrated logic devices
US9625816B2 (en) Methods of forming patterns using photoresist polymers
US6221704B1 (en) Process for fabricating short channel field effect transistor with a highly conductive gate
KR102326126B1 (ko) 포토레지스트용 고분자, 패턴 형성 방법 및 반도체 장치의 제조 방법
TWI732335B (zh) 積體電路裝置及其製造方法
KR102427699B1 (ko) 포토레지스트 제거용 조성물 및 이를 이용한 반도체 장치의 제조 방법
US6989317B1 (en) Trench formation in semiconductor integrated circuits (ICs)
TWI705526B (zh) 半導體元件的製造方法
KR20150101074A (ko) 포토레지스트 조성물, 이를 이용한 패턴 형성 방법 및 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant