KR102545630B1 - 화학 기계적 연마 후 세정 조성물 - Google Patents

화학 기계적 연마 후 세정 조성물 Download PDF

Info

Publication number
KR102545630B1
KR102545630B1 KR1020217017027A KR20217017027A KR102545630B1 KR 102545630 B1 KR102545630 B1 KR 102545630B1 KR 1020217017027 A KR1020217017027 A KR 1020217017027A KR 20217017027 A KR20217017027 A KR 20217017027A KR 102545630 B1 KR102545630 B1 KR 102545630B1
Authority
KR
South Korea
Prior art keywords
composition
acid
hydroxide
agent
delete delete
Prior art date
Application number
KR1020217017027A
Other languages
English (en)
Other versions
KR20210071090A (ko
Inventor
아타누 케이 다스
마이클 와이트
다니엘라 와이트
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Publication of KR20210071090A publication Critical patent/KR20210071090A/ko
Application granted granted Critical
Publication of KR102545630B1 publication Critical patent/KR102545630B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0042Reducing agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/201Monohydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2048Dihydric alcohols branched
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Detergent Compositions (AREA)

Abstract

상부에 화학 기계적 연마 (CMP)-후 오염물 및 세리아 입자를 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 세정하기 위한 제거 조성물 및 방법이 제공된다. 상기 조성물은 저-k 유전체, 질화규소 또는 텅스텐-함유 물질을 손상시키지 않으면서 마이크로전자 장치의 표면으로부터의 세리아 입자 및 CMP 부산물 오염물 물질의 고도로 효과적인 제거를 달성한다.

Description

화학 기계적 연마 후 세정 조성물
본 발명은 일반적으로, 상부에 세리아 입자 및 기타 화학 기계적 연마 슬러리 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기 위한 조성물에 관한 것이다.
마이크로전자 장치 웨이퍼는 집적 회로를 형성하는 데 사용된다. 마이크로전자 장치 웨이퍼는 절연성, 전도성 또는 반전도성 특성을 갖는 상이한 물질들의 침착을 위해 영역이 패턴화되어 있는, 규소 등의 기판을 포함한다.
정확한 패턴화를 얻기 위해서는, 기판 위에 층을 형성하는 데 사용된 과잉 물질이 제거되어야 한다. 또한, 기능적이며 신뢰성 있는 회로부를 제작하기 위해서는, 후속 공정 전에 편평하거나 평면인 마이크로전자 웨이퍼 표면을 준비하는 것이 중요하다. 따라서, 마이크로전자 장치 웨이퍼의 특정 표면을 제거하고/거나 연마하는 것이 필요하다.
화학 기계적 연마 또는 평탄화 ("CMP")는 마모 등의 물리적 공정을 산화 또는 킬레이트화 등의 화학적 공정과 결합시킴으로써, 마이크로전자 장치 웨이퍼의 표면으로부터 물질을 제거하고 표면을 연마 (예를 들어, 평탄화)하는 공정이다. 그의 가장 기본적인 형태에서, CMP는 제거, 평탄화, 및 연마 공정 동안 마이크로전자 장치 웨이퍼의 표면을 문지르는 연마 패드에 활성 화학적 성질을 갖는 연마제 슬러리를 적용하는 것을 포함한다. 순전히 물리적 작용을 이용하거나 또는 순전히 화학적 작용을 이용하는 제거 또는 연마 공정은 신속하고 균일한 제거를 달성하는 데 있어서 둘의 상승적 조합만큼 효과적이지 못하다. 또한, 집적 회로의 제작에서, CMP 슬러리는 후속 포토리소그래피, 또는 패턴화, 에칭 및 박막 공정 동안 고도의 평면 표면이 제조될 수 있도록 금속 및 기타 물질의 복합 층을 포함하는 필름을 또한 우선적으로 제거할 수 있어야 한다.
얕은 트렌치 격리 (STI) 공정을 사용하여 규소 기판에 격리 영역을 형성하는 FEOL(front-end-of-the-line) 방법에서는, 패드 산화물 필름 및 패드 질화물 필름을 반도체 기판 상에 침착시키고, 격리 영역에 해당하는 기판의 일부분이 노출되도록 패턴화한다. 이어서, 기판의 노출된 영역을 에칭하여 트렌치를 형성한다. 그 후에, 기판에 희생 산화 공정을 실시하여, 기판 에칭에 의해 야기된 손상을 제거한 다음, 트렌치 표면 상에 벽 산화물 필름을 형성한다. 그 다음에, 트렌치-매립 산화물 필름 (예를 들어, HDP-산화물 필름으로 지칭되는 고밀도 플라즈마 화학적 증착에 의해 형성된 산화물 필름)이, 트렌치에 매립되는 것과 같은 방식으로 기판의 표면 상에 침착된다. 이어서, 패드 질화물 필름이 노출될 때까지 HDP-산화물 필름의 표면에 화학 기계적 연마를 실시한다. 이어서 생성된 기판을 세정하고 트렌치 에칭 동안 에칭 장벽으로서 사용되었던 패드 질화물 필름을 제거하고, 격리 영역의 형성을 완료한다.
세리아 입자를 사용한 CMP 슬러리는 실리카-함유 슬러리에 비해, 일반적으로 절연체에 대해 더 빠른 연마 속도를 달성한다. 또한, 세리아계 슬러리는 산화물 침식을 최소화하면서 STI 패턴 평탄화를 달성하는 능력 때문에 가장 흔히 사용된다. 불리하게도, 세리아계 슬러리는 산화규소 및 질화규소 표면에 대해 세리아 입자의 반대로 하전된 제타 전위 때문에 STI 구조로부터 제거하기가 어렵다. 이러한 잔류물이 웨이퍼 상에 남아 있는 상태에서 장치가 제조되는 경우, 잔류물로 인해 단락이 발생하고 전기 저항이 증가할 것이다. 세리아 입자는 또한 세리아 슬러리를 사용한 CMP 공정 이후의 FinFET 구조에서도 문제가 된다.
현재 세리아 입자를 제거하는 데 가장 효율적인 습식 세정 제제는 희석 플루오린화수소산 (DHF)이다. 그러나, DHF는 산화규소 및 기타 저-k 유전체 물질을 불리하게 에칭한다.
따라서, 질화규소, 저-k 유전체 (예를 들어, 산화규소), 및 텅스텐-함유 층 등의 아래에 놓이는 물질을 손상시키지 않으면서 마이크로전자 장치의 표면으로부터 세리아 입자를 효과적으로 제거하는 세리아 입자 제거 조성물 및 방법에 대한 요구가 남아 있다. 세리아 입자 제거 조성물은 또한 마이크로전자 장치의 표면으로부터 CMP 슬러리 오염물을 효과적으로 제거해야 한다.
본 발명은 일반적으로, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치, 특히 PETEOS, 질화규소 및 폴리-Si 기판을 갖는 마이크로전자 장치로부터 상기 입자 및 CMP 오염물을 세정하는 데 특히 유용한 제거 조성물 및 방법에 관한 것이다. 한 측면에서, 세륨의 제거를 촉진하는 데 효과적인 친핵체 또는 환원제, 특정 계면활성제 및 착물화제, 및 임의로 기타 성분을 포함하는 수성 제거 조성물이 기재된다. 또 다른 측면에서, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법이 제공되며, 상기 방법은, 마이크로전자 장치로부터 상기 입자 및 오염물을 적어도 부분적으로 세정하기에 충분한 시간 동안 마이크로전자 장치를 제거 조성물과 접촉시키는 단계를 포함하고, 여기서 상기 제거 조성물은 환원제 또는 친핵성 화합물, 특정 계면활성제, 착물화제 및 임의로 기타 성분을 포함한다. 계면활성제는 장치 표면 상에 세리아가 재침착되는 것을 방지하는 역할을 하며, 특정 경우에 반응 평형을 세리아 종의 착물화로 이동시켜 제거를 용이하게 한다.
도 1은 화학 기계적 연마 후 (CMP-후), 그러나 본 발명의 조성물로 처리하기 전의 폴리-Si 기판의 주사 전자 현미경사진 (SEM)이다.
도 2는 실시예 9의 조성물로 처리한 후의 CMP-후 폴리-Si 기판의 SEM이다.
도 3은 실시예 17의 조성물로 처리한 후의 CMP-후 폴리-Si 기판의 SEM이다.
도 4는 실시예 19의 조성물로 처리한 후의 CMP-후 폴리-Si 기판의 SEM이다.
도 5는 화학 기계적 연마 후, 그러나 본 발명의 조성물로 처리하기 전의 PETEOS 기판의 SEM이다.
도 6은 실시예 14의 조성물로 처리한 후의 CMP-후 PETEOS 기판의 SEM이다.
도 7은 실시예 25의 조성물로 처리한 후의 CMP-후 PETEOS 기판의 SEM이다.
도 8은 화학 기계적 연마 후, 그러나 본 발명의 조성물로 처리하기 전의 질화규소 기판의 SEM이다.
도 9는 실시예 7의 조성물로 처리한 후의 CMP-후 질화규소 기판의 SEM이다.
도 10은 실시예 24의 조성물로 처리한 후의 CMP-후 질화규소 기판의 SEM이다.
본 발명은 일반적으로, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 물질(들)을 제거하는 데 유용한 조성물에 관한 것이다. 유리하게는, 세리아 입자 및 CMP 오염물은 상기 조성물의 사용에 의해 효과적으로 제거되며, 추가로 조성물은 질화규소 및 저-k 유전체 (예를 들어, 산화규소) 층과 상용성이다. 보다 낮은 pH 범위에서는, 환원제를 사용하여 세륨-산소 결합을 끊고, 보다 높은 pH 범위에서는, 친핵체를 사용하여 세륨-산소 결합을 끊는다.
참조의 용이성을 위해, "마이크로전자 장치"는 마이크로전자, 집적 회로, 또는 컴퓨터 칩 적용에서 사용하기 위해 제조된 반도체 기판, 평면 패널 디스플레이, 상 변화 메모리 장치, 태양광 패널, 및 기타 제품, 예컨대 태양전지 기판, 광전지, 및 마이크로전자기계 시스템 (MEMS)에 상응한다. 태양전지 기판은 규소, 무정형 규소, 다결정질 규소, 단결정질 규소, CdTe, 구리 인듐 셀레니드, 구리 인듐 술피드, 및 갈륨 상 비소화갈륨을 포함하나, 이에 제한되지는 않는다. 태양전지 기판은 도핑되거나 또는 도핑되지 않을 수 있다. 용어 "마이크로전자 장치"는 어떠한 방식으로도 제한하려는 것이 아니며 궁극적으로 마이크로전자 장치 또는 마이크로전자 조립체가 될 임의의 기판을 포함하는 것으로 이해해야 한다.
본원에서 사용된 "세리아 입자"는, 예를 들어 화학식 Ce2O3 및 CeO2를 갖는 산화세륨을 포함한, 화학 기계적 연마 슬러리에 사용될 수 있는 세륨계 연마제 입자에 상응한다. "세리아 입자"는 산화세륨을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있다는 것을 인지해야 한다.
본원에서 사용된 "오염물"은 CMP 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, CMP-후 잔류물, 습식 에칭 조성물에 존재하는 화학물질, 습식 에칭 조성물의 반응 부산물, 및 CMP 공정, 습식 에칭, 플라즈마 에칭 또는 플라즈마 애싱 공정의 부산물인 임의의 기타 물질에 상응한다.
본원에서 사용된 "CMP-후 잔류물"은 연마 슬러리로부터의 입자, 예를 들어, 슬러리에 존재하는 화학물질, 연마 슬러리의 반응 부산물, 탄소-풍부 입자, 연마 패드 입자, 브러시에서 떨어져 나온 입자, 기자재의 구성 입자, 금속, 유기 잔류물, 및 CMP 공정의 부산물인 임의의 기타 물질에 상응한다. 또한, CMP 공정 동안 텅스텐이 제거되는 경우, CMP-후 잔류물은 텅스텐-함유 입자를 추가로 포함할 수 있다.
본원에서 정의되는 "저-k 유전체 물질"은 약 3.5 미만의 유전 상수를 갖는, 층상 마이크로전자 장치에서 유전체 물질로서 사용되는 임의의 물질에 상응한다. 일반적으로, 저-k 유전체 물질은 저극성 물질, 예컨대 규소-함유 유기 중합체, 규소-함유 혼성 유기/무기 물질, 유기실리케이트 유리 (OSG), TEOS, 플루오린화 실리케이트 유리 (FSG), 이산화규소, 및 탄소-도핑된 산화물 (CDO) 유리를 포함한다. 저-k 유전체 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있다는 것을 인지해야 한다.
본원에서 정의되는 "착물화제"는 관련 기술분야의 통상의 기술자에 의해 착물화제, 킬레이트화제 및/또는 금속이온 봉쇄제인 것으로 이해되는 화합물을 포함한다. 착물화제는 본원에 기재된 조성물의 사용에 의해 제거되는 금속 원자 및/또는 금속 이온과 화학적으로 결합하거나 또는 이를 물리적으로 고정할 것이다.
"실질적으로 함유하지 않는"은 본원에서 2 wt% 미만, 1 wt% 미만, 0.5 wt% 미만, 또는 0.1 wt% 미만으로 정의된다. "함유하지 않는"은 환경 오염의 이유로 0.001 wt% 미만에 상응하는 것으로 의도된다.
본원에서 사용된 "약"은 명시된 값의 +/-0.5%에 상응하는 것으로 의도된다.
본원에서 사용된 "산화제"는 노출된 금속(들)을 산화시켜 금속의 부식 또는 금속 상의 산화물 형성을 유도하는 화합물에 상응한다. 산화제는 과산화수소; 기타 퍼화합물, 예컨대 퍼옥소모노술페이트, 퍼보레이트, 퍼클로레이트, 퍼아이오데이트, 퍼술페이트, 퍼망가네이트 및 퍼아세테이트 음이온을 함유하는 염 및 산; 및 아민-N-옥시드를 포함하나, 이에 제한되지는 않는다.
본원에서 사용된 "플루오라이드 함유 화합물"은 또 다른 원자에 이온 결합된 플루오라이드 이온 (F-)을 포함하는 염 또는 산 화합물에 상응한다.
본원에서 정의되는 용어 "장벽 물질"은 금속 라인 (예를 들어, 구리 상호연결부)을 밀봉하여 상기 금속 (예를 들어, 구리)이 유전체 물질로 확산되는 것을 최소화하기 위해 관련 기술분야에서 사용되는 임의의 물질에 상응한다. 바람직한 장벽 층 물질은 탄탈럼, 티타늄, 루테늄, 하프늄, 텅스텐 및 기타 내화성 금속 및 그의 질화물 및 규화물을 포함한다.
본원에서 사용된 "계면활성제"는 관련 기술분야의 통상의 기술자에 의해 용이하게 이해되는 바와 같이 소수성 기 및 친수성 기를 갖는 양친매성 종에 상응하지만, 중합체 계면활성제는 포함하지 않는다. 본원에서 정의되는 "중합체"는 반복 단량체 기를 갖는 종에 상응하며, 천연 또는 합성일 수 있다. "중합체"는 단지 1종의 유형의 반복 단량체를 갖는 단독중합체 또는 1종 초과의 유형의 반복 단량체를 갖는 공중합체일 수 있음을 인지하여야 한다. 본원에 기재된 조성물에 사용하기 위한 계면활성제는 양쪽성 염, 양이온성 계면활성제, 음이온성 계면활성제, 쯔비터이온성 계면활성제, 비-이온성 계면활성제, 및 그의 조합, 예컨대, 비제한적으로, 데실포스폰산, 도데실포스폰산 (DDPA), 테트라데실포스폰산, 헥사데실포스폰산, 비스(2-에틸헥실)포스페이트, 옥타데실포스폰산, 퍼플루오로헵탄산, 퍼플루오로데칸산, 트리플루오로메탄술폰산, 포스포노아세트산, 도데실벤젠술폰산 (DDBSA), 다른 R1 벤젠 술폰산 또는 그의 염 (여기서 R1 은 직쇄형 또는 분지형 C8-C18 알킬 기임), 도데세닐숙신산, 디옥타데실 히드로겐 포스페이트, 옥타데실 디히드로겐 포스페이트, 도데실아민, 도데세닐숙신산 모노디에탄올 아미드, 라우르산, 팔미트산, 올레산, 주니페르산, 12 히드록시스테아르산, 옥타데실포스폰산 (ODPA), 도데실 포스페이트를 포함한다. 고려되는 비-이온성 계면활성제는 폴리옥시에틸렌 라우릴 에테르, 도데세닐숙신산 모노디에탄올 아미드, 에틸렌디아민 테트라키스 (에톡실레이트-블록-프로폭실레이트) 테트롤, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리에틸렌 또는 폴리프로필렌 글리콜 에테르, 에틸렌 옥시드 및 프로필렌 옥시드 기재의 블록공중합체, 폴리옥시프로필렌 수크로스 에테르, t-옥틸페녹시폴리에톡시에탄올, 10-에톡시-9,9-디메틸데칸-1-아민, 폴리옥시에틸렌 (9) 노닐페닐에테르, 분지형, 폴리옥시에틸렌 (40) 노닐페닐에테르, 분지형, 디노닐페닐 폴리옥시에틸렌, 노닐페놀 알콕실레이트, 폴리옥시에틸렌 소르비톨 헥사올레에이트, 폴리옥시에틸렌 소르비톨 테트라올레에이트, 폴리에틸렌 글리콜 소르비탄 모노올레에이트, 소르비탄 모노올레에이트, 알콜 알콕실레이트, 알킬-폴리글루코시드, 에틸 퍼플루오로부티레이트, 1,1,3,3,5,5-헥사메틸-1,5-비스[2-(5-노르보르넨-2-일)에틸]트리실록산, 단량체 옥타데실실란 유도체, 실록산 개질된 폴리실라잔, 실리콘-폴리에테르 공중합체, 및 에톡실화 플루오로계면활성제를 포함하나, 이에 제한되지는 않는다. 고려되는 양이온성 계면활성제는 세틸 트리메틸암모늄 브로마이드 (CTAB), 헵타데칸플루오로옥탄 술폰산, 테트라에틸암모늄, 스테아릴 트리메틸암모늄 클로라이드, 4-(4-디에틸아미노페닐아조)-1-(4-니트로벤질)피리듐 브로마이드, 세틸피리디늄 클로라이드 1수화물, 벤즈알코늄 클로라이드, 벤제토늄 클로라이드 벤질디메틸도데실암모늄 클로라이드, 벤질디메틸헥사데실암모늄 클로라이드, 헥사데실트리메틸암모늄 브로마이드, 디메틸디옥타데실암모늄 클로라이드, 도데실트리메틸암모늄 클로라이드, 헥사데실트리메틸암모늄 p-톨루엔술포네이트, 디도데실디메틸암모늄 브로마이드, 디(수소화 탈로우)디메틸암모늄 클로라이드, 테트라헵틸암모늄 브로마이드, 테트라키스(데실)브로민화암모늄, 및 옥시페노늄 브로마이드, 구아니딘 히드로클로라이드 (C(NH2)3Cl) 또는 트리플레이트 염 예컨대 테트라부틸암모늄 트리플루오로메탄술포네이트, 디메틸디옥타데실암모늄 클로라이드, 디메틸디헥사데실암모늄 브로마이드, 디(수소화 탈로우)디메틸암모늄 클로라이드, 및 폴리옥시에틸렌 (16) 탈로우 에틸모늄 에토술페이트를 포함하나, 이에 제한되지는 않는다. 고려되는 음이온성 계면활성제는 폴리(아크릴산 나트륨 염), 암모늄 폴리아크릴레이트, 소듐 폴리옥시에틸렌 라우릴 에테르, 소듐 디헥실술포숙시네이트, 소듐 도데실 술페이트, 디옥틸술포숙시네이트 염, 2-술포숙시네이트 염, 2,4,7,9-테트라메틸-5-데신-4,7-디올 및 그의 에톡시 유도체, 2,3-디메르캅토-1-프로판술폰산 염, 디시클로헥실 술포숙시네이트 나트륨 염, 소듐 7-에틸-2-메틸-4-운데실 술페이트, 포스페이트 플루오로계면활성제, 플루오로계면활성제, 및 폴리아크릴레이트를 포함하나, 이에 제한되지는 않는다. 쯔비터이온성 계면활성제는 아세틸렌계 디올 또는 개질된 아세틸렌계 디올, 에틸렌 옥시드 알킬아민, N,N-디메틸도데실아민 N-옥시드, 소듐 코카민프로피네이트, 3-(N,N-디메틸미리스틸암모니오)프로판술포네이트, 및 (3-(4-헵틸)페닐-3-히드록시프로필)디메틸암모니오프로판술포네이트를 포함하나, 이에 제한되지는 않는다.
본원에서 사용된, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 데 있어서의 "적합성"은 마이크로전자 장치로부터의 상기 입자/오염물의 적어도 부분적인 제거에 상응한다. 세정 효능은 마이크로전자 장치 상의 대상물의 감소에 의해 등급화된다. 예를 들어, 세정전 및 세정후 분석은 원자력 현미경을 사용하여 수행될 수 있다. 샘플 상의 입자는 픽셀 범위로 기록될 수 있다. 히스토그램 (예를 들어, 시그마 스캔 프로(Sigma Scan Pro))을 적용하여 특정 강도 (예를 들어, 231-235)의 픽셀 및 카운팅된 입자의 수를 필터링할 수 있다. 입자 감소는 하기 식을 사용하여 계산할 수 있다:
Figure 112021064231610-pct00001
명백히, 세정 효능의 결정 방법은 단지 예로서 제공된 것으로, 이에 한정되는 것이 아니다. 대안적으로, 세정 효능은 미립자 물질에 의해 덮인 총 표면의 백분율로서 간주될 수 있다. 예를 들어, AFM은 z-면 스캔을 수행하여 특정 높이 임계값을 초과하는 관심 지형 영역을 식별하고, 이어서 상기 관심 영역에 포함되는 총 표면의 면적을 계산하도록 프로그래밍될 수 있다. 관련 기술분야의 통상의 기술자는 상기 세정후 관심 영역에 의해 덮인 면적이 적을수록, 제거 조성물이 더 효과적이라는 것을 용이하게 이해할 수 있다. 특정 실시양태에서, 입자/오염물의 적어도 75%가 본원에 기재된 조성물을 사용하여 마이크로전자 장치로부터 제거되고, 입자/오염물의 적어도 90%, 적어도 95%, 또는 적어도 99%가 제거된다.
본원에 기재된 조성물은 이하에 더욱 상세히 기재되는 바와 같이, 매우 다양한 특정 제제로 구체화될 수 있다.
이러한 모든 조성물에서, 조성물의 특정 성분이 제로 하한을 포함하는 wt% 범위와 관련하여 논의되는 경우, 이러한 성분은 조성물의 다양한 구체적 실시양태에서 존재하거나 또는 부재할 수 있고, 이러한 성분이 존재하는 경우에, 이들은 이러한 성분이 사용된 조성물의 총 중량을 기준으로 0.00001 wt% 정도의 낮은 농도로 존재할 수 있다는 것이 이해될 것이다.
제1 측면에서, 본 발명은 하기를 포함하는 조성물을 제공한다:
(i) 적어도 1종의 계면활성제;
(ii) 적어도 1종의 pH 조정제;
(iii) 적어도 1종의 착물화제;
(iv) 적어도 1종의 유기 첨가제; 및
(v) 대안적으로 적어도 1종의 친핵성 화합물 또는 환원제 (여기서 상기 친핵성 화합물은 상기 조성물이 약 11 내지 13.7의 pH를 갖는 경우에 존재하고, 상기 환원제는 상기 조성물이 6 미만의 pH를 갖는 경우에 존재하는 것임).
추가의 실시양태에서, 본 발명은 하기를 포함하는 조성물을 제공한다:
(i) 적어도 1종의 계면활성제;
(ii) 적어도 1종의 pH 조정제;
(iii) 적어도 1종의 착물화제;
(iv) 적어도 1종의 유기 첨가제; 및
(v) 적어도 1종의 친핵성 화합물 (여기서 상기 조성물은 약 11 내지 13.7의 pH를 가짐).
추가의 실시양태에서, 본 발명은 하기를 포함하는 조성물을 제공한다:
(i) 적어도 1종의 계면활성제;
(ii) 적어도 1종의 pH 조정제;
(iii) 적어도 1종의 착물화제;
(iv) 적어도 1종의 유기 첨가제; 및 대안적으로
(v) 적어도 1종의 환원제 (여기서 상기 조성물은 약 4 내지 6의 pH를 가짐).
본원에서 사용된 용어 "친핵성 화합물"은 화학 반응에서 친핵체로서 작용하는 것으로 이해되는 화합물을 지칭한다. 다시 말해서, 친핵체는 친전자체에 전자 쌍을 공여하여 반응과 관련하여 화학 결합을 형성할 수 있는 화학 종이다. 본 발명의 경우에, 약 11 내지 13.7의 보다 높은 pH 범위에서는, 친핵성 화합물이 사용되어 세륨-산소 결합을 끊는 역할을 하고; 수반되는 세리아 입자의 착물화는 마이크로전자 장치의 표면으로부터의 그의 제거를 용이하게 하는 역할을 한다.
한 실시양태에서, 친핵성 화합물은 아민이다. 예는 모노에탄올아민 (MEA), 모르폴린, 이소프로필 아민, 디이소프로판올아민, 디글리콜아민, 트리에틸아민, N-메틸모르폴린, 메틸에탄올아민, N-아미노프로필 모르폴린 및 3-아미노-프로판올을 포함한다.
추가의 친핵성 화합물은 화학식 NR1R2R3을 갖는 종을 포함하며, 여기서 R1, R2 및 R3은 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 직쇄 또는 분지형 C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 및 상기 정의된 바와 같은 직쇄 또는 분지형 C1-C6 히드록시알킬 기의 C1-C6 알킬 에테르로부터 선택된다. 특정 실시양태에서, R1, R2 및 R3 중 적어도 하나는 직쇄 또는 분지형 C1-C6 히드록시알킬 기이다. 예는 알칸올아민 예컨대 아미노에틸에탄올아민, N-메틸아미노에탄올, 아미노에톡시에탄올, 디메틸아미노에톡시에탄올, 디에탄올아민, N-메틸디에탄올아민, 모노에탄올아민 (MEA), 트리에탄올아민 (TEA), 1-아미노-2-프로판올, 2-아미노-1-부탄올, 이소부탄올아민, 트리에틸렌디아민, 트리에틸렌 테트라민, 디에틸렌트리아민, 메틸모르폴린, 에틸모르폴린, 모르폴린, 3-아미노프로판올, 디메틸아미노프로판-3-올, 디글리콜아민, 트리에틸아민, 벤질아민, 아미노메틸프로판올, 디메틸아미노프로판올아민, 기타 C1-C8 알칸올아민 및 그의 조합을 포함하지만, 이에 제한되지는 않는다. 아민이 알킬에테르 성분을 포함하는 경우, 아민은 알콕시아민, 예를 들어 1-메톡시-2-아미노에탄으로 간주될 수 있다.
본 발명의 경우에, 6 미만의 보다 낮은 pH 범위 (이는 1-3 또는 1-6의 범위일 수 있음)에서는, 환원제가 사용되어 세륨-산소 결합을 끊는 역할을 하며; 수반되는 세리아 입자의 착물화는 마이크로전자 장치의 표면으로부터의 그의 제거를 용이하게 하는 역할을 한다. 본원에서 사용된, 고려되는 환원제(들)는 차아인산 (H3PO2), 아스코르브산, L(+)-아스코르브산, 이소아스코르브산, 아스코르브산 유도체, DEHA (디에틸히드록실아민), 아황산, 티오황산나트륨, 티오황산칼륨, 티오황산암모늄, 아디티온산칼륨 및 그의 조합으로부터 선택되는 화합물을 포함한다.
한 실시양태에서, 조성물은 플루오린 화합물 및 산화제를 함유하지 않거나 또는 실질적으로 함유하지 않는다.
한 실시양태에서, 조성물은 물을 포함하는 용매를 추가로 포함한다. 유기 첨가제가 또한 존재할 수 있다. 유기 첨가제의 예는 글리콜, 및 글리콜 에테르, 예컨대, 비제한적으로, 메탄올, 에탄올, 이소프로판올, 부탄올 및 고급 알콜 (예컨대 C2-C4 디올 및 C2-C4 트리올), 테트라히드로푸르푸릴 알콜 (THFA), 할로겐화 알콜 (예컨대 3-클로로-1, 2-프로판디올, 3-클로로-1-프로판티올, 1-클로로-2-프로판올, 2-클로로-1-프로판올, 3-클로로-1-프로판올, 3-브로모-1, 2-프로판디올, 1-브로모-2-프로판올, 3-브로모-1-프로판올, 3-아이오도-1-프로판올, 4-클로로-1-부탄올, 2-클로로에탄올), 디클로로메탄, 클로로포름, 아세트산, 프로피온산, 트리플루오로아세트산, 테트라히드로푸란 N-메틸피롤리디논 (NMP), 시클로헥실피롤리디논, N-옥틸피롤리디논, N-페닐피롤리디논, 메틸디에탄올아민, 메틸 포르메이트, 디메틸 포름아미드 (DMF), 디메틸술폭시드 (DMSO), 테트라메틸렌 술폰 (술폴란), 디에틸 에테르, 페녹시-2-프로판올 (PPh), 프로프리오페논, 에틸 락테이트, 에틸 아세테이트, 에틸 벤조에이트, 아세토니트릴, 아세톤, 에틸렌 글리콜, 프로필렌 글리콜 (PG), 1,3-프로판디올, 디옥산, 부티릴 락톤, 부틸렌 카르보네이트, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 디프로필렌 글리콜, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노프로필 에테르, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르 (즉, 부틸 카르비톨), 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 디에틸렌 글리콜 모노헥실 에테르, 에틸렌 글리콜 페닐 에테르, 프로필렌 글리콜 메틸 에테르, 디프로필렌 글리콜 메틸 에테르 (DPGME), 트리프로필렌 글리콜 메틸 에테르 (TPGME), 디프로필렌 글리콜 디메틸 에테르, 디프로필렌 글리콜 에틸 에테르, 프로필렌 글리콜 n-프로필 에테르, 디프로필렌 글리콜 n-프로필 에테르 (DPGPE), 트리프로필렌 글리콜 n-프로필 에테르, 프로필렌 글리콜 n-부틸 에테르, 디프로필렌 글리콜 n-부틸 에테르, 트리프로필렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 페닐 에테르, 디프로필렌 글리콜 메틸 에테르 아세테이트, 테트라에틸렌 글리콜 디메틸 에테르 (TEGDE), 이염기성 에스테르, 글리세린 카르보네이트, N-포르밀 모르폴린, 트리에틸 포스페이트, 폴리스티렌 술폰산 (PSSA), 및 그의 조합을 포함한다.
각 실시양태에서, 제거 조성물은 마이크로전자 장치로부터 잔류물 물질을 제거하기 전에, 산화제; 플루오라이드-함유 공급원; 테트라메틸암모늄 히드록시드; 화학 기계적 연마제 물질 (예를 들어, 실리카, 알루미나 등); 및 시아누르산, 바르비투르산 및 그의 유도체, 글루쿠론산, 스쿠아르산, 알파-케토산, 아데노신 및 그의 유도체, 리보실퓨린 및 그의 유도체, 퓨린 화합물 및 그의 유도체, 아데노신 및 아데노신 유도체의 분해 산물, 트리아미노피리미딘 및 다른 치환된 피리미딘, 퓨린-사카라이드 착물, 페난트롤린, 글리신, 니코틴아미드 및 그의 유도체, 플라보노이드, 예컨대 플라보놀 및 안토시아닌 및 그의 유도체, 퀘르시틴 및 그의 유도체 및 그의 조합으로 이루어진 군으로부터 선택된 부식 억제제 중 적어도 하나를 실질적으로 함유하지 않을 수 있다. 또한, 제거 조성물은 응고되어 중합체성 고체를 형성해서는 안된다.
착물화제는 4-(2-히드록시에틸)모르폴린 (HEM), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 히드록시에틸디에틸렌트리아민트리아세트산 (HEDTA), 에틸렌디아민테트라아세트산 (EDTA), m-크실렌디아민 (MXDA), 이미노디아세트산 (IDA), 트리메틸아민, 이소프로판올아민, 디이소프로판올아민, 피페라진, 히드록시에틸피페라진, 디히드록시에틸피페리진, 글루카민, N-메틸글루카민, 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 타우린, 베타인 및 그의 조합을 포함하나 이에 제한되지는 않는 다관능성 아민일 수 있다.
대안적으로, 또는 상기 언급된 착물화제 이외에, 추가의 착물화제는 포스포네이트 (예를 들어, 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 1,5,9-트리아자시클로도데칸-N,N',N''-트리스(메틸렌포스폰산) (DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N'',N'''-테트라키스(메틸렌포스폰산) (DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타키스(메틸렌포스폰산) (DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 펜타메틸렌 포스폰산, 1,4,7-트리아자시클로노난-N,N',N''-트리스(메틸렌포스폰산) (NOTP), 히드록시에틸디포스포네이트, 니트릴로트리스(메틸렌)포스폰산, 2-포스포노-부탄-1,2,3,4-테트라카르복실산, 카르복시 에틸 포스폰산, 아미노에틸 포스폰산, 글리포세이트, 에틸렌 디아민 테트라(메틸렌포스폰산) 페닐포스폰산, 그의 염, 및 그의 유도체) 및/또는 카르복실산 (예를 들어, 옥살산, 숙신산, 말레산, 말산, 말론산, 아디프산, 프탈산, 시트르산, 시트르산나트륨, 시트르산칼륨, 시트르산암모늄, 트리카르발릴산, 트리메틸올프로피온산, 피콜린산, 디피콜린산, 살리실산 술포살리실산, 술포프탈산, 술포숙신산, 무수 베타인, 글루콘산, 타르타르산, 글루쿠론산, 2-카르복시피리딘) 및/또는 술폰산 예컨대 트리온 (4,5-디히드록시-1,3-벤젠디술폰산 이나트륨 염. 무수 베타인)을 포함할 수 있다. 특정 실시양태에서, 착물화제는 니트릴로(트리스-메틸렌포스폰산) 및 이미노디아세트산을 포함한다. 특정 실시양태에서, 적어도 1종의 착물화제는 모노에탄올아민, 트리에탄올아민, 황산, 시트르산 및 그의 조합으로부터 선택된 종을 포함한다. 제거 조성물 중의 착물화제(들)의 양은, 한 실시양태에서, 제거 조성물의 총 중량을 기준으로 약 0.01 wt% 내지 약 10 wt%의 범위이다.
적합한 pH 조정제는 산 및/또는 염기를 포함한다. 염기는 수산화칼륨, 수산화암모늄 (즉, 암모니아), 및 화학식 NR4R5R6R7OH를 갖는 테트라알킬암모늄 히드록시드 화합물 (여기서 R4, R5, R6 및 R7 서로 동일하거나 상이할 수 있고, 수소, 직쇄 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기 및 치환 또는 비치환된 C6-C10 아릴 기 (예를 들어, 벤질 기)로 이루어진 군으로부터 선택됨)을 포함하나, 이에 제한되지는 않는다. 테트라메틸암모늄 히드록시드 (TMAH), 테트라에틸암모늄 히드록시드 (TEAH), 테트라프로필암모늄 히드록시드 (TPAH), 테트라부틸암모늄 히드록시드 (TBAH), 트리부틸메틸암모늄 히드록시드 (TBMAH), 벤질트리메틸암모늄 히드록시드 (BTMAH), 콜린 히드록시드, 에틸트리메틸암모늄 히드록시드, 메틸트리에틸암모늄 히드록시드, 트리스(2-히드록시에틸)메틸 암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드, 및 그의 조합을 포함하는 상업적으로 입수가능한 테트라알킬암모늄 히드록시드가 사용될 수 있다. 대안적으로 또는 추가로, pH 조정제는 화학식 PR8R9R10R11OH를 갖는 4급 염기 (여기서 R8, R9, R10, 및 R11 서로 동일하거나 상이할 수 있고, 수소, 직쇄 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 분지형 C1-C6 알킬 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 치환된 C6-C10 아릴 기, 비치환된 C6-C10 아릴 기 (예를 들어, 벤질 기), 및 그의 임의의 조합으로 이루어진 군으로부터 선택됨), 예컨대 테트라부틸포스포늄 히드록시드 (TBPH), 테트라메틸포스포늄 히드록시드, 테트라에틸포스포늄 히드록시드, 테트라프로필포스포늄 히드록시드, 벤질트리페닐포스포늄 히드록시드, 메틸 트리페닐포스포늄 히드록시드, 에틸 트리페닐포스포늄 히드록시드, N-프로필 트리페닐포스포늄 히드록시드일 수 있다. 산은 질산, 황산, 인산, 염산, 브로민화수소산, 메탄술폰산, 벤젠술폰산, p-톨루엔술폰산, 트리플루오로메탄술폰산, 아세트산, 락트산, 글리콜산 및 그의 임의의 조합을 포함하나 이에 제한되지는 않는다. 한 실시양태에서, pH 조정제는 수산화칼륨(KOH) 및 수산화세슘(CsOH) 중 적어도 하나로부터 선택된다. 또 다른 실시양태에서, pH 조정제는 콜린 히드록시드를 포함한다. 또 다른 실시양태에서, pH 조정제는 수산화암모늄을 포함한다. 또 다른 실시양태에서, pH 조정제는 KOH 및 본원에 열거된 적어도 1종의 추가의 수산화물을 포함한다. 또 다른 실시양태에서, pH 조정제는 KOH, 및 콜린 히드록시드와 수산화암모늄 중 적어도 하나를 포함한다. 이들 열거된 실시양태 중 어느 하나에 대해, pH 조정제는 적어도 1종의 산, 예를 들어 질산, 황산, 인산 또는 그의 조합을 추가로 포함할 수 있다.
본 발명의 한 실시양태에서, pH는 약 9 초과, 또 다른 실시양태에서는 13 초과 또는 약 13.1이 되도록 조정될 것이다. 본 발명의 한 실시양태에서, pH는 6 미만 또는 약 4 내지 약 6, 또 다른 실시양태에서는 약 6이 되도록 조정될 것이다.
중합체는, 존재하는 경우에, 메타크릴산 단독중합체 및 예를 들어 아크릴아미도메틸프로판 술폰산 및 말레산과의 공중합체; 말레산/비닐 에테르 공중합체; 폴리(비닐피롤리돈)/비닐 아세테이트; 단독중합체 예컨대 포스폰화 폴리에틸렌글리콜 올리고머, 폴리(아크릴산) (PAA), 폴리(아크릴아미드), 폴리(비닐 아세테이트), 폴리(에틸렌 글리콜) (PEG), 폴리(프로필렌 글리콜) (PPG), 폴리(스티렌 술폰산), 폴리(비닐 술폰산), 폴리(비닐 포스폰산), 폴리(비닐 인산), 폴리(에틸렌이민), 폴리(프로필렌이민), 폴리알릴아민, 폴리에틸렌 옥시드 (PEO), 폴리비닐 피롤리돈 (PVP), PPG-PEG-PPG 블록 공중합체, PEG-PPG-PEG 블록 공중합체, 폴리(비닐 알콜), 폴리(히드록시에틸)아크릴레이트, 폴리(히드록시에틸)메타크릴레이트, 히드록시에틸 셀룰로스, 메틸히드록시에틸 셀룰로스, 히드록시프로필 셀룰로스, 메틸히드록시프로필 셀룰로스, 크산탄 검, 알긴산칼륨, 펙틴, 카르복시메틸셀룰로스, 글루코사민, 폴리(디알릴디메틸암모늄) 클로라이드, 폴리AMPS, PVA AMPS 공중합체 (여기서 "AMPS"는 폴리(2-아크릴아미도-2-메틸-1-프로판술폰산을 지칭함), PEG화 (즉, 폴리에틸렌글리콜화) 메타크릴레이트/아크릴레이트 공중합체, 폴리 MADQuat 및 그의 공중합체, 디메틸아미노메타크릴레이트 중합체 및 그의 공중합체, 트리메틸암모늄 메틸메타크릴레이트 중합체 및 그의 공중합체, 및 그의 조합을 포함하나, 이에 제한되지는 않는다. 상기 공중합체는 랜덤 또는 블록 공중합체일 수 있다. 존재하는 경우, 조성물 중의 중합체(들)의 양은 조성물의 총 중량을 기준으로 약 0.0001 wt% 내지 약 5 wt%의 범위이다.
조성물은 각각의 성분을 단순히 첨가하고 균질한 상태로 혼합함으로써 용이하게 제제화된다. 또한, 조성물은 단일-패키지 제제로서, 또는 사용 시점에 또는 사용 시점 전에 혼합되는 다중-부분 제제로서 용이하게 제제화될 수 있으며, 예를 들어 다중-부분 제제의 개별 부분은 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 각각의 성분의 농도는 특정 배량의 조성으로, 즉 보다 희석된 것 또는 보다 농축된 것으로 광범위하게 달라질 수 있고, 본원에 기재된 조성물은 다양하게 및 대안적으로 본원의 개시내용과 일치하는 임의의 구성성분 조합을 포함하거나, 그로 이루어지거나 또는 그로 본질적으로 이루어질 수 있다는 것이 인지될 것이다.
조성 비율과 관련하여, 특정 실시양태에서, 계면활성제는 약 0.01 내지 0.5 wt%의 양으로 존재하며, (i), (ii), (iii), (iv) 및 (v)의 총합은 100 wt%이다. 특정 실시양태에서, 착물화제는 약 1 내지 5 wt%의 양으로 존재하며, (i), (ii), (iii), (iv) 및 (v)의 총합은 100 wt%이다. 특정 실시양태에서, 유기 첨가제는 약 0.5 내지 2.5 wt%의 양으로 존재한다. 특정 실시양태에서, 성분 (v)는 약 1 내지 5 wt%의 양으로 존재하며, (i), (ii), (iii), (iv) 및 (v)의 총합은 100 wt%이다.
pH 조정제의 양은 본원에 개시된 pH 값 및 관련 기술분야의 통상의 기술자의 지식에 기초하여, 사용하기 위한 제거 조성물을 제조할 때 얻으려는 최종 pH에 따라 달라진다.
성분의 wt% 비의 범위는 조성물의 모든 가능한 농축 또는 희석된 실시양태를 포괄할 것이다. 이를 위해, 한 실시양태에서, 세정 용액으로서 사용하기 위해 희석될 수 있는 농축된 제거 조성물이 제공된다. 농축된 조성물 또는 "농축물"은 유리하게는 사용자 (예를 들아 CMP 공정 기술자)가 사용 시점에 농축물을 원하는 농도 및 pH로 희석할 수 있도록 한다. 농축된 수성 제거 조성물의 희석은 약 1:1 내지 약 2500:1, 약 5:1 내지 약 200:1, 또는 약 20:1 내지 약 120:1의 범위일 수 있고, 여기서 수성 제거 조성물은 도구 사용 시에 또는 그 직전에 용매, 예를 들어 탈이온수로 희석된다. 관련 기술분야의 통상의 기술자는, 본원에 개시된 성분의 wt% 비의 범위가 희석 후에도 변하지 않은 상태로 유지되어야 한다는 것을 인지할 것이다.
본원에 기재된 조성물은, 에칭-후 잔류물 제거, 애싱-후 잔류물 제거 표면 제조, 도금-후 세정 및 CMP-후 잔류물 제거를 포함하나, 이에 제한되지 않는 적용에서 유용성을 가질 수 있다. 또한, 본원에 기재된 수성 세정 조성물은 장식용 금속, 금속 와이어 본딩, 인쇄 회로 기판, 및 금속 또는 금속 합금을 사용하는 기타 전자 패키징을 포함하나 이에 제한되지 않는 기타 금속 (예를 들어, 구리-함유 및 텅스텐-함유) 제품의 세정 및 보호에 유용할 수 있다는 것이 고려된다.
또 다른 실시양태에서, 본원에 기재된 제거 조성물은 세리아 입자 및/또는 CMP 오염물을 추가로 포함한다. 세리아 입자 및 오염물은 세정이 시작된 후 제거 조성물의 성분이 되어 조성물에 용해되고/거나 현탁될 것이다.
제거 조성물은 각각의 구성성분을 단순 첨가하고 균질한 상태로 혼합함으로써 용이하게 제제화된다. 또한, 조성물은 단일-패키지 제제로서, 또는 사용 시점에 또는 사용 시점 전에 혼합되는 다중-부분 제제로서 용이하게 제제화될 수 있으며, 예를 들어, 다중-부분 제제의 개별 부분은 도구에서 또는 도구 상류의 저장 탱크에서 혼합될 수 있다. 각각의 구성성분의 농도는 특정 배량의 조성으로, 즉, 보다 희석된 것 또는 보다 농축된 것으로 광범위하게 달라질 수 있고, 본원에 기재된 조성물은 다양하게 그리고 대안적으로 본원의 개시내용과 일치하는 임의의 구성성분 조합을 포함하거나, 그로 이루어지거나, 또는 그로 본질적으로 이루어질 수 있다는 것이 인지될 것이다.
따라서, 또 다른 측면은 본원에 기재된 조성물을 형성하도록 채택된 1종 이상의 성분을 하나 이상의 용기에 포함하는 키트에 관한 것이다. 키트는 하나 이상의 용기 내에, (i) 적어도 1종의 계면활성제; (ii) 적어도 1종의 pH 조정제; (iii) 적어도 1종의 착물화제; (iv) 적어도 1종의 유기 첨가제; 및 대안적으로 (v) 적어도 1종의 친핵성 화합물 또는 환원제 (제작 시 또는 사용 시점에 추가의 용매 (예를 들어, 물)와 배합하기 위함)를 포함할 수 있다. 키트의 용기는 조성물의 저장 및 운송에 적합해야 하며, 예를 들어, 나우팍(NOWPak)® 용기 (엔테그리스, 인크.(Entegris, Inc.), 미국 매사추세츠주 빌레리카)일 수 있다.
한 실시양태에서, 수성 제거 조성물의 성분을 함유하는 하나 이상의 용기는 블렌딩 및 분배를 위해 상기 하나 이상의 용기에 있는 성분들을 유체 연통시키는 수단을 포함한다. 예를 들어, 나우팩® 용기와 관련하여, 가스 압력이 상기 하나 이상의 용기에 있는 라이너의 외부에 인가되어 라이너의 내용물 중 적어도 일부가 방출되도록 하여 블렌딩 및 분배를 위한 유체 연통을 가능하게 할 수 있다. 대안적으로, 가스 압력이 종래의 가압가능한 용기의 헤드 공간에 인가될 수 있거나, 또는 펌프가 사용되어 유체 연통을 가능하게 할 수 있다. 또한, 특정 실시양태에서, 시스템은 블렌딩된 제거 조성물을 공정 도구에 분배하기 위한 분배 포트를 포함한다.
마이크로전자 제조 작업에 적용된 바와 같이, 본원에 기재된 제거 조성물은 마이크로전자 장치의 표면으로부터 세리아 입자 및/또는 CMP 오염물 (예를 들어, CMP-후 잔류물 및 오염물)을 세정하는 데 유용하게 사용된다. 제거 조성물은 장치 표면 상의 저-k 유전체 물질 (예, 산화규소), 질화규소 층 또는 텅스텐-함유 층을 손상시키지 않는다. 한 실시양태에서, 수성 제거 조성물은 입자 제거 전에 장치에 존재하는 세리아 입자의 적어도 85%, 적어도 90%, 적어도 95%, 또는 적어도 99%를 제거한다.
따라서, 추가의 측면에서, 본 발명은 상부에 세리아 입자 및 화학 기계적 연마 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기 위한 방법을 제공하며, 상기 방법은
(i) 마이크로전자 장치를 본 발명의 조성물과 접촉시키는 단계; 및
(ii) 상기 마이크로전자 장치로부터 상기 입자 및 오염물을 적어도 부분적으로 제거하는 단계로서, 여기서 상기 마이크로전자 장치는 폴리-Si, PETEOS, 및 질화규소로부터 선택되는 기판을 포함하는 것인 단계
를 포함한다.
CMP-후 입자 및 오염물 제거 적용에서, 본원에 기재된 수성 제거 조성물은 매우 다양한 통상적인 세정 도구, 예컨대 메가소닉 및 브러시 스크러빙, 예컨대, 비제한적으로 베르테크(Verteq) 단일 웨이퍼 메가소닉 골드핑거(Goldfinger), 온트랙(OnTrak) 시스템 DDS (양면 스크러버), SEZ 또는 다른 단일 웨이퍼 스프레이 린스, 어플라이드 머티어리얼스(Applied Materials) 미라-메사(Mirra-Mesa)™/리플렉션(Reflexion)™/리플렉션 LK™, 및 메가소닉 배치 습식 벤치 시스템과 함께 사용될 수 있다.
상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기 위한 본원에 기재된 조성물의 사용에서, 수성 제거 조성물은 전형적으로 약 20℃ 내지 약 90℃, 또는 약 20℃ 내지 약 50℃ 범위의 온도에서 약 5초 내지 약 10분, 약 1초 내지 20분, 또는 약 15초 내지 약 5분의 시간 동안 장치와 접촉된다. 이러한 접촉 시간 및 온도는 예시적인 것이며, 방법의 광범위한 실행 내에서, 장치로부터 세리아 입자 및 CMP 오염물을 적어도 부분적으로 제거하기에 효과적인 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다. "적어도 부분적으로 세정하는" 및 "실질적 제거"는 둘 다 특정 실시양태에서 입자 제거 전에 장치에 존재한 세리아 입자의 적어도 85%, 적어도 90%, 적어도 95%, 또는 적어도 99%를 제거하는 것에 상응한다.
원하는 입자 제거 작용의 달성 이후에, 수성 제거 조성물은, 본원에 기재된 조성물의 주어진 최종 용도 적용에서 요망되고 효과적일 수 있는 바와 같이, 이전에 적용된 장치로부터 용이하게 제거될 수 있다. 한 실시양태에서, 헹굼 용액은 탈이온수를 포함한다. 이후, 장치는 질소 또는 스핀-건조 사이클을 사용하여 건조될 수 있다.
또 다른 측면은 본원에 기재된 방법에 따라 제조된 개선된 마이크로전자 장치 및 이러한 마이크로전자 장치를 함유하는 제품에 관한 것이다.
또 다른 측면은 재활용 수성 제거 조성물에 관한 것이며, 여기서 제거 조성물은 입자 및/또는 오염물 로딩이 수성 제거 조성물이 수용할 수 있는 최대 양에 도달할 때까지 (이는 관련 기술분야의 통상의 기술자에 의해 용이하게 결정됨) 재활용될 수 있다.
또 추가 측면은 마이크로전자 장치를 포함하는 물품을 제조하는 방법에 관한 것이며, 상기 방법은 본원에 기재된 제거 조성물을 사용하여, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하기에 충분한 시간 동안 마이크로전자 장치를 수성 제거 조성물과 접촉시키는 단계, 및 상기 마이크로전자 장치를 상기 물품에 혼입시키는 단계를 포함한다.
또 다른 측면에서, 상부에 세리아 입자 및 CMP 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법이 기재된다. 방법은, 세리아 입자를 포함하는 CMP 슬러리로 마이크로전자 장치를 연마하는 단계; 마이크로전자 장치로부터 세리아 입자 및 CMP 오염물을 제거하기에 충분한 시간 동안 마이크로전자 장치를, 적어도 1종의 pH 조정제, 적어도 1종의 환원제, 적어도 1종의 유기 첨가제, 물, 임의로 적어도 1종의 착물화제, 임의로 적어도 1종의 중합체, 및 임의로 적어도 1종의 산소 스캐빈저를 포함하는 수성 제거 조성물과 접촉시켜 CMP-후 입자-함유 조성물을 형성하는 단계; 및 마이크로전자 장치의 실질적인 세정을 실시하기에 충분한 양의 시간 동안 마이크로전자 장치를 CMP-후 입자-함유 조성물과 연속적으로 접촉시키는 단계를 포함한다. 상기 기재된 임의의 성분은 세리아 입자 및 CMP 오염물을 제거하는 본 발명의 방법에서 사용될 수 있다.
본 발명은 그의 바람직한 실시양태의 하기 실시예에 의해 추가로 설명될 수 있지만, 이러한 실시예는 단지 예시의 목적으로 포함되는 것이고, 달리 명확하게 지시되지 않는 한 본 발명의 범주를 한정하도록 의도되지 않는다는 것이 이해될 것이다.
실험 섹션
하기 실시예 1 내지 28에서, 이들 실시예에서 세륨-산소 결합 파괴제로서 사용된 친핵성 화합물 (모르폴린)에 더하여 콜린 히드록시드를 사용하여 각 조성물을 대략 13.1의 pH로 조정하였다.
표 1
Figure 112021064231610-pct00002
Figure 112021064231610-pct00003
Figure 112021064231610-pct00004
하기 실시예에서, 기판 쿠폰을 세리아-슬러리에 5분 동안, 이어서 탈이온수 (DIW) 중에 30초 동안 넣어 임의의 흡착된 종 또는 임의의 느슨하게 결합된 종을 제거하였다. DIW 처리된 쿠폰을 보다 깨끗한 용액에 1분 동안 둔 후, DIW로 30초 동안 헹구었다. 기판을 공기 건조시키고, 세리아로 덮힌 나머지 면적을 SEM (주사 전자 현미경검사) 방법을 통해 계산하였다. 이들 실시예에서, 수성 조성물의 pH를 콜린 히드록시드를 사용하여 대략 6.1로 조정하였다.
표 2
Figure 112021064231610-pct00005
Figure 112021064231610-pct00006
Figure 112021064231610-pct00007
하기 실시예에서, AMAT 미라(Mirra) 도구 상에서 상업적으로 입수가능한 세리아 슬러리로 블랭킷 TEOS 웨이퍼를 연마하고, 이어서 엔테그리스 플래너코어(Entegris Planarcore)® 브러시를 사용하여 브러시 세정함으로써 결함을 결정하였다. 이어서 웨이퍼를 KLA-텐코어(Tencore) SP1 상에서 110 nm 임계값으로 총 결함에 대해 분석하였다.
표 3
Figure 112021064231610-pct00008
이와 같이 본 개시내용의 여러 예시적 실시양태가 기재되어 있지만, 관련 기술분야의 통상의 기술자라면 첨부된 청구범위의 범주 내에서 또 다른 실시양태가 구성되고 사용될 수 있음을 용이하게 인지할 것이다. 본 명세서에 의해 포괄되는 본 개시내용의 수많은 이점이 상기 설명에서 제시되었다. 그러나, 본 개시내용은 많은 측면에서 단지 예시적인 것으로 이해될 것이다. 특히, 본 개시내용의 범위를 초과하지 않으면서 부품의 형상, 크기, 및 배열에 관한 세부 사항이 변경될 수 있다. 당연히, 본 개시내용의 범주는 첨부된 청구범위에서 나타낸 언어로 정의된다.

Claims (20)

  1. (i) 적어도 1종의 계면활성제;
    (ii) 적어도 1종의 pH 조정제;
    (iii) 적어도 1종의 착물화제;
    (iv) 적어도 1종의 유기 첨가제; 및
    (v) 대안적으로 적어도 1종의 친핵성 화합물 또는 환원제
    를 포함하는 조성물이며,
    여기서 상기 친핵성 화합물은 상기 조성물이 13 초과의 pH를 갖는 경우에 존재하고, 상기 환원제는 상기 조성물이 6 미만의 pH를 갖는 경우에 존재하며, 상기 조성물은 산화제를 실질적으로 함유하지 않는 것인 조성물.
  2. 제1항에 있어서, 상기 착물화제가 1 내지 5 wt%의 양으로 존재하고, (i), (ii), (iii), (iv) 및 (v)의 총합이 100 wt%인 조성물.
  3. 제1항에 있어서, 친핵성 화합물이 모르폴린, 모노에탄올아민, 이소프로필 아민, 디이소프로판올아민, 디글리콜아민, 트리에틸아민, N-메틸모르폴린, 메틸에탄올아민, N-아미노프로필 모르폴린 및 3-아미노-프로판올로부터 선택된 것인 조성물.
  4. 제1항에 있어서, 환원제가 차아인산인 조성물.
  5. 제1항에 있어서, pH 조정제가 콜린 히드록시드, 수산화암모늄, 수산화칼륨, 수산화세슘, 테트라에틸 암모늄 히드록시드, 에틸트리메틸암모늄 히드록시드, 메틸트리에틸암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드로부터 선택된 것인 조성물.
  6. 제1항에 있어서, 착물화제가 1-히드록시에틸리덴-1,1-디포스폰산인 조성물.
  7. 제1항에 있어서, 유기 첨가제가 프로필렌 글리콜 부틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노헥실 에테르, 및 술폴란으로부터 선택된 것인 조성물.
  8. 제1항에 있어서, 계면활성제가 2,4,7,9-테트라메틸-5-데신-4,7-디올, 폴리에틸렌 글리콜 2,4,7,9-테트라메틸-5-데신-4,7-디올, 폴리(에틸렌 글리콜)/폴리프로필렌 글리콜 공중합체, 노닐페놀 에톡실레이트, 및 지방 알콜 에톡실레이트로부터 선택된 것인 조성물.
  9. 제1항에 있어서,
    (ii) 콜린 히드록시드;
    (iii) 1-히드록시에틸리덴-1,1-디포스폰산;
    (iv) 프로필렌 글리콜 n-부틸 에테르; 및
    (v) 모르폴린
    을 포함하고,
    추가로 물을 포함하는 조성물.
  10. 제1항에 있어서,
    (ii) 콜린 히드록시드;
    (iii) 1-히드록시에틸리덴-1,1-디포스폰산;
    (iv) 트리에틸렌 글리콜 모노부틸 에테르 및 프로필렌 글리콜 메틸 에테르 중 적어도 하나; 및
    (v) 모르폴린
    을 포함하고,
    추가로 물을 포함하는 조성물.
  11. 상부에 세리아 입자 및 화학 기계적 연마 오염물을 갖는 마이크로전자 장치로부터 상기 입자 및 오염물을 제거하는 방법이며,
    (i) 마이크로전자 장치를, 적어도 1종의 계면활성제; 적어도 1종의 pH 조정제; 적어도 1종의 착물화제; 적어도 1종의 유기 첨가제; 및 대안적으로 적어도 1종의 친핵성 화합물 또는 환원제를 포함하는 조성물과 접촉시키는 단계로서, 여기서 상기 친핵성 화합물은 상기 조성물이 13 초과의 pH를 갖는 경우에 존재하며, 상기 환원제는 상기 조성물이 6 미만의 pH를 갖는 경우에 존재하고, 상기 조성물은 산화제를 실질적으로 함유하지 않는 것인 단계
    를 포함하는 방법.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020217017027A 2018-12-10 2019-11-20 화학 기계적 연마 후 세정 조성물 KR102545630B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862777478P 2018-12-10 2018-12-10
US62/777,478 2018-12-10
PCT/US2019/062473 WO2020123112A1 (en) 2018-12-10 2019-11-20 Post chemical mechanical polishing cleaning compositions

Publications (2)

Publication Number Publication Date
KR20210071090A KR20210071090A (ko) 2021-06-15
KR102545630B1 true KR102545630B1 (ko) 2023-06-21

Family

ID=70972502

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217017027A KR102545630B1 (ko) 2018-12-10 2019-11-20 화학 기계적 연마 후 세정 조성물

Country Status (6)

Country Link
US (1) US11124740B2 (ko)
JP (1) JP7249414B2 (ko)
KR (1) KR102545630B1 (ko)
CN (1) CN113166684A (ko)
TW (1) TWI821455B (ko)
WO (1) WO2020123112A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2021111914A1 (ko) * 2019-12-03 2021-06-10
TW202225392A (zh) * 2020-09-25 2022-07-01 日商福吉米股份有限公司 使用包含氧化劑之清潔劑以有效減少後段化學機械拋光之缺陷
US11905491B2 (en) 2020-10-05 2024-02-20 Entegris, Inc. Post CMP cleaning compositions
KR102561824B1 (ko) * 2021-06-02 2023-07-31 에스케이엔펄스 주식회사 연마패드 및 이를 이용한 반도체 소자의 제조방법
KR20230056230A (ko) * 2021-10-20 2023-04-27 에스케이하이닉스 주식회사 Cmp 후 세정액 조성물
CN114199657A (zh) * 2021-11-23 2022-03-18 万华化学集团股份有限公司 一种金相侵蚀剂以及金相组织的显示方法
US20230159866A1 (en) * 2021-11-23 2023-05-25 Entegris, Inc. Microelectronic device cleaning composition
KR102558255B1 (ko) * 2022-10-06 2023-07-20 윤새길 요소수 백화현상 세정 첨가제 및 그 제조방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007016232A (ja) * 2005-06-23 2007-01-25 Air Products & Chemicals Inc カチオン塩含有残留物除去用の組成物及びそれを使用する方法
JP2012072267A (ja) * 2010-09-28 2012-04-12 Sanyo Chem Ind Ltd 電子材料用洗浄剤
JP2015073098A (ja) * 2009-07-07 2015-04-16 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Cmp後洗浄のための配合物及び方法
WO2018136511A1 (en) * 2017-01-18 2018-07-26 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6676492B2 (en) 1998-12-15 2004-01-13 Chou H. Li Chemical mechanical polishing
US20030047710A1 (en) 2001-09-13 2003-03-13 Nyacol Nano Technologies, Inc Chemical-mechanical polishing
US7314578B2 (en) 2003-12-12 2008-01-01 Samsung Electronics Co., Ltd. Slurry compositions and CMP methods using the same
KR100679008B1 (ko) 2005-05-18 2007-02-06 유청 반도체 소자의 세정 조성물
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US7947130B2 (en) * 2009-10-24 2011-05-24 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
TWI726859B (zh) 2015-01-05 2021-05-11 美商恩特葛瑞斯股份有限公司 後化學機械拋光配方及使用之方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007016232A (ja) * 2005-06-23 2007-01-25 Air Products & Chemicals Inc カチオン塩含有残留物除去用の組成物及びそれを使用する方法
JP2015073098A (ja) * 2009-07-07 2015-04-16 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Cmp後洗浄のための配合物及び方法
JP2012072267A (ja) * 2010-09-28 2012-04-12 Sanyo Chem Ind Ltd 電子材料用洗浄剤
WO2018136511A1 (en) * 2017-01-18 2018-07-26 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Also Published As

Publication number Publication date
US11124740B2 (en) 2021-09-21
TWI821455B (zh) 2023-11-11
US20200181535A1 (en) 2020-06-11
WO2020123112A1 (en) 2020-06-18
KR20210071090A (ko) 2021-06-15
JP2022513197A (ja) 2022-02-07
TW202026409A (zh) 2020-07-16
JP7249414B2 (ja) 2023-03-30
CN113166684A (zh) 2021-07-23

Similar Documents

Publication Publication Date Title
KR102545630B1 (ko) 화학 기계적 연마 후 세정 조성물
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
KR102625498B1 (ko) 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
KR102522365B1 (ko) 세리아 입자에 대한 cmp 후 세정 조성물
KR102531512B1 (ko) Cmp 후 세정 조성물
EP3921400A1 (en) Ceria removal compositions
US20220106541A1 (en) Microelectronic Device Cleaning Composition
US20230159866A1 (en) Microelectronic device cleaning composition
US20230295537A1 (en) Microelectronic device cleaning composition
KR20240103045A (ko) 마이크로전자 장치 세정 조성물
US20230323248A1 (en) Post cmp cleaning composition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right