KR102544078B1 - 개선된 제조 조건들 및 주변 구조 변경들을 이용한 음향 공진기 또는 필터 디바이스들 제조 방법 및 구조 - Google Patents

개선된 제조 조건들 및 주변 구조 변경들을 이용한 음향 공진기 또는 필터 디바이스들 제조 방법 및 구조 Download PDF

Info

Publication number
KR102544078B1
KR102544078B1 KR1020170145198A KR20170145198A KR102544078B1 KR 102544078 B1 KR102544078 B1 KR 102544078B1 KR 1020170145198 A KR1020170145198 A KR 1020170145198A KR 20170145198 A KR20170145198 A KR 20170145198A KR 102544078 B1 KR102544078 B1 KR 102544078B1
Authority
KR
South Korea
Prior art keywords
metal electrode
forming
backside
electrode
metal
Prior art date
Application number
KR1020170145198A
Other languages
English (en)
Other versions
KR20180048421A (ko
Inventor
베츄리 라마크리쉬나
와이. 펠드만 알렉산더
디. 호드게 미카엘
게이스 아트
알. 깁 샤운
디. 부움그란덴 마크
피. 레위스 미카엘
파텔 피날
비. 세알리 제프리
Original Assignee
어쿠스티스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어쿠스티스, 인크. filed Critical 어쿠스티스, 인크.
Publication of KR20180048421A publication Critical patent/KR20180048421A/ko
Application granted granted Critical
Publication of KR102544078B1 publication Critical patent/KR102544078B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/15Constructional features of resonators consisting of piezoelectric or electrostrictive material
    • H03H9/17Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/15Constructional features of resonators consisting of piezoelectric or electrostrictive material
    • H03H9/17Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator
    • H03H9/178Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator of a laminated structure of multiple piezoelectric layers with inner electrodes
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H3/00Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators
    • H03H3/007Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks
    • H03H3/02Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H3/00Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators
    • H03H3/007Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks
    • H03H3/02Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks
    • H03H3/04Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks for obtaining desired frequency or temperature coefficient
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/02007Details of bulk acoustic wave devices
    • H03H9/02086Means for compensation or elimination of undesirable effects
    • H03H9/02118Means for compensation or elimination of undesirable effects of lateral leakage between adjacent resonators
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/05Holders; Supports
    • H03H9/0504Holders; Supports for bulk acoustic wave devices
    • H03H9/0514Holders; Supports for bulk acoustic wave devices consisting of mounting pads or bumps
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/05Holders; Supports
    • H03H9/10Mounting in enclosures
    • H03H9/1007Mounting in enclosures for bulk acoustic wave [BAW] devices
    • H03H9/1035Mounting in enclosures for bulk acoustic wave [BAW] devices the enclosure being defined by two sealing substrates sandwiching the piezoelectric layer of the BAW device
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/125Driving means, e.g. electrodes, coils
    • H03H9/13Driving means, e.g. electrodes, coils for networks consisting of piezoelectric or electrostrictive materials
    • H03H9/131Driving means, e.g. electrodes, coils for networks consisting of piezoelectric or electrostrictive materials consisting of a multilayered structure
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/125Driving means, e.g. electrodes, coils
    • H03H9/13Driving means, e.g. electrodes, coils for networks consisting of piezoelectric or electrostrictive materials
    • H03H9/132Driving means, e.g. electrodes, coils for networks consisting of piezoelectric or electrostrictive materials characterized by a particular shape
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/125Driving means, e.g. electrodes, coils
    • H03H9/13Driving means, e.g. electrodes, coils for networks consisting of piezoelectric or electrostrictive materials
    • H03H9/133Driving means, e.g. electrodes, coils for networks consisting of piezoelectric or electrostrictive materials for electromechanical delay lines or filters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/15Constructional features of resonators consisting of piezoelectric or electrostrictive material
    • H03H9/17Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator
    • H03H9/171Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator implemented with thin-film techniques, i.e. of the film bulk acoustic resonator [FBAR] type
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/15Constructional features of resonators consisting of piezoelectric or electrostrictive material
    • H03H9/17Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator
    • H03H9/171Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator implemented with thin-film techniques, i.e. of the film bulk acoustic resonator [FBAR] type
    • H03H9/172Means for mounting on a substrate, i.e. means constituting the material interface confining the waves to a volume
    • H03H9/174Membranes
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/46Filters
    • H03H9/54Filters comprising resonators of piezoelectric or electrostrictive material
    • H03H9/56Monolithic crystal filters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/46Filters
    • H03H9/54Filters comprising resonators of piezoelectric or electrostrictive material
    • H03H9/56Monolithic crystal filters
    • H03H9/564Monolithic crystal filters implemented with thin-film techniques
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/46Filters
    • H03H9/54Filters comprising resonators of piezoelectric or electrostrictive material
    • H03H9/56Monolithic crystal filters
    • H03H9/566Electric coupling means therefor
    • H03H9/568Electric coupling means therefor consisting of a ladder configuration
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H3/00Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators
    • H03H3/007Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks
    • H03H3/02Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks
    • H03H3/04Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks for obtaining desired frequency or temperature coefficient
    • H03H2003/0414Resonance frequency
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H3/00Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators
    • H03H3/007Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks
    • H03H3/02Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks
    • H03H3/04Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks for obtaining desired frequency or temperature coefficient
    • H03H2003/0414Resonance frequency
    • H03H2003/0421Modification of the thickness of an element
    • H03H2003/0428Modification of the thickness of an element of an electrode

Landscapes

  • Physics & Mathematics (AREA)
  • Acoustics & Sound (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Piezo-Electric Or Mechanical Vibrators, Or Delay Or Filter Circuits (AREA)

Abstract

음향 공진기 또는 필터 디바이스 제조 방법. 일 예제에서, 본 방법은 기판을 오버레이하는 압전층에 결합된 상이한 기하학적인 면적들 및 프로파일 형상들을 갖는 금속 전극들을 형성하는 단계를 포함할 수 있다. 이들 금속 전극들은 가변 기하학적인 면적들을 갖는 압전층 또는 기판의 공동들내에 또한 형성될 수 있다. 특정 치수 비율 및 이온 주입들과 조합되어 이런 기술들은 디바이스 성능 메트릭들을 증가시킬 수 있다. 일 예제에서, 본 발명은 압전층의 최상단 또는 바닥상에 있을 수 있는 금속 전극들을 둘러싸는 여러 유형들의 주변 구조들을 형성하는 단계를 포함할 수 있다. 이런 주변 구조들은 형상, 재료, 및 연속성에 대한 여러 변경들의 조합들을 이용할 수 있다. 이런 주변 구조들은 디바이스 성능 메트릭들을 개선시키기 위해 앞에서 논의된 모래톱 구조, 압전층 공동들, 기하하적인 변형들과 또한 조합될 수 있다.

Description

개선된 제조 조건들 및 주변 구조 변경들을 이용한 음향 공진기 또는 필터 디바이스들 제조 방법 및 구조{STRUCTURE AND METHOD OF MANUFACTURE FOR ACOUSTIC RESONATOR OR FILTER DEVICES USING IMPROVED FABRICATION CONDITIONS AND PERIMETER STRUCTURE MODIFICATIONS}
관련 출원에 대한 교차-참조들
본 출원은 다목적을 위하여, 모두 공통으로 소유되는 이하의 동시에 출원된 특허 출원들을 참조로서 통합한다 : 2014년 6월 6일에 출원된 “RESONANCE CIRCUIT WITH A SINGLE CRYSTAL CAPACITOR DIELECTRIC MATERIAL”라는 제목의 U.S. Pat. App. No. 14/298,057, (대리인 관리 번호. A969RO-000100US), 2014년 6월 6일에 출원된 “METHOD OF MANUFACTURE FOR SINGLE CRYSTAL CAPACITOR DIELECTRIC FOR A RESONANCE CIRCUIT”라는 제목의 U.S. Pat. App. No. 14/298,076, (대리인 관리 번호. A969RO-000200US), 2014년 6월 6일에 출원된 “INTEGRATED CIRCUIT CONFIGURED WITH TWO OR MORE SINGLE CRYSTAL ACOUSTIC RESONATOR DEVICES”라는 제목의 U.S. Pat. App. No. 14/298,100, (대리인 관리 번호. A969RO-000300US) , 2014년 7 월 25일에 출원된 “WAFER SCALE PACKAGING”라는 제목의 U.S. Pat. App. No. 14/341,314, (대리인 관리 번호.: A969RO-000400US), 2014년 7월 31일에 출원된 “MOBILE COMMUNICATION DEVICE CONFIGURED WITH A SINGLE CRYSTAL PIEZO RESONATOR STRUCTURE”라는 제목의 U.S. Pat. App. No. 14/449,001, (대리인 관리 번호.: A969RO-000500US), 2014년 8월 26일에 출원된 “MEMBRANE SUBSTRATE STRUCTURE FOR SINGLE CRYSTAL ACOUSTIC RESONATOR DEVICE” 라는 제목의 U.S. Pat. App. No. 14/469,503, (대리인 관리 번호.: A969RO-000600US), 및 2016년 3월 11일에 출원된 “METHOD OF MANUFACTURE FOR SINGLE CRYSTAL ACOUSTIC RESONATOR DEVICES USING MICRO-VIAS”라는 제목의 U.S. Pat. App. No. 15/068,510, (대리인 관리 번호.: A969RO-000700US) .
기술분야
본 발명은 전반적으로 전자 디바이스들에 관한 것이다. 보다 상세하게는, 본 발명은 벌크 음향파 공진기 디바이스(bulk acoustic wave resonator device)들, 단결정 벌크 음향파 공진기 디바이스들, 단결정 필터 및 공진기 디바이스들, 및 유사한 것에 대한 제조 방법에 관련된 기술들을 제공한다. 단지 예로서, 본 발명은 그 중에서도 통신 디바이스, 모바일 디바이스, 컴퓨팅 디바이스를 위한 단결정 공진기 디바이스에 적용된다.
모바일 텔레통신 디바이스들은 전세계에 성공적으로 배포되었다. 휴대 전화기들 및 스마트폰들을 포함하여 10억이상의 모바일 디바이스들이 단일 해에 제조되었고, 단위 체적(unit volume)은 계속해서 해년마다 증가하고 있다. 대략 2012에 4G/LTE의 가파른 증가(ramp), 및 모바일 데이터 트래픽의 폭발로, 데이터가 많은 컨텐츠가 스마트폰 세그먼트의 성장을 유도하고 - 이는 앞으로 수년 안에 1년에 2B에 달할 것으로 예상된다. 새로운 표준과 레거시 표준의 상존 및 더 높은 데이터 속도 요건들에 대한 갈망이 스마트폰들에서의 RF 복잡도를 드라이브하고 있다. 불행하게도, 문제가 있는 통상의 RF 기술에는 한계가 있고, 장래에 단점들을 초래할 수 있다.
상기에서 보면, 전자 디바이스들을 개선시키기 위한 기술들이 아주 바람직한 것으로 보인다.
본 발명에 따른, 전반적으로 전자 디바이스들에 관련된 기술들이 제공된다. 보다 상세하게는, 본 발명은 웨이퍼 레벨 기술(wafer level technology)들을 이용한 단결정 음향 공진기 또는 필터에 관련된 기술들을 제공한다. 단지 예로서, 본 발명은 그 중에서도 통신 디바이스, 모바일 디바이스, 컴퓨팅 디바이스를 위한 공진기 디바이스에 적용된다.
일 예에서, 본 방법은 가변 기하학적 면적들을 갖는 공동(cavity)들 및 디바이스 층들을 이용한 음향 공진기 또는 필터 디바이스를 위한 제조 방법을 제공한다. 구체적으로, 본 방법은 기판 위에 덮힌(overlying)의 압전층에 결합된 상이한 기하학적 면적들 및 프로파일 형상들을 갖는 금속 전극들을 형성하는 단계를 포함할 수 있다. 이들 금속 전극들은 가변 기하학적인 면적들을 갖는 상기 압전층 또는 상기 기판의 공동들 내에 또한 형성될 수 있다. 특정 치수 비율 및 이온 주입들과 조합된 이런 기술들은 디바이스 성능 메트릭(device performance metric)들을 증가시킬 수 있다.
일 예에서, 본 방법은 전극들 근처에 구성된 주변 구조(perimeter structure)들을 이용한 음향 공진기 또는 필터 디바이스를 위한 제조 방법을 제공한다. 일 예제에서, 본 발명은 상기 압전층의 최상부 또는 바닥상에 형성될 수 있는 한 이상의 금속 전극들을 둘러싸는 여러 유형들의 주변 구조들을 형성하는 단계를 포함할 수 있다. 이런 주변 구조들은 형상, 재료, 및 연속성에 대한 여러 변경들의 조합들을 이용할 수 있다. 이런 주변 구조들은 디바이스 성능 메트릭들을 개선시키기 위해 앞에서 논의된 샌드바(sandbar) 구조, 압전층 공동들, 기하하적인 변경들과 또한 조합될 수 있다.
본 발명의 장점들 및 성질들의 추가 이해는 뒤에 말하는 명세서 부분들 및 부착된 도면들에 대한 참조에 의해 실현될 수 있다.
본 발명의 보다 완벽한 이해를 위해서, 도면 번호가 첨부한 도면들에 제공된다. 이들 도면들은 본 발명의 범위에 제한들로서 간주되지 않는 것을 이해하고, 본 발명의 현재 설명되는 실시예들 및 현재 이해되는 최적의 모드가 첨부한 도면들의 사용을 통하여 추가 세부사항들과 함께 설명된다:
도 1a는 본 발명의 일 예에 따른 상면(topside) 상호 접속(interconnection)들을 갖는 음향 공진기 디바이스를 예시하는 개략도이다.
도 1b는 본 발명의 일 예에 따른 바닥측(bottom-side) 상호 접속들을 갖는 음향 공진기 디바이스를 예시하는 개략도이다.
도 1c는 본 발명의 일 예에 따른 인터포저-캡이 없는(interposer/cap-free) 구조를 갖는 음향 공진기 디바이스를 예시하는 개략도이다.
도 1d는 본 발명의 일 예에 따른 공유된 이면(backside) 트렌치(trench)와 인터포저-캡이 없는 구조를 갖는 음향 공진기 디바이스를 예시하는 개략도이다.
도면들 2 및 3은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다.
도 4a는 본 발명의 일 예에 따른 상면 마이크로-트렌치를 생성하는 방법에 대한 단계를 예시하는 개략도이다.
도면들 4b 및 4c는 도 4a에 설명된 상면 마이크로-트렌치를 형성하는 방법 단계를 수행하기 위한 대안 방법들을 예시하는 개략도들이다.
도면들 4d 및 4e는 도 4a에 설명된 상면 마이크로-트렌치를 형성하는 방법 단계를 수행하기 위한 대안 방법들을 예시하는 개략도들이다.
도면들 5 내지 8은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다.
도 9a는 본 발명의 일 예에 따른 이면 트렌치들을 형성하기 위한 방법 단계를 예시하는 개략도이다.
도면들 9b 및 9c는 본 발명의 일 예에 따른 도 9a에 설명된 이면 트렌치들을 형성하고, 동시에 시드 기판을 싱귤레이팅(singulating)하는 방법 단계를 수행하기 위한 대안 방법을 예시하는 개략도들이다.
도 10 은 본 발명의 일 예에 따른 공진기의 최상부 측과 바닥 측 사이의 전기적 상호 접속들 및 이면 금속화(backside metallization)를 수행하는 방법 단계를 예시하는 개략도이다.
도면들 11a 및 11b는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 대안 단계들을 예시하는 개략도들이다.
도면들 12a 내지 12e는 본 발명의 일 예에 따른 블라인드 비아 인터포저(blind via interposer)를 이용하는 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다.
도 13은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계를 예시하는 개략도이다.
도면들 14a 내지 14g는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 캡 웨이퍼 프로세스에 대한 방법 단계들을 예시하는 개략도들이다.
도면들 15a-15e는 본 발명의 예들에 따른 인터포저/캡 및 인터포저 없는 버전들로 구현될 수 있는 공유된 이면 트렌치를 갖는 음향 공진기 디바이스를 만들기 위한 방법 단계들을 예시하는 개략도들이다.
도 16는 본 발명의 일 예에 따른 단일-결정 압전층을 제조하기 위한 방법을 예시하는 간략화된 흐름도이다.
도 17은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하는 결과들을 예시하는 간략화된 그래프이다. 그래프는 주어진 알루미늄 몰 분율(mole fraction)에 대한 재료의 음향 특성들을 조정하는 능력을 강조한다. 이런 가요성(flexibility)는 개별 애플리케이션에 대하여 조정될 결과적인 공진기 특성들을 허용한다.
도 18a는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하기 위한 방법을 예시하는 개략도이다.
도 18b는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하기 위한 방법을 예시하는 개략도이다.
도 18c는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하기 위한 방법을 예시하는 개략도이다.
도 19a는 본 발명의 일 예에 따른 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 19b는 도 19a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 20a는 본 발명의 일 예에 따른 전극 경계 변경들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도면들 20b 내지 20g는 본 발명의 일 예에 따른 전극 경계 변경들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도 21a는 본 발명의 일 예에 따른 홈이 진(grooved) 전극 경계 변경(electrode boundary modification)들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도면들 21b 내지 21g는 본 발명의 일 예에 따른 홈이 진 전극 경계 변경들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도 22a는 본 발명의 일 예에 따른 홈이 진(grooved) 압전층(piezoelectric layer)들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도면들 22b 내지 22c는 본 발명의 일 예에 따른 홈이 진 압전층들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도 23a는 본 발명의 일 예에 따른 홈이 진 압전 서브-표면층을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도면들 23b 내지 23c는 본 발명의 일 예에 따른 홈이 진 서브-표면층들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도 24a는 본 발명의 일 예에 따른 전극 주변 구조 변경들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도면들 24b 내지 24e는 본 발명의 일 예에 따른 전극 주변 구조 변경을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도면들 25a 내지 25d는 본 발명의 일 예에 따른 이온 주입 프로세스를 겪는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도 26a는 본 발명의 일 예에 따른 공간 변경들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도면들 26b 내지 26e는 본 발명의 일 예에 따른 공간 변경들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다.
도 27a는 본 발명의 일 예에 따른 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 27b는 본 발명의 일 예에 따른 주파수 오프셋 구조(frequency offset structure)를 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 28a는 본 발명의 일 예에 따른 다수의 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 28b는 도 28a에 도시된 다수의 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 29a는 본 발명의 일 예에 따른 다수의 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 29b는 도 29a에 도시된 다수의 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 30a는 도면들 31a 내지 39d에 추가 설명되는 본 발명의 일 예에 따른 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 30b는 도면들 31a 내지 39d에 추가 설명되는 도 30a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 31a는 본 발명의 일 예에 따른 상면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 31b는 도 31a에 도시된 음향 공진기 디바이스의제 2 단면도를 예시하는 개략도이다.
도 31c는 본 발명의 일 예에 따른 이면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 31d는 도 31c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 32a는 본 발명의 일 예에 따른 상면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 32b는 도 32a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 32c는 본 발명의 일 예에 따른 이면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 32d는 도 32c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 33a는 본 발명의 일 예에 따른 상면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 33b는 도 33a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 33c는 본 발명의 일 예에 따른 이면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 33d는 도 33c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 34a는 본 발명의 일 예에 따른 상면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 34b는 도 34a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 34c는 본 발명의 일 예에 따른 이면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 34d는 도 34c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 35a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 35b는 도 35a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 35c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 35d는 도 35c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 36a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 36b는 도 36a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 36c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 36d는 도 36c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 37a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 37b는 도 37a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 37c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 37d는 도 37c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 38a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 38b는 도 38a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 38c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 38d는 도 38c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 39a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 39b는 도 39a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 39c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다.
도 39d는 도 39c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다.
도 40a는 본 발명의 일 예에 따른 서브표면(subsruface) 변경을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 40b는 도 40a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 41a는 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 41b는 도 41a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 42는 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 43은 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 44a는 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다.
도 44b는 도 44a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다.
도 44c는 도면들 44a 및 44b에 도시된 음향 공진기 디바이스 부분의 단면도를 예시하는 개략도이다.
도 44d는 도면들 44a 및 44b에 도시된 음향 공진기 디바이스 부분의 단면도를 예시하는 개략도이다.
본 발명에 따른, 전반적으로 전자 디바이스들에 관련된 기술들이 제공된다. 보다 상세하게는, 본 발명은 웨이퍼 레벨 기술들을 이용한 단결정 음향 공진기에 관련된 기술들을 제공한다. 단지 예로서, 본 발명은 그 중에서도 통신 디바이스, 모바일 디바이스, 컴퓨팅 디바이스를 위한 공진기 디바이스에 적용된다.
도 1a는 본 발명의 일 예에 따른 상면 상호 접속들을 갖는 음향 공진기 디바이스(101)를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (101)는 마이크로-비아(micro-via) (129)를 갖는 위에 덮힌(overlying) 단결정 압전층 (120)을 갖는 얇아진(thinned) 시드 기판 (112)을 포함한다. 마이크로-비아 (129)는 상면 마이크로-트렌치(topside micro-trench) (121), 상면 금속 플러그(topside metal plug) (146), 이면 트렌치(backside trench) (114), 및 이면 금속 플러그(backside metal plug) (147)를 포함할 수 있다. 비록 단일 마이크로-비아 (129)를 갖는 디바이스 (101)가 도시되지만, 디바이스 (101)는 다수의 마이크로-비아들을 가질 수 있다. 상면 금속 전극 (130)이 압전층 (120) 위에 덮혀 형성된다. 최상부 캡 구조(top cap structure)가 압전층 (120)에 본딩된다. 이 최상부 캡 구조는 하나 이상의 최상부 본드 패드들 (143), 하나 이상의 본드 패드들 (144), 및 상면 금속 플러그 (146)를 갖는 상면 금속 (145)에 연결된 하나 이상의 관통-비아들 (151)를 갖는 인터포저 기판 (119)를 포함한다. 솔더 볼(solder ball)들 (170)이 하나 이상의 최상부 본드 패드들 (143)에 전기적으로 결합된다.
얇아진 기판(thinned substrate) (112)는 제 1 및 제 2 이면 트렌치들 (113,114)을 갖는다. 이면 금속 전극 (131)은 얇아진 시드 기판 (112), 제 1 이면 트렌치 (113), 및 상면 금속 전극 (130)의 부분의 아래에 깔려(underlying) 형성된다. 이면 금속 플러그(147)가 얇아진 시드 기판 (112), 제 2 이면 트렌치 (114), 및 상면 금속 (145) 부분의 아래에 깔려 형성된다. 이 이면 금속 플러그 (147)는 상면 금속 플러그 (146) 및 이면 금속 전극 (131)에 전기적으로 결합된다. 이면 캡 구조 (161)가 제 1 및 제 2 이면 트렌치들 (113,114) 아래에 깔려 얇아진 시드 기판 (112)에 본딩된다. 이 디바이스의 제조 방법에 관련된 추가 세부사항들이 도 2로부터 시작하여 논의될 것이다.
도 1b는 본 발명의 일 예에 따른 이면 상호 접속들을 갖는 음향 공진기 디바이스(102)를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (101)는 마이크로-비아 (129)를 갖는 위에 덮힌(overlying) 압전층 (120)을 갖는 얇아진 시드 기판 (112)을 포함한다. 마이크로-비아 (129)는 상면 마이크로-트렌치(121), 상면 금속 플러그 (146), 이면 트렌치 (114), 및 이면 금속 플러그(147)를 포함할 수 있다. 비록 단일 마이크로-비아 (129)를 갖는 디바이스 (102)가 도시되지만, 디바이스 (102)는 다수의 마이크로-비아들을 가질 수 있다. 상면 금속 전극 (130)이 압전층 (120) 위에 덮혀 형성된다. 최상부 캡 구조(top cap structure)가 압전층 (120)에 본딩된다. 이 최상부 캡 구조 (119)는 압전층 (120) 위에 하나 이상의 본드 패드들 (144) 및 상면 금속 (145)에 연결된 본드 패드들을 포함한다. 상면 금속 (145)은 상면 금속 플러그 (146)를 포함한다.
얇아진 기판(112)는 제 1 및 제 2 이면 트렌치들 (113,114)을 갖는다. 이면 금속 전극 (131)은 얇아진 시드 기판 (112), 제 1 이면 트렌치 (113), 및 상면 금속 전극 (130)의 부분의 아래에 깔려 형성된다. 이면 금속 플러그(147)가 얇아진 시드 기판 (112), 제 2 이면 트렌치 (114), 및 상면 금속 플러그(146) 부분의 아래에 깔려 형성된다. 이 이면 금속 플러그 (147)는 상면 금속 플러그 (146)에 전기적으로 결합된다. 이면 캡 구조 (162)가 제 1 및 제 2 이면 트렌치들 아래에 깔려 얇아진 시드 기판 (112)에 본딩된다. 하나 이상의 이면 본드 패드들 (171,172,173)은 이면 캡 구조 (162)의 하나 이상의 부분들에 형성된다. 솔더 볼들 (170)이 하나 이상의 이면 본드 패드들 (171-173)에 전기적으로 결합된다. 이 디바이스의 제조 방법에 관련된 추가 세부사항들이 도 14a로부터 시작하여 논의될 것이다.
도 1c는 본 발명의 일 예에 따른 인터포저-캡이 없는 구조를 갖는 음향 공진기 디바이스를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (103)는 마이크로-비아 (129)를 갖는 위에 덮힌 단결정 압전층 (120)을 갖는 얇아진 시드 기판 (112)을 포함한다. 마이크로-비아 (129)는 상면 마이크로-트렌치(121), 상면 금속 플러그 (146), 이면 트렌치 (114), 및 이면 금속 플러그(147)를 포함할 수 있다. 비록 단일 마이크로-비아 (129)를 갖는 디바이스 (103)가 도시되지만, 디바이스 (103)는 다수의 마이크로-비아들을 가질 수 있다. 상면 금속 전극 (130)이 압전층 (120) 위에 덮혀 형성된다. 얇아진 기판(112)는 제 1 및 제 2 이면 트렌치들 (113,114)을 갖는다. 이면 금속 전극 (131)은 얇아진 시드 기판 (112), 제 1 이면 트렌치 (113), 및 상면 금속 전극 (130)의 부분의 아래에 깔려 형성된다. 이면 금속 플러그(147)가 얇아진 시드 기판 (112), 제 2 이면 트렌치 (114), 및 상면 금속 (145)의 부분의 아래에 깔려 형성된다. 이 이면 금속 플러그 (147)는 상면 금속 플러그 (146) 및 이면 금속 전극 (131)에 전기적으로 결합된다. 이 디바이스의 제조 방법에 관련된 추가 세부사항들이 도 2로부터 시작하여 논의될 것이다.
도 1d는 본 발명의 일 예에 따른 공유된 이면 트렌치와 인터포저-캡이 없는 구조를 갖는 음향 공진기 디바이스를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (104)는 마이크로-비아 (129)를 갖는 위에 덮힌 단결정 압전층 (120)을 갖는 얇아진 시드 기판 (112)을 포함한다. 마이크로-비아 (129)는 상면 마이크로-트렌치(121), 상면 금속 플러그 (146), 및 이면 금속 (147)를 포함할 수 있다. 비록 단일 마이크로-비아 (129)를 갖는 디바이스 (104)가 도시되지만, 디바이스 (104)는 다수의 마이크로-비아들을 가질 수 있다. 상면 금속 전극 (130)이 압전층 (120) 위에 덮혀 형성된다. 얇아진 기판(112)는 제 1 이면 트렌치(113)를 갖는다. 이면 금속 전극 (131)은 얇아진 시드 기판 (112), 제 1 이면 트렌치 (113), 및 상면 금속 전극 (130)의 부분의 아래에 깔려 형성된다. 이면 금속(147)은 얇아진 시드 기판 (112), 제 2 이면 트렌치 (114), 및 상면 금속 (145)의 부분의 아래에 깔려 형성된다. 이 이면 금속(147)은 상면 금속 플러그 (146) 및 이면 금속 전극 (131)에 전기적으로 결합된다. 이 디바이스의 제조 방법에 관련된 추가 세부사항들이 도 2로부터 시작하여 논의될 것이다.
도면들 2 및 3은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다. 이 방법은 도 1a에 도시된 것에 유사한 음향 공진기 디바이스를 제조하기 위한 프로세스를 예시한다. 도 2는 부분적으로 프로세스된 압전 기판을 제공하는 방법 단계를 나타낼 수 있다. 도시된 바와 같이, 디바이스 (102)는 시드 기판 (112)을 포함하고 압전층 (120)이 위에 덮혀 형성된다. 일 특정 예에서, 시드 기판(seed substrate)는 실리콘, 실리콘 카바이드, 알루미늄 옥사이드, 또는 단결정 알루미늄 갈륨 나이트라이드 재료들, 또는 유사한 것을 포함할 수 있다. 압전층 (120)은 압전 단결정층 또는 박막 압전 단결정층을 포함할 수 있다.
도 3은 최상부 측 금속화 또는 최상부 공진기 금속 전극 (130)을 형성하는 방법 단계를 나타낼 수 있다. 일 특정 예에서, 상면 금속 전극 (130)은 몰리브덴, 알루미늄, 루테늄, 또는 티타늄 재료, 또는 유사한 것 및 그것의 조합들을 포함할 수 있다. 이 층은 리프트-오프(lift-off) 프로세스, 습식 에칭 프로세스, 건식 에칭 프로세스, 금속 프린팅 프로세스, 금속 라미네이팅(laminating) 프로세스, 또는 유사한 것에 의해 압전층의 최상부에 증착되고 패터닝될 수 있다. 리프트-오프 프로세스는 상면 금속 층을 생성하기 위해 리소그래픽 패터닝, 금속 증착, 및 리프트-오프 단계들의 순차적인 프로세스를 포함할 수 있다. 습식/건식 에칭 프로세스들은 상면 금속 층을 생성하기 위해 금속 증착, 리소그래픽 패터닝, 금속 증착, 및 금속 에칭 단계들의 순차적인 프로세스를 포함할 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 4a은 본 발명의 일 예에 따른 음향 공진기 디바이스(401)를 위한 제조 방법에 대한 단계를 예시하는 개략도이다. 이 도면은 압전층 (120)의 부분내에 하나 이상의 상면 마이크로-트렌치들 (121)을 형성하는 방법 단계를 나타낼 수 있다. 이 상면 마이크로-트렌치 (121)는 차후의 방법 단계들에서 전개될 음향 멤브레인(acoustic membrane)의 최상부 측과 바닥 측 사이의 메인 상호연결 접합(main interconnect junction)의 역할을 한다. 일 예에서, 상면 마이크로-트렌치 (121)는 압전층 (120)를 쭉 통과하여 연장되고 시드 기판 (110)에서 정지한다. 이 상면 마이크로-트렌치 (121)는 건식 에칭 프로세스, 레이저 드릴링 프로세스, 또는 유사한 것을 통하여 형성될 수 있다. 도면들 4b 및 4c는 이들 옵션들을 보다 상세하게 설명한다.
도면들 4b 및 4c는 도 4a에 설명된 방법 단계를 수행하기 위한 대안 방법들을 예시하는 개략도들이다. 도시된 바와 같이, 도 4b는 압전층 (120)에 상면 마이크로-트렌치 (121)를 빠르게 및 정확하게 형성할 수 있는 레이저 드릴을 사용하는 방법 단계를 나타낸다. 일 예에서, 레이저 드릴은 압전층 (120)을 통과하는 공칭의 50um 홀들, 또는 10um와 500um 사이 직경에 홀들을 형성하기 위해 사용될 수 있고 층들 (120)과 (110) 사이의 계면 아래에 시드 기판 (110)에서 정지한다. 보호층 (122)이 압전층 (120) 및 상면 금속 전극 (130) 위에 덮혀 형성될 수 있다. 이 보호층 (122)은 상면 마이크로-비아 (121)의 에칭을 위한 마스크를 제공하고 디바이스를 레이저 잔해(laser debris)로부터 보호하는 역할을 한다. 일 특정 예에서, 레이저 드릴은 11W 고 전력 다이오드-펌핑 UV 레이저, 또는 유사한 것일 수 있다. 이 마스크 (122)는 다른 단계들로 진행하기 전에 후속하여 제거될 수 있다. 마스크는 또한 레이저 드릴링 프로세스로부터 생략될 수 있고, 에어 플로우(air flow)가 레이저 잔해를 제거하기 위해 사용될 수 있다.
도 4c는 압전층 (120)에 상면 마이크로-트렌치 (121)를 형성하기 위해 건식 에칭 프로세스를 이용하는 방법 단계를 나타낼 수 있다. 도시된 바와 같이, 리소그래픽 마스크 층 (123)이 압전층 (120) 및 상면 금속 전극 (130) 위에 덮혀 형성될 수 있다. 상면 마이크로-트렌치 (121)는 플라즈마, 또는 유사한 것에 노출에 의해 형성될 수 있다.
도면들 4d 및 4e는 도 4a에 설명된 방법 단계를 수행하기 위한 대안 방법을 예시하는 개략도들이다. 이들 도면들은 다수의 음향 공진기 디바이스들을 동시에 제조하는 방법 단계를 나타낼 수 있다. 도 4d에서, 두개의 디바이스들이 다이 #1 및 다이 #2 상에, 개별적으로 도시된다. 도 4e는 각각의 이들 다이들 상에 마이크로-비아 (121)를 형성하고 한편 또한 스크라이브 라인(scribe line) (124) 또는 다이싱 라인(dicing line)을 에칭하는 프로세스를 도시한다. 일 예에서, 스크라이브 라인 (124)의 에칭은 싱귤레이트하고 압전 단결정층 (120)에 응력(stress)을 완화한다.
도면들 5 내지 8은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다. 도 5는 하나 이상의 본드 패드들 (140)을 형성하고 본드 패드들 (140) 중 적어도 하나에 전기적으로 결합된 상면 금속 (141)을 형성하는 방법 단계를 나타낼 수 있다. 상면 금속 (141)은 상면 마이크로-트렌치 (121)내에 형성된 상면 금속 플러그 (146)를 포함할 수 있다. 일 특정 예에서, 상면 금속 플러그 (146)는 마이크로-비아의 상면 부분을 형성하기 위해 상면 마이크로-트렌치 (121)를 충진한다(fill).
일 예에서, 본드 패드들 (140) 및 상면 금속 (141)은 디바이스의 애플리케이션 에 의존하여 금(gold) 재료 또는 다른 상호연결 금속 재료를 포함할 수 있다. 이들 금속 재료들은 리프트-오프 프로세스, 습식 에칭 프로세스, 건식 에칭 프로세스, 스크린-프린팅(screen-printing) 프로세스, 전기도금(electroplating) 프로세스, 금속 프린팅 프로세스, 또는 유사한 것에 의해 형성될 수 있다. 일 특정 예에서, 증착된 금속 재료들은 또한 아래에 설명될 캡 구조(cap structure)에 대한 본드 패드들로서 역할을 할 수 있다.
도 6은 밀폐 본딩(hermetic bonding)일 수 있는 본딩에 대하여 음향 공진기 디바이스를 준비하기 위한 방법 단계를 나타낼 수 있다. 도시된 바와 같이, 최상부 캡 구조가 이전 도면들에 설명된 바와 같이 부분적으로 프로세스된 음향 공진기 디바이스의 위에 위치된다. 최상부 캡 구조는 두개의 구성들: 완전히 프로세스된 인터포저 버전 (601) (관통 유리 비아) 및 부분적으로 프로세스된 인터포저 버전 (602) (블라인드 비아 버전)에 인터포저 기판 (119)을 이용하여 형성될 수 있다. (601) 버전에서, 인터포저 기판 (119)은 인터포저 기판 (119)을 통과하여 연장되는 관통-비아 구조들 (151)를 포함하고 바닥 본드 패드들 (142) 및 최상부 본드 패드들 (143)에 전기적으로 결합된다. (602) 버전에서, 인터포저 기판 (119)은 바다 측으로부터 인터포저 기판 (119)의 단지 일부만 통과하여 연장되는 블라인드 비아 구조들 (152)을 포함한다. 이들 블라인드 비아 구조들 (152) 또한 바닥 본드 패드들 (142)에 전기적으로 결합된다. 일 특정 예에서, 인터포저 기판은 실리콘, 유리, 스마트-유리, 또는 다른 유사한 재료를 포함할 수 있다.
도 7은 최상부 캡 구조를 부분적으로 프로세스된 음향 공진기 디바이스에 본딩하는 방법 단계를 나타낼 수 있다. 도시된 바와 같이, 인터포저 기판 (119)은 여기서 본드 패드 (144) 및 상면 금속 (145)로 표시되는 본드 패드들 (140,142) 및 상면 금속 (141)에 의해 압전층에 본딩된다. 이 본딩 프로세스는 압축 본딩 방법(compression bond method) 또는 유사한 것을 이용하여 수행될 수 있다. 도 8은 얇아진 시드 기판 (111)로 여기서는 표시된 시드 기판 (110)을 얇게 하는 방법 단계를 나타낼 수 있다. 이 기판 얇게하는 프로세스는 연삭(grinding) 및 에칭 프로세스들 또는 유사한 것을 포함할 수 있다. 일 특정 예에서, 이 프로세스는 웨이퍼 이면연삭 프로세스에 이어 응력 제거(stress removal)를 포함할 수 있고, 이는 건식 에칭, CMP 연마, 또는 어닐링 프로세스들을 포함할 수 있다.
도 9a은 본 발명의 일 예에 따른 음향 공진기 디바이스(901)를 위한 제조 방법에 대한 단계를 예시하는 개략도이다. 도 9a는 얇아진 시드 기판 (111)의 이면으로부터 압전층으로 액세스를 허용하기 위한 이면 트렌치들 (113 및 114)을 형성하기 위한 방법 단계를 나타낼 수 있다. 일 예에서, 제 1 이면 트렌치 (113)는 얇아진 시드 기판 (111)내에 형성될 수 있고 상면 금속 전극 (130) 아래에 깔린다(underlying). 제 2 이면 트렌치 (114)는 얇아진 시드 기판 (111)내에 형성될 수 있고 상면 마이크로-트렌치 (121) 및 상면 금속 플러그 (146) 아래에 깔린다. 이 기판은 이제 얇아진 기판(112)를 표시한다. 일 특정 예에서, 이들 트렌치들 (113) 및 (114)은 DRIE(deep reactive ion etching) 프로세스들, 보쉬(Bosch) 프로세스들, 또는 유사한 것을 이용하여 형성될 수 있다. 트렌치들의 사이즈, 형상, 및 수는 음향 공진기 디바이스의 디자인에 따라 변화할 수 있다. 다양한 예들에서, 제 1 이면 트렌치는 상면 금속 전극의 형상 또는 이면 금속 전극의 형상에 유사한 트렌치 형상으로 형성될 수 있다. 제 1 이면 트렌치는 또한 상면 금속 전극 및 이면 금속 전극의 양쪽 형상과 다른 트렌치 형상으로 형성될 수 있다.
도면들 9b 및 9c는 도 9a에 설명된 방법 단계를 수행하기 위한 대안 방법을 예시하는 개략도들이다. 도면들 4d 및 4e와 마찬가지로, 이들 도면들은 다수의 음향 공진기 디바이스들을 동시에 제조하는 방법 단계를 나타낼 수 있다. 도 9b에서, 캡 구조들을 갖는 두개의 디바이스들이 다이 #1 및 다이 #2 상에, 개별적으로 도시된다. 도 9c는 각각의 이들 다이들 상에 이면 트렌치들 (113, 114)를 형성하고 한편 또한 스크라이브 라인 (115) 또는 다이싱 라인을 에칭하는 프로세스를 도시한다. 일 예에서, 스크라이브 라인 (115)의 에칭은 이면 웨이퍼 (112)를 싱귤레이트하는 옵션의 방법을 제공한다.
도 10은 본 발명의 일 예에 따른 음향 공진기 디바이스(1000)를 위한 제조 방법에 대한 단계를 예시하는 개략도이다. 이 도면은 얇아진 시드 기판 (112)의 이면 트렌치들내에 이면 금속 플러그 (147) 및 이면 금속 전극 (131)을 형성하는 방법 단계를 나타낼 수 있다. 일 예에서, 이면 금속 전극 (131)은 상면 금속 전극 (130) 아래에 깔리고 제 1 이면 트렌치 (113)내에 얇아진 기판 (112)의 하나 이상의 부분들 아래에 깔려 형성될 수 있다. 이 프로세스는 음향 공진기 디바이스내에 공진기 구조를 완성한다. 이면 금속 플러그 (147)는 상면 마이크로-트렌치 (121) 아래에 깔리고 제 2 이면 트렌치 (114)내에 얇아진 기판 (112)의 하나 이상의 부분들 아래에 깔려 형성될 수 있다. 이면 금속 플러그 (147)는 상면 금속 플러그 (146) 및 이면 금속 전극 (131)에 전기적으로 결합될 수 있다. 일 특정 예에서, 이면 금속 전극 (130)은 몰리브덴, 알루미늄, 루테늄, 또는 티타늄 재료, 또는 유사한 것 및 그것의 조합들을 포함할 수 있다. 이면 금속 플러그는 금 재료, 저 저항률(resistivity) 상호연결 금속들, 전극 금속들, 또는 유사한 것를 포함할 수 있다. 이들 층들은 앞에서 설명된 증착 방법들을 이용하여 증착될 수 있다.
도면들 11a 및 11b는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 대안 단계들을 예시하는 개략도들이다. 이들 도면들은 얇아진 시드 기판 (112) 아래에 깔린 이면 캡 구조를 본딩하는 방법들을 도시한다. 도 11a에서, 이면 캡 구조는 드라이 필름 캡 (161)이고, 이는 영구 포토-이미지가능한 드라이 필름(dry film) 예컨대 솔더(solder) 마스크, 폴리이미드, 또는 유사한 것을 포함할 수 있다. 이 캡 구조 본딩은 비용-효율적이고 신뢰할 수 있지만, 그러나 기밀 밀봉(hermetic seal)을 생성할 수 없다. 도 11b에서, 이면 캡 구조는 실리콘, 유리, 또는 다른 유사한 재료를 포함할 수 있는 기판(162)일 수 있다. 이 기판 본딩은 기밀 밀봉을 제공할 수 있지만, 그러나 더 많은 비용이 들 수 있고 추가 프로세스들을 필요로 할 수 있다. 애플리케이션에 의존하여, 이들 이면 캡 구조들 중 어느 하나는 제 1 및 제 2 이면 비아들 아래에 깔려 본딩될 수 있다.
도면들 12a 내지 12e는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다. 보다 구체적으로, 이들 도면들은 최상부 캡 구조의 블라인드 비아 인터포저 “(602)” 버전 프로세싱을 위한 추가 단계들을 설명한다. 도 12a는 최상부 캡 구조에 블라인드 비아들 (152)를 갖는 음향 공진기 디바이스 (1201)를 도시한다. 도 12b에서, 인터포저 기판 (119)은 얇아지고, 이는 얇아진 인터포저 기판 (118)을 형성하고, 블라인드 비아들 (152)을 노출시킨다. 이 얇게하는(thinning) 프로세스는 시드 기판을 얇게하기 위해 설명되는 연삭 프로세스 및 에칭 프로세스의 조합일 수 있다. 도 12c에서, 위에 덮힌 블라인드 비아들 (152)이 형성되고 블라인드 비아들 (152)에 전기적으로 결합되는 최상부 캡 본드 패드들 (160)을 생성하기 위해 RDL(redistribution layer) 프로세스 및 금속화 프로세스가 적용될 수 있다. 도 12d에 도시된 바와 같이, 최상부 캡 본드 패드들 (160) 위에 덮히고 거기에 전기적으로 결합되는 솔더 볼들 (170)을 형성하기 위해 BGA(ball grid array) 프로세스가 적용될 수 있다. 이 프로세스는 도 12e에 도시된 와이어 본딩 (171)을 위해 준비된 음향 공진기 디바이스를 남긴다.
도 13은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (1300)는 별개의 디바이스들을 생성하기 위해 싱귤레이션하도록 준비된 두개의 완전히 프로세스된 음향 공진기 디바이스들을 포함한다. 일 예에서, 다이 싱귤레이션 프로세스는 웨이퍼 다이싱 쏘(wafer dicing saw) 프로세스, 레이저 절단 싱귤레이션(laser cut singulation) 프로세스, 또는 다른 프로세스들 및 그것의 조합들을 이용하여 수행될 수 있다.
도면들 14a 내지 14g는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다. 이 방법은 도 1b에 도시된 것에 유사한 음향 공진기 디바이스를 제조하기 위한 프로세스를 예시한다. 이 예의 음향 공진기를 위한 방법은 도면들 1-5에 설명된 유사한 단계들을 거칠 수 있다. 도 14a는 이 방법이 앞에서 설명된 것과 다르다는 것을 보여준다. 여기서, 최상부 캡 구조 기판 (119)는 단지 하나 이상의 바닥 본드 패드들 (142)을 갖는 하나의 금속화(metallization) 층을 포함한다. 도 6에 비교하여, 상호 접속들이 음향 공진기 디바이스의 바닥 측상에 형성될 것이기 때문에 최상부 캡 구조에는 어떠한 비아 구조들도 없다.
도면들 14b 내지 14f는 제 1 프로세스 플로우에 설명된 것들에 유사한 방법 단계들을 도시한다. 도 14b는 여기서는 본드 패드들 (144) 및 상면 금속 플러그 (146)를 갖는 상면 금속 (145)으로 표시된 본드 패드들 (140,142) 및 상면 금속 (141)을 통하여 압전층 (120)에 최상부 캡 구조를 본딩하는 방법 단계를 나타낼 수 있다. 도 14c는 도 8에 설명된 것에 유사한 얇아진 시드 기판 (111)를 형성하는 시드 기판 (110)을 얇게 하는 방법 단계를 나타낼 수 있다. 도 14d는 도 9a에 설명된 것에 유사한 제 1 및 제 2 이면 트렌치들을 형성하는 방법 단계를 나타낼 수 있다. 도 14e는 도 10에 설명된 것에 유사한 이면 금속 플러그 (147) 및 이면 금속 전극 (131)을 형성하는 방법 단계를 나타낼 수 있다. 도 14f는 도면들 11a 및 11b에 설명된 것에 유사한 이면 캡 구조 (162)를 본딩하는 방법 단계를 나타낼 수 있다.
도 14g는 앞에서 설명된 프로세스 플로우와 다른 단계를 도시한다. 여기서, 이면 본드 패드들 (171,172, 및 173)은 이면 캡 구조 (162)내에 형성된다. 일 예에서, 이들 이면 본드 패드들 (171-173)은 다른 금속 재료들을 형성하기 위해 사용되는 것들에 유사한 마스킹, 에칭, 및 금속 증착 프로세스들을 통하여 형성될 수 있다. 와이어 본딩을 위해 음향 공진기 디바이스 (1407)를 준비하는 이들 이면 본드 패드들 (171-173)과 접촉하는 솔더 볼들 (170)을 형성하는데 BGA 프로세스가 적용될 수 있다.
도면들 15a 내지 15e는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 제조 방법에 대한 단계들을 예시하는 개략도들이다. 이 방법은 도 1b에 도시된 것에 유사한 음향 공진기 디바이스를 제조하기 위한 프로세스를 예시한다. 이 예를 위한 방법은 도면들 1-5에 설명된 유사한 단계들을 거칠 수 있다. 도 15a는 이 방법이 앞에서 설명된 것과 다르다는 것을 보여준다. 일시적 접착제 (217)의 층을 갖는 일시적 캐리어 (218)가 기판에 부착된다. 일 특정 예에서, 일시적 캐리어(temporary carrier) (218)는 유리 웨이퍼, 실리콘 웨이퍼, 또는 다른 웨이퍼 및 유사한 것을 포함할 수 있다.
도면들 15b 내지 15f는 제 1 프로세스 플로우에 설명된 것들에 유사한 방법 단계들을 도시한다. 도 15b는 도 8에 설명된 것에 유사한 얇아진 기판 (111)를 형성하는 시드 기판 (110)을 얇게 하는 방법 단계를 나타낼 수 있다. 일 특정 예에서, 시드 기판 (110)을 얇게 하는 단계는 이면 연삭 프로세스(back side grinding process) 에 이어 응력 제거 프로세스를 포함할 수 있다. 응력 제거 프로세스는 건식 에칭, 화학적 기계적 평탄화 (CMP : Chemical Mechnical Planarization), 및 어닐링 프로세스들을 포함할 수 있다.
도 15c는 도 9a에 설명된 기술들에 유사한 공유된 이면 트렌치 (113)를 형성하는 방법 단계를 나타낼 수 있다. 공유된 이면 트렌치는 상면 금속 전극 (130), 상면 마이크로-트렌치 (121) 및 상면 금속 플러그 (146) 아래에 깔려 구성된다는 것이 주된 차이이다. 일 예에서, 공유된 이면 트렌치 (113)는 사이즈, 형상 (모든 가능한 기하학적 형상들), 및 측벽 프로파일 (테이퍼(tapered) 볼록한, 테이퍼 오목한, 또는 직각)에서 변화할 수 있는 이면 공진기 공동이다. 일 특정 예에서, 공유된 이면 트렌치 (113)를 형성하는 단계는 리소-에칭(litho-etch) 프로세스를 포함할 수 있고, 이는 이면 기판 (111)의 뒤에서 앞으로의(back-to-front) 정렬 및 건식 에칭을 포함할 수 있다. 압전층 (120)은 공유된 이면 트렌치 (113)의 형성을 위한 에칭 정지층(etch stop layer)의 역할을 할 수 있다.
도 15d는 도 10에 설명된 것에 유사한 이면 금속(147) 및 이면 금속 전극 (131)을 형성하는 방법 단계를 나타낼 수 있다. 일 예에서, 이면 금속 전극 (131)을 형성하는 단계는 공유된 이면 트렌치 (113)내에 금속 재료들의 증착 및 패터닝을 포함할 수 있다. 여기서, 이면 금속 (131)은 전극 및 마이크로-비아 (121)내에서 이면 플러그/연결 금속 (147)으로서 역할을 한다. 금속의 두께, 형상, 및 유형은 공진기/필터 디자인의 기능에 따라 변화할 수 있다. 일 예로서, 이면 전극 (131) 및 비아 플러그 금속 (147)은 상이한 금속들일 수 있다. 일 특정 예에서, 이들 이면 금속들 (131,147)은 압전층 (120)의 표면상에 증착 및 패터닝되거나 또는 기판 (112)의 이면에 재 라우팅(reroute)될 수 있다. 일 예에서, 이면 금속 전극은 패터닝될 수 있어서 그것은 이면 금속 전극이 공유된 이면 트렌치의 형성동안에 생성된 시드 기판(seed substrate)의 측벽들과 접촉하지 않도록 공유된 이면 트렌치의 경계들내에 구성된다.
도 15e는 일시적 접착제(127)를 제거하기 위한 디바이스의 상면 세정 및 일시적 캐리어(218)의 디-본딩(de-bonding)에 이어 도면들 11a 및 11b에 설명된 것에 유사한 이면 캡 구조 (162)를 본딩하는 방법 단계를 나타낼 수 있다. 기술 분야에서의 통상의 기술자들은 앞에서 설명된 방법 단계들의 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
일 예에 따라, 본 발명은 음향 공진기 디바이스를 제조하기 위해 압전층을 형성하기 위한 방법을 포함한다. 보다 구체적으로, 본 방법은 음향 공진기 디바이스를 제조하는데 사용될 단결정 재료를 형성하는 단계를 포함한다. III-나이트라이드 (III-N) 결정 격자의 스트레인 상태(strain state)를 수정함으로써, 본 방법은 이 재료로 제조되는 후속 디바이스들의 음향 특성들을 조정하기 위해 단결정 재료의 압전 특성들을 변화할 수 있다. 일 특정 예에서, 스트레인된 단결정 재료를 형성하기 위한 방법은 이하의 파라미터들; 가스 상 반응물 비율들, 성장 압력, 성장 온도, 및 불순물들의 도입의 하나 또는 조합을 채용함으로써 개별 층들의 성장 상태들의 변경을 포함할 수 있다.
일 예에서, 단결정 재료는 기판 상에 에피택셜하게(epitaxially) 성장된다. 단결정 재료를 성장하기 위한 방법들은 금속-유기 화학적 기상 증착 (MOCVD), 분자 빔 에피택시 (MBE), 수소 기상 증착법 (HVPE), 원자 층 증착 (ALD), 또는 유사한 것을 포함할 수 있다. 다양한 프로세스 상태들은 단결정 재료의 압전 특성들을 바꾸기 위해서 선택적으로 변화될 수 있다. 이들 프로세스 상태들은 온도, 압력, 층 두께, 가스 상 비율들, 및 유사한 것을 포함할 수 있다. 예를 들어, 알루미늄 (Al) 및 갈륨 (Ga) 및 그것들의 합금들을 함유하는 필름들에 대한 온도 상태들은 약 800 내지 약 1500 도 섭씨 범위에 이를 수 있다. Al, Ga, 및 인듐 (In) 및 그것들의 합금들을 함유하는 필름들에 대한 온도 상태들은 약 600 내지 약 1000 도 섭씨 범위에 이를 수 있다. 다른 예에서, Al, Ga, 및 인듐 (In) 및 그것들의 합금들을 함유하는 필름들에 대한 압력 상태들은 약 1E-4 Torr 내지 약 900 Torr 범위에 이를 수 있다.
도 16는 본 발명의 일 예에 따른 단일-결정 압전층을 제조하기 위한 방법을 예시하는 흐름도이다. 이하의 단계들은 단지 예들이고 본 출원에 청구항들의 범위를 과도하게 제한하지 않아야 한다. 관련 기술 분야에서의 통상의 기술자들은 많은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다. 예를 들어, 본 발명의 범위내에 고려되는 이하에 개요 서술된 다양한 단계들은 추가, 제거, 변경된, 재배열, 반복, 및/또는 중첩될 수 있다. 전형적인 성장 프로세스 (1600)가 다음과 같이 개요 서술될 수 있다:
1601. 요구된 재료 특성들 및 결정학상(crystallographic) 방위를 갖는 기판을 제공한다. 실리콘, 사파이어, 실리콘 카바이드, 갈륨 나이트라이드 (GaN) 또는 알루미늄 나이트라이드 (AlN) 벌크 기판들과 같은 다양한 기판들이 음향 공진기 디바이스를 제조하기 위해 본 발명에서 사용될 수 있다. 본 방법은 GaN 템플레이트(template)들, AlN 템플레이트들, 및 AlxGa1-xN 템플레이트들 (여기서 x 는 0.0 과 1.0 사이에서 변화한다)을 또한 사용할 수 있다. 이들 기판들 및 템플레이트들은 극성(polar), 비-극성(non-polar), 또는 반-극성(semi-polar) 결정학상 방위들을 가질 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다;
1602. 선택된 기판을 제어되는 환경내의 프로세싱 챔버내에 놓는다;
1603. 기판을 제 1 희망하는 온도로 가열한다. 축소된 압력 5-800 mbar에서 기판들은 기판의 노출된 표면을 세정하기 위한 수단으로 정제된 수소 가스의 존재하에서 1100° - 1350℃의 범위에 온도로 가열된다. 정제된 수소 흐름은 5-30 slpm (분당 표준 리터)의 범위에 있어야 하고 가스의 순도는 99.9995%를 초과하여야 한다;
1604. 기판을 제 2 희망하는 온도로 냉각한다. 높아진 온도에서 10-15 분 후에, 기판 표면 온도는 100-200 만큼 축소되어야 하고; 여기서 온도 오프셋은 기판 재료의 선택 및 성장될 최초 층 (도면들 18a-c에 하이라이트된)에 의해 결정된다;
1605. 반응물들을 프로세싱 챔버에 도입한다. 온도가 안정화된 후에 그룹 III 및 그룹 V 반응물들이 프로세싱 챔버로 도입되고 성장이 개시된다.
1606. 핵형성 층(nucleation layer)의 완료시에 성장 챔버 압력들, 온도, 및 가스 상 혼합물들은 음향 공진기 디바이스를 위한 관심의 층 또는 복수개의 층들을 성장시키기 위해 추가로 조절될 수 있다.
1607. 필름 성장 프로세스 동안에 재료의 스트레인-상태는 성장 상태들의 변경을 통하여 또는 필름으로의 불순물들의 제어되는 도입에 의해 (필름의 전기적 특성들의 수정에 반대되는) 변조될 수 있다.
1608. 성장 프로세스의 종결에서 그룹 III 반응물들은 턴 오프되고 필름 또는 필름들이 되돌아 가는 온도는 실온으로 제어 가능하게 낮추어진다. 열 변화의 비율은 성장된 층 또는 복수개의 층들에 의존하고 선호되는 실시예에서 필름들을 포함하는 기판의 물리적 파라미터들이 후속 프로세싱에 적절하도록 균형을 유지한다.
단계 (1605)에 관련하여, 단결정 재료의 성장은 몇몇의 성장 방법들 : 핵형성 층 상에 직접 성장, 초격자 핵형성 층상에 성장, 및 점이 천이(graded transition) 핵형성 층상에 성장중 하나를 통하여 기판상에 개시될 수 있다. 단결정 재료의 성장은 호모에피택셜(homoepitaxial), 헤테로에피택셜(heteroepitaxial), 또는 유사한 것일 수 있다. 호모에피택셜 방법에서, 고유의 III-N 단결정 기판 재료에 경우에 기판과 필름들 사이에 최소 격자 불일치(minimal lattice mismatch)가 있다. 헤테로에피택셜 방법에서, 평면내(in-plane) 격자 파라미터들에 기초하여 기판과 필름 사이에 가변 격자 불일치(variable lattice mismatch)가 있다. 이하에서 추가 설명될, 핵형성 층에 층들의 조합들은 이어 형성되는 구조에 스트레인을 엔지니어링(engineer)하기 위해 사용될 수 있다.
단계 (1606)에 관련하여, 다양한 기판들이 음향 공진기 디바이스를 제조하기 위해 본 발명에서 사용될 수 있다. 다양한 결정학상 방위들의 실리콘 기판들이 사용될 수 있다. 추가적으로, 본 방법은 사파이어 기판들, 실리콘 카바이드 기판들, 갈륨 나이트라이드 (GaN) 벌크 기판들 또는 알루미늄 나이트라이드 (AlN) 벌크 기판들을 사용할 수 있다. 본 방법은 GaN 템플레이트(template)들, AlN 템플레이트들, 및 AlxGa1-xN 템플레이트들 (여기서 x 는 0.0 과 1.0 사이에서 변화한다)을 또한 사용할 수 있다. 이들 기판들 및 템플레이트들은 극성, 비-극성, 또는 반-극성 결정학상 방위들을 가질 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
일 예에서, 본 방법은 핵형성 및 압전층(들)의 재료 특성들을 제어하는 단계를 수반할 수 있다. 일 특정 예에서, 이들 층들은 평방 센티미터 당 1E+11 보다 작은 결함들의 결함 밀도(defect density)들로 구성된 단결정 재료들을 포함할 수 있다. 단결정 재료들은 이하의: AlN, AlGaN, GaN, InN, InGaN, AlInN, AlInGaN, 및 BN 중 적어도 하나에서 선택된 합금들을 포함할 수 있다. 다양한 예들에서, 앞서 언급한 재료들의 임의의 단일 또는 조합이 디바이스 구조의 핵형성 층(들) 및/또는 압전층(들)에 대하여 사용될 수 있다.
일 예에 따라, 본 방법은 성장 파라미터 변경을 통하여 스트레인을 엔지니어링하는 단계(engineering)를 수반한다. 보다 구체적으로, 방법은 필름 성장 상태들의 변경을 통하여 압전층에 에피택셜 필름들의 압전 특성들을 바꾸는 단계를 수반할 수 있다 (이들 수정예들은 압전 필름들의 사운드 속도를 통하여 측정되고 비교될 수 있다). 이들 성장 상태들은 핵형성 상태들 및 압전층 상태들을 포함할 수 있다. 핵형성 상태들은 온도, 두께, 성장율, 가스 상 비율(V/III), 및 유사한 것을 포함할 수 있다. 압전층 상태들은 핵형성 층으로부터 전환 상태들, 성장 온도, 층 두께, 성장율, 가스 상 비율(V/III), 성장 후(post growth) 어닐링, 및 유사한 것을 포함할 수 있다. 본 방법의 추가 세부사항들이 이하에서 찾아질 수 있다.
도 17은 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하는 결과들을 예시하는 간략화된 그래프이다. 이 그래프는 주어진 알루미늄 몰 분율에 대한 재료의 음향 특성들을 조정하는 능력을 강조한다. 상기의 단계(1607)에 관련하여, 이런 가요성은 개별 애플리케이션에 대하여 조정될 결과적인 공진기 특성들을 허용한다. 도시된 바와 같이, 그래프 (1700)는 알루미늄 몰 분율 (%)에 대한 음향 속도 (m/s)의 플랏(plot)을 도시한다. 마킹된 영역 (1720)은 0.4의 알루미늄 몰 분율에서 압전층의 스트레인 엔지니어링을 통하여 음향 속도의 변조를 보여준다. 여기서, 데이터는 약 7,500 m/s 내지 약 9,500 m/s 범위에 음향 속도에서 변화하는 것을 보여주고, 이는 8,500 m/s의 최초 음향 속도쯤에서 약 ±1,000 m/s이다. 따라서, 성장 파라미터들의 변경은 음향 공진기 디바이스의 음향 속도에 대한 큰 조정가능한 범위를 제공한다. 이 조정가능한 범위는 0 내지 1.0 의 모든 알루미늄 몰분율들에 대하여 존재할 것이고 이 기술의 다른 통상의 실시예들에서는 존재하지 않는 자유도이다.
본 방법은 또한 불순물 도입, 또는 도핑에 의한 스트레인 엔지니어링을 포함하여, 음파가 재료를 통과하여 전파할 속도에 영향을 미친다. 상기의 단계 (1607)에 관련하여, 불순물들은 구체적으로 음파가 재료를 통과하여 전파할 속도를 증강시키기 위해 도입될 수 있다. 일 예에서, 불순물 종들은 이하의: 실리콘 (Si), 마그네슘 (Mg), 탄소 (C), 산소 (O), 어븀 (Er), 루비듐 (Rb), 스트론튬 (Sr), 스칸듐 (Sc), 베릴륨(Be), 몰리브덴 (Mo), 지르코늄 (Zr), 하프늄 (Hf), 및 바나듐 (Va)을 포함할 수 있지만, 이에 제한되지는 않는다. 실리콘, 마그네슘, 탄소, 및 산소는 성장 프로세스에서 사용되는 흔한 불순물들이고, 이들의 농도는 상이한 압전 특성들을 위해 변화될 수 있다. 일 특정 예에서, 불순물 농도는 입방 센티미터 당 약 1E+10 내지 약 1E+21 범위에 이른다. 불순물들을 전달하기 위해 사용되는 불순물 소스는 소스 가스일 수 있고, 이는 유기금속(organometallic) 소스로부터 전달된 후에, 또는 다른 유사 프로세스들을 통하여 직접 전달될 수 있다.
본 방법은 또한 합금 원소들의 도입에 의한 스트레인 엔지니어링을 포함하여, 음파가 재료를 통과하여 전파할 속도에 영향을 미친다. 상기의 단계 (1607)에 관련하여, 합금 원소들은 구체적으로 음파가 재료를 통과하여 전파할 속도를 증강시키기 위해 도입될 수 있다. 일 예에서, 합금 원소들은 이하의: 마그네슘 (Mg), 어븀 (Er), 루비듐 (Rb), 스트론튬 (Sr), 스칸듐 (Sc), 티타늄 (Ti), 지르코늄 (Zr), 하프늄 (Hf), 바나듐 (Va), 나이오븀 (Nb), 및 탄탈륨 (Ta)를 포함할 수 있다, 하지만, 이것에 한정되지는 않는다. 특정 실시예에서, 합금 원소 (3원 합금들) 또는 원소들 (4원 합금들의 경우에) 농도는 약 0.01% 내지 약 50% 범위에 이른다. 상기에 유사하게, 합금 원소들을 전달하기 위해 사용되는 합금 소스는 소스 가스(source gas)일 수 있고, 이는 유기금속 소스로부터 전달된 후에, 또는 다른 유사 프로세스들을 통하여 직접 전달될 수 있다. 기술 분야에서의 통상의 기술자들은 이들 프로세스들에 대한 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
불순물들을 도입하기 위한 방법들은 필름 성장 (인-시추(in-situ)) 동안 또는 성장 후(post growth) (엑스-시추(ex-situ)) 일 수 있다. 필름 성장동안에, 불순물 도입을 위한 방법들은 벌크 도핑, 델타 도핑, 동시-도핑(co-doping), 및 유사한 것을 포함할 수 있다. 벌크 도핑을 위하여, 흐름 프로세스(flow process)는 균일한 도펀트 통합을 생성하기 위해 사용될 수 있다. 델타 도핑을 위하여, 흐름 프로세스들은 국부적인 더 높은 도펀트 통합의 면적들을 위해 의도적으로 조작될 수 있다. 동시-도핑을 위하여, 임의의 도핑 방법들은 필름 성장 프로세스 동안에 하나 초과의 도펀트 종들을 동시에 도입하기 위해 사용될 수 있다. 필름 성장에 이후에, 불순물 도입을 위한 방법들은 이온 주입, 화학적 처리, 표면 수정, 확산, 동시-도핑, 또는 유사한 것을 포함할 수 있다. 관련 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 18a는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하기 위한 방법을 예시하는 개략도이다. 디바이스 (1801)에 도시된 바와 같이, 압전층 (1831), 또는 필름이 기판 (1810)의 표면 영역 위에 덮혀(overlying) 형성된 핵형성 층 (1821)상에 바로 성장된다. 핵형성 층 (1821)은 압전층 (1831)과 동일하거나 상이한 원자 조성물일 수 있다. 여기서, 압전 필름 (1831)은 앞에서 설명된 것처럼 성장 (인-시추)동안 또는 성장 후 (엑스-시추) 하나 이상의 종들에 의해 도핑될 수 있다.
도 18b는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하기 위한 방법을 예시하는 개략도이다. 디바이스 (1802)에 도시된 바와 같이, 압전층 (1832), 또는 필름이 교번하는 조성물 및 두께를 갖는 층으로 구성된 초격자 핵형성 층 (1822)상에 성장된다. 이 초격자 층 (1822)은 기판 (1810)의 표면 영역 위에 덮혀 형성된다. 디바이스 (1802)의 스트레인은 구성 층들의 원자 조성물들을 바꿈으로써 또는 초격자 층 (1822)에 주기들 또는 교번하는 쌍들의 수에 의해 조절될 수 있다. 유사하게, 압전 필름 (1832)은 앞에서 설명된 것처럼 성장 (인-시추)동안 또는 성장 후 (엑스-시추) 하나 이상의 종들에 의해 도핑될 수 있다.
도 18c는 본 발명의 일 예에 따른 음향 공진기 디바이스를 위한 압전층을 형성하기 위한 방법을 예시하는 개략도이다. 디바이스 (1803)에 도시된 바와 같이, 압전층 (1833), 또는 필름은 점이 천이 층들 (1823)상에 성장된다. 기판 (1810)의 표면 영역 위에 덮혀 형성된 이들 천이 층들 (1823)은 디바이스(1803)의 스트레인을 조절하기 위해 사용될 수 있다. 일 예에서, 합금 (2원또는 3원) 함량은 성장 방향에서 성장의 함수로의 축소될 수 있다. 이 함수는 선형, 계단형(step-wise), 또는 연속적일 수 있다. 유사하게, 압전 필름 (1833)은 앞에서 설명된 것처럼 성장 (인-시추)동안 또는 성장 후 (엑스-시추) 하나 이상의 종들에 의해 도핑될 수 있다.
일 예에서, 본 발명은 음향 공진기 디바이스를 제조하기 위한 방법을 제공한다. 앞에서 설명된 것처럼, 방법은 압전 필름 성장 프로세스 예컨대 핵형성 층 상에 직접 성장, 초격자 핵형성 층 상에 성장, 또는 점이 천이 핵형성 층들 상에 성장을 포함할 수 있다. 각각의 프로세스는 이하의: AlN, AlGaN, GaN, InN, InGaN, AlInN, AlInGaN, 및 BN 중 적어도 하나를 갖는 재료들 또는 합금들을 포함하지만, 그러나 이에 한정되지 않는 핵형성 층을 사용할 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
사전 존재하는 기술들에 비하여 본 발명을 이용하여 하나 이상의 장점들이 달성된다. 특별히, 본 디바이스는 관련 기술 분야에서의 통상의 기술자에 따른 통상의 재료들 및/또는 방법들을 이용하면서 동시에 비교적 간단하고 비용 효율이 높은 방식으로 제조될 수 있다. 본 방법을 이용하여, 웨이퍼 레벨 프로세스를 통하여 다수의 3차원 적층 방법들을 이용한 신뢰할 수 있는 단결정 기반 음향 공진기을 생성할 수 있다. 이런 필터들 또는 공진기들은 RF 필터 디바이스, RF 필터 시스템, 또는 유사한 것으로 구현될 수 있다. 실시예에 따라, 하나 이상의 이들 장점들이 달성될 수 있다. 물론, 다른 변경예들, 수정예들, 및 대안들이 있다.
일 예에서, 본 발명은 생산 상태들, 프로세스 상태들, 및 주변 구조 변경(perimeter structure modification)들에 대한 변경들을 이용하여 음향 공진기 또는 필터 디바이스들 제조의 방법들을 제공한다. 도면들 19a-44d는 디바이스 부분들의 평면도, 단면도들, 및 클로즈-업의 조합을 이용하여 이런 제조 방법들의 특정 예들을 설명한다. 이들 도면들 전체에서, 다른식으로 언급되지 않으면, 디바이스 엘리먼트들의 임의 넘버링의 처음 두 자리는 도면 번호에 대응하고, 한편 디바이스 엘리먼트들의 임의 넘버링의 마지막 두 자리 또는 하이픈으로 연결된 번호에 의해 추가된 마지막 두자리는 모든 도면들에 걸쳐 동일한 디바이스 엘리먼트에 대응한다(예를 들어, (1920, 2020, 및 2120) 전부는 압전층을 지칭한다).
도 19a는 본 발명의 일 예에 따른 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (1901)는 기판 (1910) 위에 덮혀 형성된 압전층 (1920) (미도시)의 대향 측면들 상에 형성된 상면 금속 전극 (1930) 및 이면 금속 전극 (1970)을 도시한다. 여기서, 압전층 (1920)은 디바이스 엘리먼트들의 상대적 공간 위치들을 도시하기 위해 생략되지만, 그러나 압전층 (1920)은 아래 도 19b에 도시된다. 상면 금속 전극 (1930) 및 이면 금속 전극 (1970) 둘 모두는 하나 이상의 금속 패드들 (1950)에 전기적으로 결합된다. 이면 금속 전극 (1970)은 또한 상면 마이크로-트렌치 (1940)내에 형성된 상면 금속 플러그 (1941)에 전기적으로 결합된다. 상면 금속 플러그 (1941)는 금속 패드 (1950)에 전기적으로 결합된다. 또한, 이면 금속 전극 (1970)은 기판 (1910)의 공동 측벽들 또는 이면 트렌치 에지들 (1911)을 정의하는 이면 트렌치 (1960)내에 형성된다.
도 19b는 도 19a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 여기서, 디바이스 (1902)는 도 19a에 A-A' 점선을 따라서 디바이스 (1901)에 대하여 설명된 동일한 디바이스 엘리먼트들을 도시한다. 도면들 19a 및 19b는 도면들 20a-27b에 제공된 수정예들을 논의하기 위한 토대를 제공한다. 추가 언급한 바와 같이, 위에서 그리고 아래에서 설명되는 임의의 공진기/필터들 은 온도 보상 층 또는 임의의 다른 유전체 층의 역할을 할 수 있는 다양한 패시베이션 층들을 수용할 수 있다. 이들 패시베이션 층(passivation layer)들은 상면 및 이면 전극들을 보호하기 위해 사용될 수 있고 실리콘 재료들, 유전체 재료들, 및 유사한 것 및 그것의 조합들을 포함할 수 있다.
일 예에서, 본 발명은 상면 금속 전극 (1930), 이면 금속 전극 (1970), 및 이면 트렌치/공동 (1960)을 특성화하기 위해 상이한 기하학적 형상들 또는 기하학적 면적들을 이용하는 방법을 제공한다. 이들 디바이스 엘리먼트들의 형상들의 제약을 포기하는 잠재적인 장점들은 스퓨리어스(spurious) 모드들의 영향들을 축소시킬 수 있고 프로세스상에서 제약들을 완하시킬 수 있다.
상이한 기하학적 구조는 전극들 (1930, 1970) 및 이면 트렌치 (1960)를 패터닝하기 위해 마스크들을 이용하여 상이한 기하학적 면적들을 생성함으로써 형성될 수 있다. 이들 기하학적 면적들은 포토-리소그래피, 에칭, 및 다른 유사한 프로세스들 또는 그것의 조합들을 이용하여 패터닝될 수 있다. 기하학적 면적들은 ‘n' 변들을 갖는 다각형 형상들을 포함할 수 있고, 여기서‘n'은 3보다 더 크거나 같다. 특정 예들에서, 이들 기하학적 면적들은 평행 또는 비평행 에지들을 갖는 비스듬한(skewed) 또는 정 다각형 형상들을 포함할 수 있다. 다른 경우들에서, 기하학적 면적은 원, 타원, 비-다각형 형상들, 비스듬한 비-다각형 형상들, 또는 불규칙적인 형상들, 또는 임의의 다른 형상을 포함할 수 있다. 이들 기하학적 면적들은 유사한 또는 비유사한 형상들을 갖는 것으로 특성화될 수 있다. 일 특정 예에서, 상면 금속 전극 및 이면 금속 전극의 기하학적 면적들간의 면적 비율은 약 0.1 내지 약 10 사이일 수 있다. 또한, 전극들 및 이면 트렌치의 기하학적 면적들은 공간적으로 구성될 수 있어서 상면 금속 전극 또는 이면 금속 전극 중 어느 하나와 임의의 이면 트렌치 에지들 사이의 거리는 약 0.1 마이크론과 약 500 마이크론 사이이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 20a는 본 발명의 일 예에 따른 전극 경계(electrode boundary) 변경들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2001)는 변경된 에지들로 형성된 상면 금속 전극 (2031)을 도시한다. 이 방법은 이면 또는 상면 및 이면 둘 모두에 또한 적용될 수 있다.
도면들 20b 내지 20g는 본 발명의 일 예에 따른 전극 경계 변경들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다. 각각의 이하의 예들에서, 전극 에지 프로파일 변경들은 상면 전극, 이면 전극, 또는 둘 모두 상에 구현될 수 있다. 상이한 금속 및 유전체 재료들이 또한 사용될 수 있다. 도 20b에서, 디바이스 (2002)는 “하향 경사(down slope)” 에지들을 갖는 최상부(top) 금속 전극 (2031) 및 바닥(bottom) 금속 전극 (2071)을 포함한다. 도 20c에서, 디바이스 (2003)는 “상향 경사(up slope)” 에지들을 갖는 최상부 금속 전극 (2033) 및 바닥 금속 전극 (2073)을 포함한다. 도 20d에서, 디바이스 (2004)는 “상향 및 하향 경사(up and down slope)” 에지들을 갖는 최상부 금속 전극 (2034) 및 바닥 금속 전극 (2074)을 포함한다. 도 20e에서, 디바이스 (2005)는 “상향-플랫 및 하향 경사(up-flat and down slope)” 에지들을 갖는 최상부 금속 전극 (2035) 및 바닥 금속 전극 (2075)을 포함한다. 도 20f에서, 디바이스 (2006)는 “계단 스텝들(stair steps)” 에지들을 갖는 최상부 금속 전극 (2036) 및 바닥 금속 전극 (2076)을 포함한다. 도 20g에서, 디바이스 (2007)는 “원형(circular)” 에지들을 갖는 최상부 금속 전극 (2037) 및 바닥 금속 전극 (2077)을 포함한다. 다른 형상들이 또한 사용될 수 있다.
일 예에서, 본 발명은 공진기 또는 전극 경계에서 희망하는 프로파일을 달성하기 위해 애딕티브(additive) 및/또는 서브트랙티브(subtractive) 프로세스들을 이용하여 금속 전극들 또는 공진기의 에지들을 변경하는 방법을 제공한다. 이것은 경계에서 이온 주입 프로세스를 이용하여 및 공진기 경계 테이퍼링(tapering) 또는 형상화(shaping)을 또한 포함할 수 있다. 또한, 본 방법은 최상부와 하단 전극들 사이에 희망하는 관련 인클로저(enclosure)를 구성하는 단계 및 기판과 전극들 사이에 희망하는 갭을 형성하는 단계를 포함할 수 있다. 이들 방법들은 희망하는 모드의 에너지 함량을 증가시키기 위해 단독으로 또는 조합하여 사용될 수 있다. 다른 잠재적인 장점들은 다른 것들 중에서도, 스퓨리어스 모드 억제의 다른 방법들에 비하여 마스크 레벨들 또는 프로세스 단계들에 능력 및 증가된 Q 인자를 포함한다.
일 특정 예에서, 표준 다수의 포토리소그래피 및 에칭 프로세스들이 전극 금속들에 패턴들을 실현하기 위해 사용될 수 있다. 전극 에지의 희망하는 프로파일은 전통적인 층 증착 방법(deposition method) 들, 예컨대 스퍼터링, 증발, 프린팅, 또는 유사한 것 다음에 희망하는 비율 또는 제거 속도를 달성하기 위한 특정 마스크 층들을 갖는 전극 금속의 건식 또는 습식 에칭을 이용하여 빌드업(build-up) 또는 제거 접근법들에 의해 달성될 수 있다. 이런 프로세스들은 테이퍼된 프로파일들을 형성하기 위해 사용될 수 있다. 애딕티브 프로세스들은 증착 프로세스들 예컨대 패터닝된 스퍼터링, 패터닝된 기화(evaporation) 및 리프트-오프(lift-off), 기화 및 패터닝된 에칭, 및 유사한 것을 수반할 수 있다. 서브트랙티브 프로세스들은 블랭킷 증착(blanket deposition) 및 마스크 없는(non-masked) 제거 프로세스들 예컨대 레이저 절제(laser ablation), 이온 빔 밀링(ion beam milling), 또는 유사한 것을 수반하고 포함할 수 있다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 21a는 본 발명의 일 예에 따른 홈이 진 전극 경계 변경들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도 21a는 도 20a에 유사하고 추가된 홈이 전극 에지들 부근에 형성된다. 각각의 이하의 예들에서, 수정예들이 상면 전극, 이면 전극, 또는 둘 모두 상에 구현될 수 있다. 상이한 금속 및 유전체 재료들이 또한 사용될 수 있다.
도면들 21b 내지 21g는 본 발명의 일 예에 따른 홈이 진 전극 경계 변경들을 갖는 음향 공진기 디바이스들의 부분들의 단면도들을 예시하는 개략도들이다. 각각의 이들 도면들은 에지 근처에 형성된 추가 홈을 갖는 동일한 에지 프로파일 형상들을 도시한다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 22a는 본 발명의 일 예에 따른 홈이 진 압전층들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2201)의 압전층 (2221)은 전극 에지들에 또는 그 부근에 형성된 홈들을 갖는다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도면들 22b 내지 22c는 본 발명의 일 예에 따른 홈이 진 압전층들을 갖는 음향 공진기 디바이스들 부분들의 단면도들을 예시하는 개략도들이다. 도 22b는 압전층 (2222)의 상면 및 이면 양쪽상에 형성된 단일 홈들을 갖는 일 예를 도시한다. 도 22c는 압전층 (2223)의 상면 및 이면 양쪽상에 형성된 더블 홈들을 갖는 일 예를 도시한다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 23a는 본 발명의 일 예에 따른 홈이 진 압전 서브-표면층을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2301)의 상면 금속 전극 (2331)이 압전층 (2321)내의 홈 또는 공동내에 형성된다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도면들 23b 내지 23c는 본 발명의 일 예에 따른 홈이 진 서브-표면층들을 갖는 음향 공진기 디바이스들 부분들의 단면도들을 예시하는 개략도들이다. 도 23b는 압전층 (2322)의 상면 및 이면 양쪽 홈들을 갖는 일 예를 도시한다. 도 23c는 상면 및 이면 홈들의 에지 부근에 각각의 측면상에 형성된 상면 및 이면 홈들 및 추가 홈의 조합을 도시한다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 24a는 본 발명의 일 예에 따른 전극 에지 보더 재료(electrode edge border material)들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2401)는 최상부 금속 전극 (2430) 부근에 또는 인접하여 형성된 에지 보더 재료들 (2441)의 사용을 도시한다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도면들 24b 내지 24e는 본 발명의 일 예에 따른 전극 에지 보더 재료들을 갖는 음향 공진기 디바이스들 부분들의 단면도들을 예시하는 개략도들이다. 도 24b는 상면 및 이면 에지 보더 재료들 (2441)를 사용하는 일 예를 도시한다. 도 24c는 에지 보더 재료 (2441)에 인접한 전극 (2433)에 홈들을 추가적으로 형성한 일 예를 도시한다. 도 24d는 전극에 중첩하는 에지 보더 재료들 (2444)의 일 예를 도시한다. 도 24e는 단지 이면 전극 에지 보더 재료들 (2441)를 사용하는 일 예를 도시한다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도면들 25a 내지 25d는 본 발명의 일 예에 따른 이온 주입 프로세스를 겪는 음향 공진기 디바이스들 부분들의 단면도들을 예시하는 개략도들이다. 앞에서 설명된 것처럼, 이온 주입 프로세스들이 희망하는 모드의 에너지 함량(energy content)을 증가시키기 위해 사용될 수 있다. 마스크 이온 주입은 마스크 재료를 증착하는 단계 및 포트리소그래피 및 에칭 단계들을 통하여 해당 재료의 일부를 선택적으로 제거하는 단계를 수반한다. 특정 종들의 이온 주입이 희망하는 k2 를 위해 필요한 희망하는 압전 계수 파라미터 값들을 달성하기 위해 사용될 수 있다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도 25a는 이온 주입 프로세스가 최상부 금속 전극의 형성 전에 사용되는 일 예를 도시한다. 도 25b는 이온 주입 프로세스가 최상부 금속 전극의 형성 후에 사용되는 일 예를 도시한다. 도 25c는 이온 주입 프로세스가 이면 금속 전극의 형성 전에 사용되는 일 예를 도시하고 한편 도 25d는 이온 주입 프로세스가 이면 금속 전극의 형성 후에 사용되는 일 예를 도시한다. 나중의 두개의 도면들에서, 디바이스는 일시적 접착제 (2580)를 이용함으로써 일시적 캐리어 (2590) 상에 마운트된다. 일 특정 예에서, 마스크 이온 주입 프로세스는 중심 공진기 면적 바깥쪽에 500um 에 의해 특징지어지는 존(zone)에 경계지어질 수 있다. 이 주입 프로세스는 또한 입방 센티미터 당 1E+14과 1E+20 사이 이온 도즈량에 의해 특징지어질 수 있다. 또한, 이 프로세스는 이하의 종들: H, He, B, C, O, Fe, Mo, Ta, W, 또는 다른 전이 금속 중 하나 이상 또는 그것의 조합들을 사용할 수 있다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 26a는 본 발명의 일 예에 따른 공간 변경들을 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2601)는 상면 금속 전극에 비하여 이면 금속 전극의 공동 측벽 또는 이면 트렌치 측벽 갭 및 인클로저 거리의 특정 치수를 다룬다. 이들 수정예들은 잠재적으로 모든 공진기 성능 메트릭들을 개선시킬 수 있다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도면들 26b 내지 26e는 본 발명의 일 예에 따른 공간 변경들을 갖는 음향 공진기 디바이스들 부분들의 단면도들을 예시하는 개략도들이다. 도 26b는 x1의 측벽 갭 거리를 갖는 디바이스 (2602)를 형성하는 단계의 일 예를 도시한다. 일 특정 예에서, 거리 x1는 약 0.1um 내지 약 500um 범위에 이를 수 있다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 26c는 앞에서 설명된 전극 에지 프로파일 형상화를 갖는 측벽 갭의 조합을 도시한다. 도 26d는 이면 컨택 또는 금속 전극 (2670)이 x2의 중첩 거리(overlap distance) 만큼 상면 컨택 또는 금속 전극 (2630)보다 더 크게 디바이스 (2604)를 형성하는 단계의 일 예를 도시한다. 일 특정 예에서, 거리 x2는 약 0.1um 내지 약 500um 범위에 이를 수 있다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 27a는 본 발명의 일 예에 따른 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도 19a에 유사한 이 도면은 시리즈 공진기(series resonator)로 간주되고 아래의 도 27b에 대한 비교예로서 사용된다.
도 27b는 본 발명의 일 예에 따른 주파수 오프셋 구조(frequency offset structure)를 갖는 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2702)는 상면 금속 전극 (2730), 이면 금속 전극 (2770), 또는 둘 모두에 전기적으로 결합된 추가 주파수 오프셋 구조 전극 층들을 형성하는 단계를 포함한다. 이것은 션트 공진기(shunt resonator)를 형성한다. 일 특정 예에서, 주파수 오프셋 구조 층들은 금속들 및 재료들 예컨대 Mo, Al, W, Ru, AlN, SiN, 또는 SiO2를 포함할 수 있다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 28a는 본 발명의 일 예에 따른 다수의 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2801)는 세개의 별개의 공진기들을 포함하고, 각각은 상면 (2831, 2832, 2833) 및 이면 (2871, 2872, 2873) 금속 전극들을 갖는다. 각각의 상면 금속 전극들은 이면 트렌치 (2860)으로부터 쭉 연장되는 금속 패드 (2850)에 결합되고 모든 상면 금속 전극들은 다른 금속 패드 (2850)에 의해 함께 결합된다.
도 28b는 도 28a에 도시된 다수의 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 29a는 본 발명의 일 예에 따른 다수의 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (2901)는 동일한 세개의 별개의 공진기들을 포함하고, 각각은 상면 (2931, 2932, 2933) 및 이면 (2971, 2972, 2973) 금속 전극들을 갖는다. 여기서, 각각의 상면 금속 전극들은 이면 공동 영역내의 비아들 (2951)에 전기적으로 결합된 금속 패드들 (2950)에 전기적으로 결합된다.
도 29b는 도 29a에 도시된 다수의 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 30a는 본 발명의 일 예에 따른 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도 30a는 상면 금속 전극 (3030)과 금속 패드들 (3050) 중 하나 사이에 그리고 이면 금속 전극 (3070)과 금속 패드들 (3050) 중 다른 하나 사이에 연결들이 공간적으로 동일한 수평 평면내에 구성되지 않는다는 것을 제외하고는 도 19a에 유사하다. 상면 연결 (TC : topside connection)은 영역 (3039)에 의해 마킹되고, 한편 이면 연결 (BC : backside connection)은 영역 (3079)에 의해 마킹된다. 비록 이 도면은 이 특정 오프셋 구성을 도시하지만, 본 발명은 TC 및 BC 연결 영역들이 개별적으로 상면 및 이면 금속 전극들의 임의의 에지를 따라서 구성될 수 있다는 것을 고려한다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도 30b는 도 30a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 점선은 TC 영역 근처에 단면을 도시하는 디바이스 부분 (3002) (도 30a에 부분 (3039)에 의해 정의된), 및 BC 영역 근처에 단면을 도시하는 디바이스 부분 (3003) (도 30a에 부분 (3040)에 의해 정의된)을 분리시킨다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
일 예에서, 본 발명은 상면, 이면, 또는 둘 모두 상에 다양한 구조상 구성들에 의해 공진기 Q 인자를 개선시키기 위한 몇몇 방법들을 제공한다. 언급한 바와 같이, 공진기의 중심 면적은 상면 전극, 압전층, 및 이면 전극의 샌드위치로 구성된 공진기의 면적으로 정의되고, 여기서 상면 및 이면 전극은 변경이 없다. 이것은 공진기의 에지들을 제외하고 공진기의 중심 부분의 면적이 균일하다는 것을 의미하고 여기서 주변(perimeter)은 본 발명의 예들에 따른 여러 가지 방식들로 변경될 수 있다. 추가적으로, 압전층은 단결정 또는 다결정질 압전층들을 지칭할 수 있다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도 31a는 본 발명의 일 예에 따른 상면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 31a은 도 30b에 유사하고 상면 금속 전극의 부근 및 상면 금속 전극 주변의 바깥쪽에 최상부 압전 표면 영역의 일부 위에 놓인 상면 필라 구조(topside pillar structure) (3131)를 형성하는 것을 추가한다.
일 예에서, 임의의 필라 구조 또는 필라를 갖는 상면 필라 구조 (3131)는 금속 재료, 유전체 재료, 또는 그것의 조합을 포함할 수 있다. 이 필라 구조 (3131)는 공간적으로 상면 금속 전극의 주변 근방에 구성될 수 있고 연속적인 필라 구조일 수 있거나 또는 하나 이상의 비-연속적인 필라들로 구성될 수 있다. 일 특정 예에서, 상면 필라 구조는 TC 영역 또는 BC 영역의 부근에 갭 영역을 포함한다. 이 경우에서, 상면 필라 구조는 금속 재료를 포함한다. 또한, 하나 이상의 옵션의 이면 필라들 (3179)은 바닥 압전 표면 영역의 일부에 아래에 놓인 형성될 수 있다. 디바이스 (3101)는 상면 전극 표면 영역의 위에 놓인 하나 이상의 조합 전극 필라들을 또한 포함할 수 있다. 조합 전극 필라들은 유전체 필라 (3134) 위에 형성된 금속 필라 (3133)를 포함한다. 디바이스 (3101)는 상면 금속 전극 주변 및 상면 전극 표면 영역 일부 위에 형성된 상면 전극 필라 구조를 또한 포함할 수 있다. 상면 전극 필라 구조는 실질적으로 상면 전극 주변을 따라서 공간적으로 구성될 수 있고 또한 연속적인 필라 구조일 수 있거나 또는 하나 이상의 비-연속적인 필라들을 포함할 수 있다. 이 상면 필라 구조는 TC 영역 또는 BC 영역의 부근에 갭 영역을 또한 포함한다.
도 31b는 도 31a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 이 도면은 마이크로-트렌치 (3140) 근처에 BC 근처 영역을 도시한다. 도시된 바와 같이, 디바이스 (3102)는 상면 필라 구조 (3131) 및 상면 전극 필라 구조 (3132)를 포함한다.
도 31c는 본 발명의 일 예에 따른 이면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3103)는 도 31b에 디바이스 (3102)의 이면 구성이다. 여기서, 이면 금속 필라 구조 (3171) 및 이면 금속 전극 필라 구조 (3172)는 옵션의 상면 필라 (3139)와 함께 TC영역 근처에 형성된다.
도 31d는 도 31c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3104)는 도 31a에 디바이스 (3101)의 이면 구성이다. 여기서, 이면 금속 필라 구조 (3171) 및 이면 금속 전극 필라 구조 (3172)는 조합 이면 필라 (3173, 3174)와 함께 BC영역 근처에 형성된다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 32a는 본 발명의 일 예에 따른 상면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 32a는 상면 전극 필라 구조가 생략된 것을 제외하고 도 31a에 유사하다.
도 32b는 도 32a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 32b는 상면 전극 필라 구조가 생략된 것을 제외하고 도 31b에 유사하다.
도 32c는 본 발명의 일 예에 따른 이면 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 32c는 이면 전극 필라 구조가 생략된 것을 제외하고 도 31c에 유사하다.
도 32d는 도 32c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 32d는 이면 전극 필라 구조가 생략된 것을 제외하고 도 31d에 유사하다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 33a는 본 발명의 일 예에 따른 상면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3301)는 상면 전극 주변 부근에 또는 상면 금속 전극 (3330)에 인접하여 형성된 상면 유전체 필라 구조 (3335)를 포함한다. 다른 유전체 필라 (3336)가 상면 전극 필라 구조 (3332)에 인접하여 또한 형성될 수 있다. 옵션의 유전체 필라 (3378)가 이면 금속 전극 (3370)에 인접하여 또한 형성될 수 있다.
도 33b는 도 33a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3302)는 상면 금속 전극 (3330)에 인접하여 형성된 상면 유전체 필라 구조 (3335)를 포함한다.
도 33c는 본 발명의 일 예에 따른 이면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3303)는 도 33b에 디바이스 (3302)의 이면 구성이다.
도 33d는 도 33c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3304)는 도 33a에 디바이스 (3301)의 이면 구성이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 34a는 본 발명의 일 예에 따른 상면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 34a는 상면 전극 필라 구조가 생략된 것을 제외하고 도 33a에 유사하다.
도 34b는 도 34a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 34b는 상면 전극 필라 구조가 생략된 것을 제외하고 도 33b에 유사하다.
도 34c는 본 발명의 일 예에 따른 이면 유전체 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 34c는 이면 전극 필라 구조가 생략된 것을 제외하고 도 34c에 유사하다.
도 34d는 도 34c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 34d는 이면 전극 필라 구조가 생략된 것을 제외하고 도 33d에 유사하다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 35a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3501)는 필라 구조 (3532)에 인접하여 형성된 상면 전극 금속 필라 구조 (3532) 및 상면 조합 필라(topside combination pillar) (3533, 3534)를 포함한다. 이 디바이스 (3501)는 상면 금속 전극 (3530) 일부 위에 덮힌 중첩 부분을 갖는 유전체 필라 구조 (3535-1) 및 유전체 필라 구조 (3535-1) 일부 위에 덮힌 중첩 부분을 갖는 금속 필라 구조 (3531-1)을 또한 포함한다. 옵션의 필라들은 유전체 필라 (3578-1) 및 금속 필라 (3579-1)와 함께 유사하게 구성된다.
도 35b는 도 35a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 여기서, 유전체 필라 구조 (3535-1) 및 금속 필라 구조 (3531-1)를 포함하는 조합 필라 구조(combination pillar structure)는 상면 전극 금속 필라 구조 (3532)에 인접하여 유사하게 구성된다.
도 35c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3503)는 도 35b에 디바이스 (3502)의 이면 구성이다.
도 35d는 도 35c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3504)는 도 35a에 디바이스 (3501)의 이면 구성이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 36a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 36a는 상면 전극 필라 구조가 생략된 것을 제외하고 도 35a에 유사하다.
도 36b는 도 36a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 36b는 상면 전극 필라 구조가 생략된 것을 제외하고 도 35b에 유사하다.
도 36c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 36c는 이면 전극 필라 구조가 생략된 것을 제외하고 도 35c에 유사하다.
도 36d는 도 36c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 36d는 이면 전극 필라 구조가 생략된 것을 제외하고 도 35d에 유사하다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 37a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3701)는 위에 놓인 금속 필라 구조 (3731-2)가 달린 유전체 필라 구조 (3735-1)를 갖는 조합 필라 구조를 포함한다. 여기서, 금속 필라 구조 (3731-2)는 유전체 필라 구조 (3735-1)를 중첩하지 않는다.
도 37b는 도 37a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3702)는 상면 금속 전극 (3730) 위에 형성되고 위에 놓인 금속 필라(3733)가 달린 유전체 필라 구조 (3734)를 포함하는 조합 필라 구조를 포함한다.
도 37c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3703)는 도 37b에 디바이스 (3702)의 이면 구성이다.
도 37d는 도 37c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3704)는 도 37a에 디바이스 (3701)의 이면 구성이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 38a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 38a는 상면 금속 필라 구조 (3831-3)는 상면 금속 전극 (3830)의 일부에 중첩하는 유전체 필라 구조 (3835-1)에 중첩하지 않는다는 것을 제외하고 도 35a에 유사하다.
도 38b는 도 38a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 38b는 상면 금속 필라 구조 (3831-3)는 상면 금속 전극 (3830)의 일부에 중첩하는 유전체 필라 구조 (3835-1)에 중첩하지 않는다는 것을 제외하고 도 35b에 유사하다.
도 38c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3803)는 도 38b에 디바이스 (3802)의 이면 구성이다.
도 38d는 도 38c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (3804)는 도 38a에 디바이스 (3801)의 이면 구성이다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 39a는 본 발명의 일 예에 따른 상면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 39a는 상면 전극 필라 구조가 생략된 것을 제외하고 도 38a에 유사하다.
도 39b는 도 39a에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 39b는 상면 전극 필라 구조가 생략된 것을 제외하고 도 38b에 유사하다.
도 39c는 본 발명의 일 예에 따른 이면 유전체 및 금속 주변 구조 변경들을 갖는 음향 공진기 디바이스의 제 1 단면도를 예시하는 개략도이다. 도 39c는 이면 전극 필라 구조가 생략된 것을 제외하고 도 38c에 유사하다.
도 39d는 도 39c에 도시된 음향 공진기 디바이스의 제 2 단면도를 예시하는 개략도이다. 도 39d는 이면 전극 필라 구조가 생략된 것을 제외하고 도 39d에 유사하다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 40a는 본 발명의 일 예에 따른 서브표면(subsurface) 변경을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도 40a는 압전층 (4020)이 상면 및 이면상에 형성된 홈들을 갖는다는 것을 제외하고 도 30a에 유사하다. 상면 금속 전극 (4030)은 부분적으로 상면 압전 홈내에 형성되고, 한편 이면 금속 전극 (4070)은 부분적으로 이면 압전 홈 없이 형성된다. 홈들은 점선 영역 (4021)/(4022)에 의해 도시된다.
도 40b는 도 40a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 앞에서 설명된 압전 홈들은 영역 (4021) 및 (4022)에 의해 마킹되어 여기에 명확하게 보여질 수 있다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 41a는 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (4101)는 상면 금속 전극 (4130)에 인접하여 또는 그 주변에 형성된 상면 에너지 구속 구조(topside energy confinement structure) (4190)를 포함한다. 이 에너지 구속 구조 (4190)는 구조 차단 영역을 형성하기 위해 제거된 적어도 하나의 부분을 포함한다. 비록 하나의 차단 영역이 여기에 도시되지만, 에너지 구속 구조 (4190)는 다수의 차단 영역들을 가질 수 있다. 이 디바이스 (4101)는 상면 구조 차단 영역 부근에 최상부 압전 표면 영역 위에 덮힌 상면 샌드바 구조(topside sandbar structure) (4191)를 또한 포함한다. 여기서, 샌드바 구조 (4191)는 에너지 구속 구조 (4190)의 주변 바깥쪽에 오프셋된다. 일 특정 예에서, 상면 샌드바 구조는 상면 금속 전극 (4130)까지 약 0.1um 내지 약 100um의 갭 거리를 가지고 공간적으로 구성될 수 있다. 각각의 이하의 예들에서, 수정예들이 압전층 상면, 압전층 이면, 또는 둘 모두 상에 구현될 수 있다.
도 41b는 도 41a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 디바이스 (4102)는 에너지 구속 구조 (4190)로부터 샌드바 구조(4191)의 오프셋을 도시한다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
도 42는 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도 42는 샌드바 구조 (4291-1)가 커브진 것을 제외하고는 도 41a에 유사하다. 반대로 커브진 구조, 각진 구조, 또는 다른 것을 포함하는 다른 형상들이 또한 사용될 수 있다.
도 43은 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (4300)는 재료들의 조합을 갖는 에너지 구속 구조 (4390)를 포함한다. 에너지 구속 구조 (4390)는 유전체 재료들, 금속 재료들, 또는 그것의 조합들을 포함할 수 있다. 여기서, 유전체 부분들은 아이템 (4390-1)로 마킹되고 금속 부분들은 아이템 (4390-2)으로 마킹된다.
도 44a는 본 발명의 일 예에 따른 주변 구조 변경들을 갖는 음향 공진기 디바이스의 평면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (4401)는 반복된 축성 형상(castelllation shape)으로 특징지어지는 축성 패턴 (4492)로 구성된 에너지 구속 구조를 포함한다. 이 축성 형상은 정사각형, 삼각형, 다각형 형상, 비-다각형 형상, 또는 다른 형상을 포함할 수 있다.
도 44b는 도 44a에 도시된 음향 공진기 디바이스의 단면도를 예시하는 개략도이다. 도시된 바와 같이, 디바이스 (4402)는 더 짧은 축성 패턴 (4492-1) 및 더 높은 축성 패턴 (4492-2)으로 축성-패터닝된 에너지 구속 구조를 포함한다. 반복 축성 패턴의 높이는 상이한 예들에 걸쳐 변화할 수 있다.
도 44c는 도면들 44a 및 44b에 도시된 음향 공진기 디바이스 부분의 단면도를 예시하는 개략도이다. 디바이스 (4403)는 수평부분이 ‘d'로 표시된 수직 부분의 두배 거리인 일 예제 축성 패턴을 도시한다. 반복 축성 패턴의 길이 및 높이 비율들은 상이한 예들에 걸쳐 변화할 수 있다. 이 도면은 또한 점선들로 도시된 상면 금속 전극 (4430)에 대한 축성 패턴 (4492-1)의 상대적 높이를 도시한다.
도 44d는 도면들 44a 및 44b에 도시된 음향 공진기 디바이스 부분의 단면도를 예시하는 개략도이다. 이 도면은 점선들로 도시된 상면 금속 전극 (4430)에 대한 축성 패턴 (4492-2)의 상대적 높이를 도시한다. 상기에서 설명된 디바이스 엘리먼트들, 방법들, 및 기술들은 이하의 도면들에 설명되는 임의의 디바이스 엘리먼트들, 방법들, 및 기술들과 결합될 수 있다. 기술 분야에서의 통상의 기술자들은 다른 변경예들, 수정예들, 및 대안들을 인식할 것이다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 기하학적 면적에 의해 특징지어지는, 상기 상면 금속 전극을 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치(topside micro-trench)를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그(topside metal plug)를 갖는 상면 금속을 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치(backside trench)를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리고, 상기 이면 트렌치는 공동 기하학적 면적(cavity geometric area)에 의해 특징지어지고 하나 이상의 이면 트렌치 에지들을 갖는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되고, 상기 이면 금속 전극은 이면 전극 기하학적 면적에 의해 특징지어지는, 상기 이면 금속 전극을 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역 아래에 깔린 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성하고; 및 상기 상면 전극 기하학적 면적과 상기 이면 전극 기하학적 면적 간의 면적 비율은 약 0.1 내지 약 10 사이이다.
일 예에서, 상기 상면 전극 기하학적 면적, 상기 이면 전극 기하학적 면적, 및 상기 공동 기하학적 면적의 각각은 n 변들을 갖는 다각형 형상을 포함하고, 여기서 n은 3 보다 더 크거나 같다. 일 예에서, 상기 상면 전극 기하학적 면적, 상기 이면 전극 기하학적 면적, 및 상기 공동 기하학적 면적의 각각은 평행 또는 비평행 에지들을 갖는 비스듬한(skewed) 또는 정 다각형 형상들을 포함한다. 일 예에서, 상기 상면 전극 기하학적 면적, 상기 이면 전극 기하학적 면적, 및 상기 공동 기하학적 면적의 각각은 원, 타원, 비스듬한 비-다각형 형상들, 또는 불규칙적인 형상들을 포함하고; 상기 상면 전극 기하학적 면적, 상기 이면 전극 기하학적 면적, 및 상기 공동 기하학적 면적은 유사한 또는 비유사한 형상들을 갖는 기하학적 면적들에 의해 특징지어진다. 일 예에서, 상기 상면 전극 기하학적 면적, 상기 이면 전극 기하학적 면적, 및 상기 공동 기하학적 구조는 공간적으로 구성될 수 있어서 상기 상면 금속 전극 또는 상기 이면 금속 전극 중 어느 하나와 상기 하나 이상의 이면 트렌치 에지들 중 임의의 것 사이의 거리는 약 0.1 마이크론과 약 500 마이크론 사이이다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 에지 기하학적 형상에 의해 특징지어지는 하나 이상의 상면 금속 전극 에지들을 갖는, 상기 상면 금속 전극을 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는(underlying), 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되고, 상기 이면 금속 전극은 이면 전극 에지 기하학적 형상에 의해 특징지어지는 하나 이상의 이면 금속 전극 에지들을 갖는, 상기 이면 금속 전극을 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 및 상기 이면 트렌치내에 상기 바닥 압전 표면 영역 아래에 깔린 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성한다.
일 예에서, 상기 상면 금속 전극 및 상기 이면 금속 전극을 형성하는 단계는 상기 하나 이상의 상면 금속 전극 에지들을 형성하기 위한 에지 프로파일 제조 프로세스를 포함하고, 상기 에지 프로파일 제조 프로세스는 이하의: 패터닝된 스퍼터링 프로세스, 패터닝된 기화 및 리프트-오프 프로세스, 기화 및 패터닝된 에칭 프로세스, 트리밍(trimming) 프로세스, 레이저 절제 프로세스, 및 이온 빔 밀링 프로세스에서 선택될 수 있다. 일 예에서, 상기 상면 전극 에지 기하학적 형상은 이하의 형상들 중 하나를 포함한다: 하향 경사 에지, 상향 경사 에지, 상향 및 하향 경사 에지, 상향-플랫-하향 경사 에지, 계단 스텝들 에지, 및 원형 에지. 일 예에서, 상기 상면 금속 전극을 형성하는 단계는 상면 전극 에지 기하학적 형상이 공간적으로 상기 최상부 압전 표면 영역 위에, 그 내에 또는 그 아래에 구성되도록 상기 상면 금속 전극을 형성하는 단계를 포함한다. 일 예에서, 상기 상면 금속 전극은 상기 하나 이상의 상면 전극 에지들의 부근의 상기 상면 금속 전극내에 형성된 홈(groove)을 포함한다. 일 예에서, 상기 이면 전극 에지 기하학적 형상은 이하의 형상들 중 하나를 포함한다: 하향 경사 에지, 상향 경사 에지, 상향 및 하향 경사 에지, 상향-플랫-하향 경사 에지, 계단 스텝들 에지, 및 원형 에지. 일 예에서, 상기 이면 금속 전극은 상기 하나 이상의 이면 전극 에지들의 부근의 상기 이면 금속 전극내에 형성된 홈을 포함한다. 일 예에서, 상기 이면 금속 전극을 형성하는 단계는 이면 전극 에지 기하학적 형상이 공간적으로 상기 바닥 압전 표면 영역 위에, 그 내에 또는 그 아래에 구성되도록 상기 이면 금속 전극을 형성하는 단계를 포함한다.
일 예에서, 상기 상면 전극 에지 기하학적 형상 및 상기 이면 전극 에지 형상의 각각은 이하의 형상들 중 하나를 포함하고: 하향 경사 에지, 상향 경사 에지, 상향 및 하향 경사 에지, 상향-플랫-하향 경사 에지, 계단 스텝들 에지, 및 원형 에지; 상기 상면 금속 전극은 상기 하나 이상의 상면 전극 에지들의 부근의 상기 상면 금속 전극내에 형성된 홈을 포함하고; 및 상기 이면 금속 전극은 상기 하나 이상의 이면 전극 에지들의 부근의 상기 이면 금속 전극내에 형성된 홈을 포함한다. 일 예에서, 상기 방법은 상기 최상부 압전 표면 영역상에 제 1 상면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계를 더 포함한다. 일 예에서, 상기 제 1 상면 홈은 공간적으로 상기 이면 트렌치 위에 구성된다. 일 예에서, 상기 방법은 상기 최상부 압전 표면 영역상에 제 2 상면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계를 더 포함하고; 상기 제 2 상면 홈은 공간적으로 상기 제 1 홈 및 상기 상면 금속 전극의 에지 부근에 구성된다. 일 예에서, 상기 제 1 상면 홈은 공간적으로 상기 이면 트렌치의 일부 위에 구성되고 상기 제 2 상면 홈은 공간적으로 상기 기판의 일부 위에 구성된다. 일 예에서, 상기 방법은 상기 바닥 압전 표면 영역상에 제 1 이면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계를 더 포함한다. 일 예에서, 상기 제 1 이면 홈은 공간적으로 상기 이면 트렌치 내에 구성된다. 일 예에서, 상기 방법은 상기 바닥 압전 표면 영역상에 제 2 이면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계를 더 포함하고; 상기 제 2 이면 홈은 공간적으로 상기 제 1 이면 홈 및 상기 이면 금속 전극의 에지 부근에 구성된다. 일 예에서, 상기 제 1 이면 홈은 공간적으로 상기 이면 트렌치 부분 내에 구성되고 상기 제 2 이면 홈은 공간적으로 상기 이면 트렌치 부분 내에 구성된다.
일 예에서, 상기 방법은 상기 최상부 압전 표면 영역상에 제 1 상면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계; 및 상기 바닥 압전 표면 영역상에 제 1 이면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계를 더 포함한다.일 예에서, 상기 방법은 상기 최상부 압전 표면 영역상에 상면 홈을 형성하기 위해 상기 단결정 압전층의 일부를 제거하는 단계를 더 포함하고; 및 상기 상면 금속 전극을 형성하는 단계는 상기 상면 홈 내의 상기 최상부 압전 표면 영역 위에 상기 상면 금속 전극을 형성하는 단계를 포함한다. 일 예에서, 상기 본 방법은 상기 바닥 압전 표면 영역상에 이면 홈을 형성하기 위해 상기 단결정 압전층의 일부를 제거하는 단계를 더 포함하고; 및 상기 이면 금속 전극을 형성하는 단계는 상기 이면 홈 내의 상기 바닥 압전 표면 영역 아래에 상기 이면 금속 전극을 형성하는 단계를 포함한다. 일 예에서, 상기 방법은 상기 최상부 압전 표면 영역상에 상면 홈을 형성하기 위해 상기 단결정 압전층의 일부를 제거하는 단계; 상기 상면 금속 전극을 형성하는 단계는 상기 상면 홈 내의 상기 최상부 압전 표면 영역위에 상기 상면 금속 전극을 형성하는 단계를 포함하고; 상기 바닥 압전 표면 영역상에 이면 홈을 형성하기 위해 상기 단결정 압전층의 일부를 제거하는 단계를 더 포함하고; 및 상기 이면 금속 전극을 형성하는 단계는 상기 이면 홈 내의 상기 바닥 압전 표면 영역 아래에 상기 이면 금속 전극을 형성하는 단계를 포함한다.
일 예에서, 상기 방법은 상기 최상부 압전 표면 영역의 일부에 물리적으로 결합되고 상기 상면 금속 전극의 일부에 물리적으로 결합되고 그 위에 덮힌 상면 에지 보더 재료(topside edge border material)를 형성하는 단계를 더 포함한다. 일 예에서, 상기 상면 에지 보더 재료는 금속 재료 또는 유전체 재료를 포함한다. 일 예에서, 상기 상면 에지 보더 재료를 형성하는 단계는 상기 상면 금속 전극 부분 위에 상기 상면 에지 보더 재료를 형성하는 단계를 포함한다. 일 예에서, 상기 방법은 상기 상면 전극 홈을 형성하기 위해 상기 상면 에지 보더 재료의 부근 상기 상면 금속 전극의 일부를 제거하는 단계를 더 포함한다. 일 예에서, 상기 방법은 상기 바닥 압전 표면 영역의 일부에 물리적으로 결합되고 상기 이면 금속 전극의 일부에 물리적으로 결합되고 그 아래에 이면 에지 보더 재료(backside edge border material)를 형성하는 단계를 더 포함한다. 일 예에서, 상기 이면 에지 보더 재료는 금속 재료 또는 유전체 재료를 포함한다. 일 예에서, 상기 이면 에지 보더 재료를 형성하는 단계는 상기 이면 금속 전극 부분 위에 상기 이면 에지 보더 재료를 형성하는 단계를 포함한다. 일 예에서, 상기 방법은 상기 이면 전극 홈을 형성하기 위해 상기 이면 에지 보더 재료의 부근 상기 이면 금속 전극의 일부를 제거하는 단계를 더 포함한다. 일 예에서, 상기 방법은 상기 최상부 압전 표면 영역의 일부에 물리적으로 결합되고 상기 상면 금속 전극의 일부에 물리적으로 결합되고 그 위에 덮힌 상면 에지 보더 재료(topside edge border material)를 형성하는 단계; 및 상기 바닥 압전 표면 영역의 일부에 물리적으로 결합되고 상기 이면 금속 전극의 일부에 물리적으로 결합되고 그 아래에 이면 에지 보더 재료를 형성하는 단계를 더 포함한다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되는, 상기 이면 금속 전극을 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역 아래에 깔린 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성하고; 및 상기 음향 공진기 디바이스가 마스크 이온 주입 프로세스를 겪게 하는 단계를 포함할 수 있고; 상기 상면 금속 전극, 상기 단결정 압전층, 및 상기 이면 금속 전극은 중심 공진기 면적을 형성한다.
일 예에서, 상기 음향 공진기 디바이스는 이하의 스테이지들 중 하나 동안에 상기 이온 주입 프로세스를 겪는다: 상기 상면 금속 전극의 형성 전에, 상기 이면 금속 전극의 형성 전에, 상기 상면 금속 전극의 형성 후에, 및 상기 이면 금속 전극의 형성 후에. 일 예에서, 상기 마스크 이온 주입 프로세스는 상기 중심 공진기 면적 바깥쪽에 500um에 의해 특징지어지는 존(zone)에 경계지어진다. 일 예에서, 상기 마스크 이온 주입 프로세스는 이하의 종들: H, He, B, C, O, Fe, Mo, Ta, W 중 하나 이상, 또는 다른 전이 금속을 사용한다. 일 예에서, 상기 이온 주입 프로세스는 입방 센티미터 당 1E+14과 1E+20 사이 이온 도즈량에 의해 특징지어진다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 기판 측벽을 형성하고 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되는, 상기 이면 금속 전극을 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 및 상기 이면 트렌치내 상기 바닥 압전 표면 영역 아래에 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성하고; 상기 이면 금속 전극은 상기 이면 금속 전극과 상기 기판 측벽 사이의 거리가 약 0.1um 내지 약 500um 범위에 이르도록 공간적으로 구성된다.
일 예에서, 상기 상면 금속 전극은 상면 전극 표면적을 포함하고 상기 이면 금속 전극은 이면 전극 표면적을 포함하고; 상기 이면 전극 표면적은 상기 이면 전극 표면적의 에지와 상기 상면 전극 표면적의 에지 사이의 측방 거리가 약 0.1um 내지 약 500um 범위에 이르도록 상기 상면 전극 표면적보다 더 크다. 일 예에서, 상기 상면 금속 전극은 상면 전극 표면적을 포함하고 상기 이면 금속 전극은 이면 전극 표면적을 포함하고; 상기 상면 전극 표면적은 상기 상면 전극 표면적의 에지와 상기 이면 전극 표면적의 에지 사이의 측방 거리가 약 0.1um 내지 약 500um 범위에 이르도록 상기 이면 전극 표면적보다 더 크다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되는, 상기 이면 금속 전극을 형성하는 단계; 상기 단결정 압전층의 부근내에 하나 이상의 주파수 오프셋 구조 층들을 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 및 상기 이면 트렌치내 상기 바닥 압전 표면 영역 아래에 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성한다.
일 예에서, 하나 이상의 주파수 오프셋 구조 층들을 형성하는 단계는 상기 상면 금속 전극 위에 덮힌 주파수 오프셋 구조 층을 형성하는 단계를 포함한다. 일 예에서, 상기 하나 이상의 주파수 오프셋을 형성하는 단계는 이하 중 하나를 포함한다: 패터닝된 스퍼터링 프로세스, 패터닝된 기화 및 리프트-오프 프로세스, 기화 및 패터닝된 에칭 프로세스, 트리밍 프로세스, 레이저 절제 프로세스, 및 이온 빔 밀링 프로세스. 일 예에서, 하나 이상의 주파수 오프셋 구조 층들을 형성하는 단계는 상기 이면 금속 전극 아래에 깔린 주파수 오프셋 구조 층을 형성하는 단계를 포함한다. 일 예에서, 상기 주파수 오프셋 구조 층은 Mo, Al, W, Ru, AlN, SiN, 또는 SiO2를 포함할 수 있다. 일 예에서, 하나 이상의 주파수 오프셋 구조 층들을 형성하는 단계는 상기 상면 금속 전극 위에 덮힌 상면 주파수 오프셋 구조 층을 형성하는 단계 및 상기 이면 금속 전극의 근접하여 또는 그 아래에 깔린 이면 주파수 오프셋 구조 층을 형성하는 단계를 포함한다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 제 1 부분 위에 덮힌 제 1 상면 금속 전극을 형성하는 단계; 상기 최상부 압전 표면 영역의 제 2 부분 위에 덮힌 제 2 상면 금속 전극을 형성하는 단계; 상기 최상부 압전 표면 영역의 제 3 부분 위에 덮힌 제 3 상면 금속 전극을 형성하는 단계; 기판 측벽을 형성하고 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 제 1, 제 2, 및 제 3 상면 금속 전극들 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내 상기 바닥 압전 표면 영역 아래에 이면 금속 플러그를 형성하는 단계; 상기 이면 트렌치내에 상기 제 1 상면 금속 전극 및 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 제 1 이면 금속 전극을 형성하는 단계로서, 상기 제 1 이면 금속 전극은 상기 이면 금속 플러그에 전기적으로 결합되는, 상기 제 1이면 금속 전극을 형성하는 단계; 상기 이면 트렌치내에 상기 제 2 상면 금속 전극 및 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 제 2 이면 금속 전극을 형성하는 단계로서, 상기 제 2 이면 금속 전극은 상기 이면 금속 플러그에 전기적으로 결합되는, 상기 제 2이면 금속 전극을 형성하는 단계; 상기 이면 트렌치내에 상기 제 3 상면 금속 전극 및 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 제 3 이면 금속 전극을 형성하는 단계로서, 상기 제 3 이면 금속 전극은 상기 이면 금속 플러그에 전기적으로 결합되는, 상기 제 3이면 금속 전극을 형성하는 단계; 전기적 연결들을 위해 적어도 세개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 제 1 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 제 2 상면 금속 전극에 전기적으로 결합되고, 및 적어도 하나의 금속 패드는 상기 제 3 상면 금속 전극에 전기적으로 결합되는, 상기 세개의 금속 패드들을 형성하는 단계; 및 상기 다수의 음향 공진기 디바이스가 마스크 이온 주입 프로세스를 겪게 하는 단계를 포함할 수 있고; 상기 제 1 상면 금속 전극, 상기 제 2 상면 금속 전극, 상기 제 3 상면 금속 전극, 상기 단결정 압전층, 상기 제 1 이면 금속 전극, 상기 제 2 이면 금속 전극, 및 상기 제 3 이면 금속 전극은 중심 공진기 면적을 형성한다.
일 예에서, 상기 다수의 음향 공진기 디바이스가 마스크 이온 주입 프로세스를 겪게 하는 단계는 상기 다수의 음향 공진기 디바이스가 이하의 스테이지들 중 하나 동안에 상기 마스크 이온 주입 프로세스를 겪는 단계를 포함한다: 상기 상면 금속 전극의 형성 전에, 상기 이면 금속 전극의 형성 전에, 상기 상면 금속 전극의 형성 후에, 및 상기 이면 금속 전극의 형성 후에. 일 예에서, 상기 마스크 이온 주입 프로세스는 상기 중심 공진기 면적 바깥쪽에 500um에 의해 특징지어지는 존에 경계지어진다. 일 예에서, 상기 마스크 이온 주입 프로세스는 이하의 종들: H, He, B, C, O, Fe, Mo, Ta, W 중 하나 이상, 또는 다른 전이 금속을 사용한다. 일 예에서, 상기 이온 주입 프로세스는 입방 센티미터 당 1E+14과 1E+20 사이 이온 도즈량에 의해 특징지어진다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 일부 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 표면 영역, 상면 전극 주변, 및 하나 이상의 상면 전극 에지들을 갖는, 상기 상면 금속 전극을 형성하는 단계; 상기 상면 금속 전극의 부근 및 상기 상면 금속 전극 주변의 바깥쪽에 상기 최상부 압전 표면 영역의 일부 위에 상면 필라 구조(topside pillar structure)를 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 기판 측벽을 형성하고 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되고, 상기 이면 금속 전극은 이면 전극 표면 영역, 이면 전극 주변, 및 하나 이상의 이면 전극 에지들을 갖는, 상기 이면 금속 전극을 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 및 상기 이면 트렌치내 상기 바닥 압전 표면 영역 아래에 이면 금속 플러그를 형성하는 단계를 포함할 수 있고,, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성한다.
일 예에서, 상기 상면 필라 구조는 금속 재료, 유전체 재료, 또는 그것의 조합을 포함한다. 일 예에서, 상기 상면 필라 구조는 실질적으로 상기 상면 전극 주변 근처에 공간적으로 구성된다. 일 예에서, 상기 상면 필라 구조는 연속적인 필라 구조 또는 하나 이상의 비-연속 필라들을 포함한다. 일 예에서, 상기 상면 금속 전극은 상면 전극 연결 영역을 포함하고; 상기 상면 필라 구조를 형성하는 단계는 상기 상면 필라 구조가 상기 상면 전극 연결 영역의 부근에 상면 필라 갭 영역을 포함하도록 상기 상면 필라 구조를 형성하는 단계를 포함한다. 일 예에서, 상기 방법은 상기 상면 필라 구조의 부분 및 상기 바닥 압전 표면 영역의 부분 아래에 하나 이상의 이면 필라들을 형성하는 단계를 더 포함하고, 상기 하나 이상의 이면 필라들은 금속 재료, 유전체 재료, 또는 그것의 조합을 포함한다. 일 예에서, 상기 방법은 상기 상면 전극 표면 영역 부분 위에 하나 이상의 조합 상면 전극 필라들을 형성하는 단계를 더 포함하고; 상기 하나 이상의 조합 상면 전극 필라들은 유전체 필라 위에 형성된 금속 필라를 포함한다. 일 예에서, 상기 방법은 상기 상면 전극 표면 영역 부분 위에 하나 이상의 상면 유전체 전극 필라들을 형성하는 단계를 더 포함한다. 일 예에서, 상기 하나 이상의 상면 유전체 필라들은 상기 상면 전극 주변까지 약 0.1um 내지 약 100um의 거리에서 공간적으로 구성된다. 일 예에서, 상기 방법은 상기 상면 전극 표면 영역 부분 위에 그리고 상기 상면 금속 전극 주변에 상면 전극 필라 구조를 형성하는 단계를 더 포함한다. 일 예에서, 상기 상면 전극 필라 구조는 금속 재료, 유전체 재료, 또는 그것의 조합을 포함한다. 일 예에서, 상기 상면 전극 필라 구조는 실질적으로 상기 상면 전극 주변을 따라서 공간적으로 구성된다. 일 예에서, 상기 상면 전극 필라 구조는 연속적인 필라 구조 또는 하나 이상의 비-연속 필라들을 포함한다. 일 예에서, 상기 상면 전극 필라 구조를 형성하는 단계는 상기 상면 전극 필라 구조가 상기 상면 전극 연결 영역의 부근에 상면 전극 필라 갭 영역을 포함하도록 상기 상면 전극 필라 구조를 형성하는 단계를 포함한다.
일 예에서, 상기 방법은 상기 상면 전극 표면 영역 부분 위에 하나 이상의 상면 유전체 전극 필라들을 형성하는 단계를 더 포함하고; 적어도 하나의 상면 유전체 전극 필라는 상기 상면 전극 필라 구조를 물리적으로 컨택한다. 상기 방법은 상기 상면 전극 필라 구조는 상기 상면 전극 주변까지 약 0.1um 내지 약 100um의 거리에서 공간적으로 구성되는 것을 더 포함한다. 일 예에서, 상기 상면 필라 구조를 형성하는 단계는 상면 유전체 필라 구조 및 상면 금속 필라 구조를 형성하는 단계를 포함하고; 상기 상면 유전체 필라 구조는 상기 상면 금속 전극에 인접하여 형성되고 상기 상면 금속 필라 구조는 상기 상면 유전체 필라 구조에 인접하여 형성된다. 일 예에서, 상기 상면 유전체 필라 구조는 상기 상면 전극 표면 영역의 하나 이상의 부분들 위에 덮힌 하나 이상의 중첩 부분들을 포함한다. 일 예에서, 상기 상면 금속 필라 구조는 상기 상면 유전체 필라 구조의 하나 이상의 부분들 위에 덮힌 하나 이상의 중첩 부분들을 포함한다.
일 예에서, 상기 방법은 상기 상면 필라 구조의 부분 및 상기 바닥 압전 표면 영역의 하나 이상의 부분들 아래에 하나 이상의 이면 유전체 필라들을 형성하는 단계; 및 상기 상면 필라 구조의 부분 및 상기 바닥 압전 표면 영역의 하나 이상의 부분들 아래에 하나 이상의 이면 금속 필라들을 형성하는 단계를 더 포함하고; 상기 하나 이상의 이면 유전체 필라들은 상기 이면 금속 전극에 인접하여 형성되고 상기 하나 이상의 이면 금속 필라들은 상기 하나 이상의 이면 유전체 필라들에 인접하여 형성된다. 일 예에서, 적어도 하나의 이면 유전체 필라는 상기 바닥 금속 전극의 부분 아래에 깔린 아래로 겹치는 부분(underlapping portion)을 포함한다. 일 예에서, 적어도 하나의 이면 유전체 필라는 상기 바닥 금속 전극의 부분 아래에 깔린 아래로 겹치는 부분을 포함한다. 일 예에서, 상기 상면 필라 구조를 형성하는 단계는 상면 유전체 필라 구조 및 상면 금속 필라 구조를 형성하는 단계를 포함하고; 상기 상면 유전체 필라 구조는 상기 상면 금속 전극에 인접하여 형성되고 상기 상면 금속 필라 구조는 상기 상면 유전체 필라 구조 위에 덮혀 형성된다. 일 예에서, 상기 상면 유전체 필라 구조는 상기 상면 전극 표면 영역의 하나 이상의 부분들 위에 덮힌 하나 이상의 중첩 부분들을 포함한다. 일 예에서, 상기 방법은 상면 압전 공동을 형성하기 위해 상기 단결정 압전층의 일부를 제거하는 단계를 더 포함하고; 상기 상면 금속 전극을 형성하는 단계는 상기 상면 금속 전극의 적어도 일부가 상기 상면 압전 공동 내에 공간적으로 구성되도록 상기 상면 금속 전극을 형성하는 단계를 포함한다. 일 예에서, 상기 상면 필라 구조를 형성하는 단계는 상기 상면 압전 공동내에 상기 상면 필라 구조를 형성하는 단계를 포함한다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 일부 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 표면 영역, 상면 전극 주변, 및 하나 이상의 상면 전극 에지들을 갖는, 상기 상면 금속 전극을 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 기판 측벽을 형성하고 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되고, 상기 이면 금속 전극은 이면 전극 표면 영역, 이면 전극 주변, 및 하나 이상의 이면 전극 에지들을 갖는, 상기 이면 금속 전극을 형성하는 단계; 상기 이면 금속 전극의 부근 및 상기 이면 금속 전극 주변의 바깥쪽에 상기 바닥 압전 표면 영역의 일부 아래에 이면 필라 구조(backside pillar structure)를 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 및 상기 이면 트렌치내 상기 바닥 압전 표면 영역 아래에 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성한다.
일 예에서, 상기 이면 필라 구조는 금속 재료, 유전체 재료, 또는 그것의 조합을 포함한다. 일 예에서, 상기 이면 필라 구조는 실질적으로 상기 상면 전극 주변 근처에 공간적으로 구성된다. 일 예에서, 상기 이면 필라 구조는 연속적인 필라 구조 또는 하나 이상의 비-연속 필라들을 포함한다. 일 예에서, 상기 이면 금속 전극은 이면 전극 연결 영역을 포함하고; 상기 이면 필라 구조를 형성하는 단계는 상기 이면 필라 구조가 상기 이면 전극 연결 영역의 부근에 이면 필라 갭 영역을 포함하도록 상기 이면 필라 구조를 형성하는 단계를 포함한다. 일 예에서, 상기 방법은 상기 상면 필라 구조의 부분 및 상기 최상부 압전 표면 영역의 부분 위에 하나 이상의 상면 필라들을 형성하는 단계를 더 포함하고, 상기 하나 이상의 상면 필라들은 금속 재료, 유전체 재료, 또는 그것의 조합을 포함한다. 일 예에서, 상기 방법은 상기 이면 전극 표면 영역 부분 아래에 하나 이상의 절연된(insulated) 이면 전극 필라들을 형성하는 단계를 더 포함하고; 상기 하나 이상의 절연된 이면 전극 필라들은 유전체 필라 아래에 형성된 금속 필라를 포함한다. 일 예에서, 상기 방법은 상기 이면 전극 표면 영역 부분 아래에 하나 이상의 이면 유전체 전극 필라들을 형성하는 단계를 더 포함한다. 일 예에서, 상기 하나 이상의 이면 유전체 필라들은 상기 이면 전극 주변까지 약 0.1um 내지 약 100um의 거리에서 공간적으로 구성된다.
일 예에서, 상기 방법은 상기 이면 전극 표면 영역 부분 아래에 그리고 상기 이면 금속 전극 주변에 이면 전극 필라 구조를 형성하는 단계를 더 포함한다. 일 예에서, 상기 이면 전극 필라 구조는 금속 재료, 유전체 재료, 또는 그것의 조합을 포함한다. 일 예에서, 상기 이면 전극 필라 구조는 실질적으로 상기 이면 전극 주변을 따라서 공간적으로 구성된다. 일 예에서, 상기 이면 전극 필라 구조는 연속적인 필라 구조 또는 하나 이상의 비-연속 필라들을 포함한다. 일 예에서, 상기 이면 전극 필라 구조를 형성하는 단계는 상기 이면 전극 필라 구조가 상기 이면 전극 연결 영역의 부근에 이면 전극 필라 갭 영역을 포함하도록 상기 이면 전극 필라 구조를 형성하는 단계를 포함한다. 일 예에서, 상기 방법은 상기 이면 전극 표면 영역 부분 아래에 하나 이상의 이면 유전체 전극 필라들을 형성하는 단계를 더 포함하고; 적어도 하나의 이면 유전체 전극 필라는 상기 이면 전극 필라 구조를 물리적으로 컨택한다. 일 예에서, 상기 이면 전극 필라 구조는 상기 이면 전극 주변까지 약 0.1um 내지 약 100um의 거리에서 공간적으로 구성된다. 일 예에서, 상기 이면 필라 구조를 형성하는 단계는 이면 유전체 필라 구조 및 이면 금속 필라 구조를 형성하는 단계를 포함하고; 상기 이면 유전체 필라 구조는 상기 이면 금속 전극에 인접하여 형성되고 상기 이면 금속 필라 구조는 상기 이면 유전체 필라 구조에 인접하여 형성된다. 일 예에서, 상기 이면 유전체 필라 구조는 상기 이면 전극 표면 영역의 하나 이상의 부분들 아래에 깔린 하나 이상의 아래로 겹치는(underlapping) 부분들을 포함한다. 일 예에서, 상기 이면 금속 필라 구조는 상기 이면 유전체 필라 구조의 하나 이상의 부분들 아래에 깔린 하나 이상의 아래로 겹치는 부분들을 포함한다.
일 예에서, 상기 방법은 상기 이면 필라 구조의 부분 및 상기 최상부 압전 표면 영역의 하나 이상의 부분들 위에 하나 이상의 상면 유전체 필라들을 형성하는 단계; 및 상기 이면 필라 구조의 부분 및 상기 최상부 압전 표면 영역의 하나 이상의 부분들 위에 하나 이상의 상면 금속 필라들을 형성하는 단계; 상기 하나 이상의 상면 유전체 필라들은 상기 상면 금속 전극에 인접하여 형성되고 상기 하나 이상의 상면 금속 필라들은 상기 하나 이상의 상면 유전체 필라들에 인접하여 형성된다. 일 예에서, 적어도 하나의 상면 유전체 필라는 상기 상면 금속 전극의 부분 위에 덮힌 중첩 부분을 포함한다. 일 예에서, 적어도 하나의 상면 금속 필라는 적어도 하나의 상면 유전체 필라 위에 덮힌 중첩 부분을 포함한다. 일 예에서, 상기 이면 필라 구조를 형성하는 단계는 이면 유전체 필라 구조 및 이면 금속 필라 구조를 형성하는 단계를 포함하고; 상기 이면 유전체 필라 구조는 상기 이면 금속 전극에 인접하여 형성되고 상기 이면 금속 필라 구조는 상기 이면 유전체 필라 구조 아래에 형성된다. 일 예에서, 상기 이면 유전체 필라 구조는 상기 이면 전극 표면 영역의 하나 이상의 부분들 아래에 깔린 하나 이상의 아래로 겹쳐진(underlapping) 부분들을 포함한다.
일 예에서, 상기 방법은 이면 압전 공동을 형성하기 위해 상기 단결정 압전층의 일부를 제거하는 단계를 더 포함하고; 상기 이면 금속 전극을 형성하는 단계는 상기 이면 금속 전극의 적어도 일부가 상기 이면 압전 공동 내에 공간적으로 구성되도록 상기 이면 금속 전극을 형성하는 단계를 포함한다. 일 예에서, 상기 이면 필라 구조를 형성하는 단계는 상기 이면 압전 공동내에 상기 이면 필라 구조를 형성하는 단계를 포함한다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역의 일부 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 표면 영역, 상면 전극 주변, 및 하나 이상의 상면 전극 에지들을 갖는, 상기 상면 금속 전극을 형성하는 단계; 상기 상면 금속 전극의 부근 및 상기 상면 금속 전극 주변의 바깥쪽에 상기 최상부 압전 표면 영역의 일부 위에 상면 필라 구조(topside pillar structure)를 형성하는 단계; 상기 상면 전극 표면 영역 부분 위에 그리고 상기 상면 금속 전극 주변에 상면 전극 필라 구조를 형성하는 단계; 상기 단결정 압전층 일부에 상면 마이크로-트렌치를 형성하는 단계; 상기 상면 마이크로-트렌치내에 상면 금속 플러그를 갖는 상면 금속을 형성하는 단계; 기판 측벽을 형성하고 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는, 상기 이면 트렌치를 형성하는 단계; 상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되고, 상기 이면 금속 전극은 이면 전극 표면 영역, 이면 전극 주변, 및 하나 이상의 이면 전극 에지들을 갖는, 상기 이면 금속 전극을 형성하는 단계; 상기 이면 금속 전극의 부근 및 상기 이면 금속 전극 주변의 바깥쪽에 상기 바닥 압전 표면 영역의 일부 아래에 이면 필라 구조(backside pillar structure)를 형성하는 단계; 상기 이면 전극 표면 영역 부분 아래에 그리고 상기 이면 금속 전극 주변에 이면 전극 필라 구조를 형성하는 단계; 전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계; 및 상기 이면 트렌치내에 상기 바닥 압전 표면 영역 아래에 깔린 이면 금속 플러그를 형성하는 단계를 포함할 수 있고, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아를 형성한다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역 위에 상면 에너지 구속 구조(topside energy confinement structure)를 형성하는 단계로서, 상기 상면 에너지 구속 구조는 상면 구조 기하학적 면적 및 상면 구조 주변에 의해 특징지어지고 , 상기 상면 에너지 구속 구조는 상면 구조 차단 영역을 형성하기 위해 제거된 적어도 하나의 부분을 갖는, 상기 상면 에너지 구속 구조를 형성하는 단계; 상기 상면 에너지 구속 구조내에 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 기하학적 면적에 의해 특징지어지는, 상기 상면 금속 전극을 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 아래에 깔리고, 상기 이면 트렌치는 공동 기하학적 면적에 의해 특징지어지는, 상기 이면 트렌치를 형성하는 단계;를 포함할 수 있다.
일 예에서, 상기 상면 금속 전극은 상기 상면 에너지 구속 구조에 인접하여 형성된다. 일 예에서, 상기 상면 구조 차단 영역 부근에 상기 최상부 압전 표면 영역 위에 덮힌 상면 샌드바 구조(topside sandbar structure)를 형성하는 단계; 상기 상면 샌드바 구조는 공간적으로 상기 상면 에너지 구속 구조의 상기 상면 구조 주변 바깥쪽에 구성된다. 일 예에서, 상기 상면 샌드바 구조는 상기 상면 금속 전극까지 약 0.1um 내지 약 100um의 거리를 갖는 갭을 가지고 공간적으로 구성된다. 일 예에서, 상기 상면 에너지 구속 구조는 유전체 재료, 금속 재료, 또는 유전체 및 금속 재료들의 조합을 포함한다. 일 예에서, 상기 상면 에너지 구속 구조는 반복된 축성 형상에 의해 특징지어지는 축성 패턴(castellation pattern)을 포함하고, 상기 축성 형상은 정사각형, 삼각형, 다각형 형상, 또는 비-다각형 형상을 포함한다. 일 예에서, 상기 상면 샌드바 구조는 유전체 재료, 금속 재료, 또는 유전체 및 금속 재료들의 조합을 포함한다. 일 예에서, 상기 상면 샌드바 구조는 직선 샌드바 구조, 커브진 샌드바 구조, 또는 각진(angled) 샌드바 구조를 포함한다. 일 예에서, 상기 상면 전극 기하학적 면적, 상기 상면 구조 기하학적 면적, 및 상기 공동 기하학적 면적의 각각은 원, 타원, 비스듬한 비-다각형 형상들, 불규칙적인 형상들, 또는 n 변들을 갖는 다각형 형상을 포함하고, 여기서 n은 3 보다 더 크거나 같다; 상기 상면 전극 기하학적 면적, 상기 상면 구조 기하학적 면적, 및 상기 공동 기하학적 면적은 유사한 또는 비유사한 형상들을 갖는 기하학적 면적들에 의해 특징지어진다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 공동 기하학적 면적에 의해 특징지어지는, 상기 이면 트렌치를 형성하는 단계; 상기 바닥 압전 표면 영역 아래에 이면 에너지 구속 구조(backside energy confinement structure)를 형성하는 단계로서, 상기 이면 에너지 구속 구조는 이면 구조 기하학적 면적 및 이면 구조 주변에 의해 특징지어지고 , 상기 이면 에너지 구속 구조는 이면 구조 차단 영역을 형성하기 위해 제거된 적어도 하나의 부분을 갖는, 상기 이면 에너지 구속 구조를 형성하는 단계; 및 상기 이면 에너지 구속 구조내에 상기 바닥 압전 표면 영역 아래에 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 이면 전극 기하학적 면적에 의해 특징지어지는, 상기 이면 금속 전극을 형성하는 단계;를 포함할 수 있다.
일 예에서, 상기 이면 금속 전극은 상기 이면 에너지 구속 구조에 인접하여 형성된다. 일 예에서, 상기 방법은 상기 이면 구조 차단 영역의 부근에 상기 바닥 압전 표면 영역 아래에 이면 샌드바 구조를 형성하는 단계를 더 포함하고; 상기 이면 샌드바 구조는 공간적으로 상기 이면 에너지 구속 구조의 상기 이면 구조 주변 바깥쪽에 구성된다. 일 예에서, 상기 이면 샌드바 구조는 상기 이면 금속 전극까지 약 0.1um 내지 약 100um의 거리를 갖는 갭을 가지고 공간적으로 구성된다. 일 예에서, 상기 이면 에너지 구속 구조는 유전체 재료, 금속 재료, 또는 유전체 및 금속 재료들의 조합을 포함한다. 일 예에서, 상기 이면 에너지 구속 구조는 반복된 축성 형상에 의해 특징지어지는 축성 패턴을 포함하고, 상기 축성 형상은 정사각형, 삼각형, 다각형 형상, 또는 비-다각형 형상을 포함한다. 일 예에서, 상기 이면 샌드바 구조는 유전체 재료, 금속 재료, 또는 유전체 및 금속 재료들의 조합을 포함한다. 일 예에서, 상기 이면 샌드바 구조는 직선 샌드바 구조, 커브진 샌드바 구조, 또는 각진 샌드바 구조를 포함한다. 일 예에서, 상기 이면 전극 기하학적 면적, 상기 이면 구조 기하학적 면적, 및 상기 공동 기하학적 면적의 각각은 원, 타원, 비스듬한 비-다각형 형상들, 불규칙적인 형상들, 또는 n 변들을 갖는 다각형 형상을 포함하고, 여기서 n은 3 보다 더 크거나 같다; 상기 이면 전극 기하학적 면적, 상기 이면 주변 구조 기하학적 면적, 및 상기 공동 기하학적 면적은 유사한 또는 비유사한 형상들을 갖는 기하학적 면적들에 의해 특징지어진다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 음향 공진기 또는 필터 디바이스를 제조하는 방법 및 구조를 제공한다. 상기 방법은 기판 표면 영역을 갖는 기판을 제공하는 단계; 상기 기판 표면 영역의 위에 덮힌 단결정 압전층을 형성하는 단계로서, 상기 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계; 상기 최상부 압전 표면 영역 위에 상면 에너지 구속 구조(topside energy confinement structure)를 형성하는 단계로서, 상기 상면 에너지 구속 구조는 상면 구조 기하학적 면적 및 상면 구조 주변에 의해 특징지어지고 , 상기 상면 에너지 구속 구조는 상면 구조 차단 영역을 형성하기 위해 제거된 적어도 하나의 부분을 갖는, 상기 상면 에너지 구속 구조를 형성하는 단계; 상기 상면 에너지 구속 구조내에 상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 기하학적 면적에 의해 특징지어지는, 상기 상면 금속 전극을 형성하는 단계; 상기 상면 구조 차단 영역 부근에 상기 최상부 압전 표면 영역 위에 덮힌 상면 샌드바 구조를 형성하는 단계; 상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리고, 상기 이면 트렌치는 공동 기하학적 면적에 의해 특징지어지고 , 상기 이면 트렌치를 형성하는 단계; 상기 바닥 압전 표면 영역 아래에 이면 에너지 구속 구조를 형성하는 단계로서, 상기 이면 에너지 구속 구조는 이면 구조 기하학적 면적에 의해 특징지어지고 , 상기 이면 에너지 구속 구조는 이면 구조 차단 영역을 형성하기 위해 제거된 적어도 하나의 부분을 갖는, 상기 이면 에너지 구속 구조를 형성하는 단계; 상기 이면 에너지 구속 구조내에 상기 바닥 압전 표면 영역 아래에 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 이면 전극 기하학적 면적에 의해 특징지어지는, 상기 이면 금속 전극을 형성하는 단계; 및 상기 이면 구조 차단 영역의 부근에 상기 바닥 압전 표면 영역 아래에 이면 샌드바 구조를 형성하는 단계를 포함할 수 있다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
일 예에 따라, 본 발명은 상기 단결정 재료의 최상부 또는 바닥 표면 상에 깍지 낀 형태(interdigitated) 피처(feature)들을 리소그래픽적으로 배치하는 것을 통하여 단결정 III-나이트라이드계, 표면 음향파 공진기 또는 필터 디바이스를 제조하기 위한 방법을 제공할 수 있다. 이 음향 공진기 또는 필터 디바이스는 앞에서 설명된 임의의 피처들과 결합될 수 있다. 일 예에 따라, 본 발명은 앞에서 설명된 방법에서 기인한 디바이스 구조를 제공할 수 있다.
상기에는 특정 실시예들의 전체적인 설명이지만, 다양한 수정예들, 대안 구성들 및 등가물들이 사용될 수 있다. 일 예로서, 패키징된 디바이스는 본 명세서 외 뿐만 아니라 상기에서 설명된 엘리먼트들의 임의의 조합을 포함할 수 있다. 본 출원에서 사용되는, 용어 “기판(substrate)”는 벌크 기판을 의미할 수 있거나 또는 에피택셜 영역, 또는 기능 영역들, 조합들, 및 유사한 것을 함유하는 알루미늄, 갈륨, 또는 알루미늄 및 갈륨 및 질소의 3원 화합물과 같은 위에 덮힌 성장 구조들을 포함할 수 있다. 따라서, 상기의 설명 및 예시들은 첨부된 청구항들에 의해 정의된 본 발명의 범위를 제한하는 것으로 해석되지 않아야 한다.

Claims (11)

  1. 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법에 있어서, 상기 방법은:
    기판 표면 영역을 갖는 기판을 제공하는 단계;
    상기 기판 표면 영역의 위에 덮힌(overlying) 단결정 압전(piezoelectric layer)층을 형성하는 단계로서, 상기 단결정 압전층은 최상부 압전 표면 영역과 바닥 압전 표면 영역을 갖는, 상기 압전층을 형성하는 단계;
    상기 최상부 압전 표면 영역의 위에 덮힌 상면 금속 전극을 형성하는 단계로서, 상기 상면 금속 전극은 상면 전극 에지 기하학적 형상에 의해 특징지어지는 하나 이상의 상면 금속 전극 에지들을 갖는, 상기 상면 금속 전극을 형성하는 단계;
    상기 단결정 압전층 일부에 상면 마이크로-트렌치(micro-trench)를 형성하는 단계;
    상기 상면 마이크로-트렌치내에 상면 금속 플러그(topside metal plug)를 갖는 상면 금속을 형성하는 단계;
    상기 바닥 압전 표면 영역을 노출시키는 상기 기판내 이면 트렌치를 형성하는 단계로서, 상기 이면 트렌치는 상기 상면 금속 전극 및 상기 상면 마이크로-트렌치 아래에 깔리는(underlying), 상기 이면 트렌치를 형성하는 단계;
    상기 이면 트렌치내에 상기 바닥 압전 표면 영역에 근접하여 또는 그 아래에 깔린 이면 금속 전극을 형성하는 단계로서, 상기 이면 금속 전극은 상기 상면 금속에 전기적으로 결합되고, 상기 이면 금속 전극은 이면 전극 에지 기하학적 형상에 의해 특징지어지는 하나 이상의 이면 금속 전극 에지들을 갖는, 상기 이면 금속 전극을 형성하는 단계;
    전기적 연결들을 위해 적어도 두개의 금속 패드들을 형성하는 단계로서, 적어도 하나의 금속 패드는 상기 상면 금속 전극에 전기적으로 결합되고, 적어도 하나의 금속 패드는 상기 이면 금속 전극에 전기적으로 결합되는, 상기 금속 패드들을 형성하는 단계;
    상기 이면 트렌치내에 상기 바닥 압전 표면 영역 아래에 깔린 이면 금속 플러그를 형성하는 단계로서, 상기 이면 금속 플러그는 상기 상면 금속 플러그 및 상기 이면 금속 전극에 전기적으로 결합되고, 상기 상면 마이크로-트렌치, 상기 상면 금속 플러그, 및 상기 이면 금속 플러그는 마이크로-비아(micro-via)를 형성하는, 상기 이면 금속 플러그를 형성하는 단계;
    상기 최상부 압전 표면 영역상에 제 1 상면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계; 및
    상기 바닥 압전 표면 영역상에 제 1 이면 홈을 형성하기 위해 상기 압전층의 일부를 제거하는 단계;를 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  2. 청구항 1에 있어서, 상기 상면 금속 전극 및 상기 이면 금속 전극을 형성하는 단계는 상기 하나 이상의 상면 금속 전극 에지들을 형성하기 위한 에지 프로파일 제조 프로세스를 포함하고, 상기 에지 프로파일 제조 프로세스는 이하의 : 패터닝된 스퍼터링 프로세스, 패터닝된 기화 및 리프트-오프(lift-off) 프로세스, 기화 및 패터닝된 에칭 프로세스, 트리밍(trimming) 프로세스, 레이저 절제 프로세스(laser ablation process), 및 이온 빔 밀링 프로세스(ion beam milling process)에서 선택될 수 있는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  3. 청구항 1에 있어서, 상기 상면 전극 에지 기하학적 형상은 : 하향 경사 에지(down slope edge), 상향 경사 에지(up slope edge), 상향 및 하향 경사 에지, 상향-플랫-하향 경사 에지(up-flat-down slope edge), 계단 스텝 에지(stair steps edge), 및 원형 에지 형상들 중 하나를 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  4. 청구항 1에 있어서, 상기 상면 전극 에지 기하학적 형상 및 상기 이면 전극 에지 형상의 각각은 : 하향 경사 에지, 상향 경사 에지, 상향 및 하향 경사 에지, 상향-플랫-하향 경사 에지, 계단 스텝 에지, 및 원형 에지 형상들 중 하나를 포함하고;
    상기 상면 금속 전극은 상기 하나 이상의 상면 전극 에지들 부근의 상기 상면 금속 전극내에 형성된 홈을 포함하고; 및
    상기 이면 금속 전극은 상기 하나 이상의 이면 전극 에지들 부근의 상기 이면 금속 전극내에 형성된 홈을 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 청구항 1에 있어서,
    상기 상면 금속 전극을 형성하는 단계는 상기 상면 홈 내의 상기 최상부 압전 표면 영역 위에 덮힌 상기 상면 금속 전극을 형성하는 단계를 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  9. 청구항 1에 있어서,
    상기 이면 금속 전극을 형성하는 단계는 상기 이면 홈 내의 상기 바닥 압전 표면 영역 아래에 깔린 상기 이면 금속 전극을 형성하는 단계를 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  10. 청구항 1에 있어서,
    상기 상면 금속 전극을 형성하는 단계는 상기 상면 홈 내의 상기 최상부 압전 표면 영역 위에 덮힌 상기 상면 금속 전극을 형성하는 단계를 포함하고;
    상기 이면 금속 전극을 형성하는 단계는 상기 이면 홈 내의 상기 바닥 압전 표면 영역 아래에 깔린 상기 이면 금속 전극을 형성하는 단계를 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
  11. 청구항 1에 있어서,
    상기 최상부 압전 표면 영역의 일부에 물리적으로 결합되고 상기 상면 금속 전극의 일부에 물리적으로 결합되고 그 위에 덮힌 상면 에지 보더 재료(topside edge border material)를 형성하는 단계를 더 포함하는, 음향 공진기 또는 필터 디바이스를 제조하기 위한 방법.
KR1020170145198A 2016-11-02 2017-11-02 개선된 제조 조건들 및 주변 구조 변경들을 이용한 음향 공진기 또는 필터 디바이스들 제조 방법 및 구조 KR102544078B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/341,218 US10110190B2 (en) 2016-11-02 2016-11-02 Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications
US15/341,218 2016-11-02

Publications (2)

Publication Number Publication Date
KR20180048421A KR20180048421A (ko) 2018-05-10
KR102544078B1 true KR102544078B1 (ko) 2023-06-15

Family

ID=62021923

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170145198A KR102544078B1 (ko) 2016-11-02 2017-11-02 개선된 제조 조건들 및 주변 구조 변경들을 이용한 음향 공진기 또는 필터 디바이스들 제조 방법 및 구조

Country Status (3)

Country Link
US (10) US10110189B2 (ko)
KR (1) KR102544078B1 (ko)
CN (1) CN108023569B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11558023B2 (en) * 2016-03-11 2023-01-17 Akoustis, Inc. Method for fabricating an acoustic resonator device
US11411168B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via sputtering
US10110189B2 (en) * 2016-11-02 2018-10-23 Akoustis, Inc. Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications
US11411169B2 (en) 2017-10-16 2022-08-09 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US10855250B2 (en) * 2016-07-11 2020-12-01 Akoustis, Inc. Communication filter for LTE band 41
US11895920B2 (en) 2016-08-15 2024-02-06 Akoustis, Inc. Methods of forming group III piezoelectric thin films via removal of portions of first sputtered material
US11856858B2 (en) * 2017-10-16 2023-12-26 Akoustis, Inc. Methods of forming doped crystalline piezoelectric thin films via MOCVD and related doped crystalline piezoelectric thin films
EP3841669A4 (en) * 2018-08-20 2022-05-25 Woolsey, David ACOUSTIC RESONATOR
CN109150135B (zh) * 2018-11-13 2024-05-31 杭州左蓝微电子技术有限公司 基于键合的薄膜体声波谐振器及其加工方法
CN109889179A (zh) * 2018-12-26 2019-06-14 天津大学 谐振器和梯形滤波器
CN111010099A (zh) * 2019-03-02 2020-04-14 天津大学 带凹陷结构和凸结构的体声波谐振器、滤波器及电子设备
CN111010100A (zh) * 2019-03-02 2020-04-14 天津大学 压电层带凹陷结构的体声波谐振器、滤波器及电子设备
US10797681B1 (en) * 2019-07-25 2020-10-06 Zhuhai Crystal Resonance Technologies Co., Ltd. Method of fabricating novel packages for electronic components
DE102019120558A1 (de) * 2019-07-30 2021-02-04 RF360 Europe GmbH BAW-Resonator mit reduzierten seitlichen Moden
CN112117979B (zh) * 2019-08-16 2022-05-17 中芯集成电路(宁波)有限公司 谐振器及其制造方法、滤波器、电子设备
CN111092605B (zh) * 2019-12-31 2021-06-01 诺思(天津)微***有限责任公司 具有声学干涉阵列的体声波谐振器及组、滤波器及电子设备
CN112039465B (zh) * 2020-03-10 2024-03-12 中芯集成电路(宁波)有限公司 一种薄膜体声波谐振器及其制造方法
CN113497593B (zh) * 2020-04-08 2023-05-12 诺思(天津)微***有限责任公司 单晶体声波谐振器、滤波器及电子设备
CN111883645B (zh) * 2020-04-29 2021-09-21 诺思(天津)微***有限责任公司 具有叠置单元的半导体结构及制造方法、电子设备
CN111817679B (zh) * 2020-06-09 2021-10-15 见闻录(浙江)半导体有限公司 一种薄膜体声波谐振器及其制作工艺
CN112532200B (zh) * 2020-11-11 2024-05-17 武汉衍熙微器件有限公司 声波器件的制作方法及声波器件

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110298564A1 (en) * 2009-02-20 2011-12-08 Kazuki Iwashita Thin-Film Piezoelectric Resonator and Thin-Film Piezoelectric Filter Using the Same

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231327A (en) 1990-12-14 1993-07-27 Tfr Technologies, Inc. Optimized piezoelectric resonator-based networks
JP2873256B2 (ja) 1991-02-01 1999-03-24 シャープ 株式会社 液晶表示装置
US6051907A (en) 1996-10-10 2000-04-18 Nokia Mobile Phones Limited Method for performing on-wafer tuning of thin film bulk acoustic wave resonators (FBARS)
US5894647A (en) 1997-06-30 1999-04-20 Tfr Technologies, Inc. Method for fabricating piezoelectric resonators and product
US6114635A (en) 1998-07-14 2000-09-05 Tfr Technologies, Inc. Chip-scale electronic component package
US6262637B1 (en) 1999-06-02 2001-07-17 Agilent Technologies, Inc. Duplexer incorporating thin-film bulk acoustic resonators (FBARs)
FI107660B (fi) 1999-07-19 2001-09-14 Nokia Mobile Phones Ltd Resonaattorirakenne
US6384697B1 (en) 2000-05-08 2002-05-07 Agilent Technologies, Inc. Cavity spanning bottom electrode of a substrate-mounted bulk wave acoustic resonator
US6377137B1 (en) 2000-09-11 2002-04-23 Agilent Technologies, Inc. Acoustic resonator filter with reduced electromagnetic influence due to die substrate thickness
DE10058339A1 (de) 2000-11-24 2002-06-06 Infineon Technologies Ag Bulk-Acoustic-Wave-Filter
US6649287B2 (en) 2000-12-14 2003-11-18 Nitronex Corporation Gallium nitride materials and methods
US6472954B1 (en) 2001-04-23 2002-10-29 Agilent Technologies, Inc. Controlled effective coupling coefficients for film bulk acoustic resonators
DE10124349A1 (de) 2001-05-18 2002-12-05 Infineon Technologies Ag Piezoelektrische Resonatorvorrichtung mit Verstimmungsschichtfolge
JP4037825B2 (ja) 2001-07-30 2008-01-23 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 音響反射器を備える圧電性共振器装置
US6879224B2 (en) 2002-09-12 2005-04-12 Agilent Technologies, Inc. Integrated filter and impedance matching network
DE10262056B4 (de) 2002-11-07 2008-08-28 Infineon Technologies Ag BAW-Resonator mit akustischem Reflektor und Filterschaltung
US7112860B2 (en) 2003-03-03 2006-09-26 Cree, Inc. Integrated nitride-based acoustic wave devices and methods of fabricating integrated nitride-based acoustic wave devices
DE10310617B4 (de) 2003-03-10 2006-09-21 Infineon Technologies Ag Elektronisches Bauteil mit Hohlraum und ein Verfahren zur Herstellung desselben
US8097565B2 (en) 2003-06-30 2012-01-17 Johnson & Johnson Vision Care, Inc. Silicone hydrogels having consistent concentrations of multi-functional polysiloxanes
KR101323447B1 (ko) 2003-10-06 2013-10-29 트리퀸트 세미컨덕터 인코퍼레이티드 사다리형 필터, 무선 주파수 대역 통과 필터, 무선 주파수 수신기 및 송신기 장치, 무선 주파수 수신기 장치 및 무선 주파수 송신기 장치
US7466213B2 (en) * 2003-10-06 2008-12-16 Nxp B.V. Resonator structure and method of producing it
KR20050066104A (ko) * 2003-12-26 2005-06-30 삼성전기주식회사 Fbar 소자 및 그 제조방법
US7514759B1 (en) 2004-04-19 2009-04-07 Hrl Laboratories, Llc Piezoelectric MEMS integration with GaN technology
JP4077805B2 (ja) 2004-04-23 2008-04-23 松下電器産業株式会社 共振器の製造方法
WO2006093063A1 (en) * 2005-02-28 2006-09-08 Matsushita Electric Industrial Co., Ltd. Piezoelectric filter, and duplexer and communications apparatus using the same
US7250360B2 (en) 2005-03-02 2007-07-31 Cornell Research Foundation, Inc. Single step, high temperature nucleation process for a lattice mismatched substrate
DE102005028927B4 (de) 2005-06-22 2007-02-15 Infineon Technologies Ag BAW-Vorrichtung
JP4435049B2 (ja) 2005-08-08 2010-03-17 株式会社東芝 薄膜圧電共振器及びその製造方法
US7893793B2 (en) * 2006-08-25 2011-02-22 Panasonic Corporation Film bulk acoustic wave resonator and method for manufacturing the same
US7982363B2 (en) 2007-05-14 2011-07-19 Cree, Inc. Bulk acoustic device and method for fabricating
JP5136834B2 (ja) 2007-10-16 2013-02-06 株式会社村田製作所 Rf電力増幅装置およびrf電力増幅器の電源電圧を制御する電源供給回路
CN101689841A (zh) * 2007-12-25 2010-03-31 株式会社村田制作所 复合压电基板的制造方法
JP2010068109A (ja) 2008-09-09 2010-03-25 Sumitomo Electric Ind Ltd 弾性表面波素子
CN101465628B (zh) * 2009-01-15 2011-05-11 电子科技大学 一种薄膜体声波谐振器及其制备方法
US9048811B2 (en) * 2009-03-31 2015-06-02 Sand 9, Inc. Integration of piezoelectric materials with substrates
US8304271B2 (en) 2009-05-20 2012-11-06 Jenn Hwa Huang Integrated circuit having a bulk acoustic wave device and a transistor
US8085117B1 (en) * 2009-07-29 2011-12-27 Triquint Semiconductor, Inc. Slotted boundary acoustic wave device
US8787020B2 (en) 2011-05-09 2014-07-22 Bae Systems Information And Electronic Systems Integration Inc. Module cooling method and plenum adaptor
CN102223142B (zh) * 2011-08-13 2019-09-10 张�浩 声波谐振器
US8896395B2 (en) * 2011-09-14 2014-11-25 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having multiple lateral features
JP2013223025A (ja) * 2012-04-13 2013-10-28 Taiyo Yuden Co Ltd フィルタ装置、フィルタ装置の製造方法及びデュプレクサ
US9337799B2 (en) * 2012-11-02 2016-05-10 Qualcomm Mems Technologies, Inc. Selective tuning of acoustic devices
US9548438B2 (en) * 2014-03-31 2017-01-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising acoustic redistribution layers
US9912314B2 (en) 2014-07-25 2018-03-06 Akoustics, Inc. Single crystal acoustic resonator and bulk acoustic wave filter
US9716581B2 (en) 2014-07-31 2017-07-25 Akoustis, Inc. Mobile communication device configured with a single crystal piezo resonator structure
US20160191015A1 (en) * 2014-12-27 2016-06-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Split current bulk acoustic wave (baw) resonators
US20160197593A1 (en) * 2015-01-06 2016-07-07 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Method for fabricating film bulk acoustic resonator filters
US9588574B2 (en) 2015-01-28 2017-03-07 Qualcomm Incorporated Power saving mode fallback during concurrency scenarios
US10868407B2 (en) * 2015-06-04 2020-12-15 Hewlett Packard Enterprise Development Lp Monolithic WDM VCSELS with spatially varying gain peak and fabry perot wavelength
US9948272B2 (en) * 2015-09-10 2018-04-17 Qorvo Us, Inc. Air gap in BAW top metal stack for reduced resistive and acoustic loss
WO2017095437A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Film bulk acoustic resonator (fbar) devices for high frequency rf filters
US10164605B2 (en) * 2016-01-26 2018-12-25 Avago Technologies International Sales Pte. Limited Bulk acoustic wave resonator with piezoelectric layer comprising lithium niobate or lithium tantalate
US10200013B2 (en) * 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10608611B2 (en) * 2016-03-10 2020-03-31 Qorvo Us, Inc. Bulk acoustic wave resonator with electrically isolated border ring
US10110189B2 (en) * 2016-11-02 2018-10-23 Akoustis, Inc. Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications
KR102642910B1 (ko) * 2016-05-18 2024-03-04 삼성전기주식회사 음향 공진기 및 그 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110298564A1 (en) * 2009-02-20 2011-12-08 Kazuki Iwashita Thin-Film Piezoelectric Resonator and Thin-Film Piezoelectric Filter Using the Same

Also Published As

Publication number Publication date
US20220021364A1 (en) 2022-01-20
US20210184641A1 (en) 2021-06-17
CN108023569A (zh) 2018-05-11
US11563412B2 (en) 2023-01-24
US10931251B2 (en) 2021-02-23
US20190020324A1 (en) 2019-01-17
US10110190B2 (en) 2018-10-23
US20190036504A1 (en) 2019-01-31
US10110189B2 (en) 2018-10-23
US10979011B2 (en) 2021-04-13
KR20180048421A (ko) 2018-05-10
US20190190479A1 (en) 2019-06-20
US10110188B2 (en) 2018-10-23
US10992279B2 (en) 2021-04-27
US20230344399A1 (en) 2023-10-26
CN108023569B (zh) 2023-06-30
US11728781B2 (en) 2023-08-15
US20180123541A1 (en) 2018-05-03
US20190020325A1 (en) 2019-01-17
US20180123540A1 (en) 2018-05-03
US11165404B2 (en) 2021-11-02
US20180123542A1 (en) 2018-05-03

Similar Documents

Publication Publication Date Title
KR102544078B1 (ko) 개선된 제조 조건들 및 주변 구조 변경들을 이용한 음향 공진기 또는 필터 디바이스들 제조 방법 및 구조
US11881831B2 (en) Method of manufacture for single crystal acoustic resonator devices using micro-vias
US10523180B2 (en) Method and structure for single crystal acoustic resonator devices using thermal recrystallization
US20230123976A1 (en) Method and structure of single crystal electronic devices with enhanced strain interface regions by impurity introduction
KR102497773B1 (ko) 단결정 음향 필터 디바이스들로 구성된 모노리식 단일 칩 집적된 라디오 주파수 프론트 엔드 모듈
US11558023B2 (en) Method for fabricating an acoustic resonator device
KR20220149445A (ko) 스칸듐의 분결 및 막 응력 레벨들을 해결하기 위해 도핑으로 에피택셜 Al1-xScxN 막들을 형성하는 방법들 및 관련 공진기 디바이스들
KR20220025720A (ko) Mocvd를 통해 도핑된 결정질 압전 박막들을 형성하는 방법들, 및 관련된 도핑된 결정질 압전 박막들

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant