KR102544077B1 - Precursor composition for film deposition and methods of forming a film using the same - Google Patents

Precursor composition for film deposition and methods of forming a film using the same Download PDF

Info

Publication number
KR102544077B1
KR102544077B1 KR1020210031804A KR20210031804A KR102544077B1 KR 102544077 B1 KR102544077 B1 KR 102544077B1 KR 1020210031804 A KR1020210031804 A KR 1020210031804A KR 20210031804 A KR20210031804 A KR 20210031804A KR 102544077 B1 KR102544077 B1 KR 102544077B1
Authority
KR
South Korea
Prior art keywords
nme
cpme
prcp
group
carbon atoms
Prior art date
Application number
KR1020210031804A
Other languages
Korean (ko)
Other versions
KR20210114890A (en
Inventor
장동학
문지원
이정엽
박용주
김동수
김상호
송기창
오한솔
홍창성
이상경
Original Assignee
에스케이하이닉스 주식회사
에스케이트리켐 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이하이닉스 주식회사, 에스케이트리켐 주식회사 filed Critical 에스케이하이닉스 주식회사
Publication of KR20210114890A publication Critical patent/KR20210114890A/en
Application granted granted Critical
Publication of KR102544077B1 publication Critical patent/KR102544077B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors

Abstract

본 발명은 금속막 형성용 전구체 조성물 및 이를 이용한 박막 형성 방법에 관한 것으로서, 구체적으로 (a) 하기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물 중 적어도 하나 이상의 화합물을 포함하는 제1 금속 화합물; 및 (b) 하기 화학식 3으로 표시되는 화합물을 포함하는 제2 금속 화합물;을 포함하는 금속막 형성용 전구체 조성물과 이를 이용한 박막 형성 방법에 관한 것이다.The present invention relates to a precursor composition for forming a metal film and a method for forming a thin film using the same, and specifically, (a) a first metal compound comprising at least one compound represented by Formula 1 and Formula 2 below ; And (b) a second metal compound including a compound represented by Formula 3; relates to a precursor composition for forming a metal film and a method of forming a thin film using the same.

Description

금속막 형성용 전구체 조성물 및 이를 이용한 박막 형성 방법{PRECURSOR COMPOSITION FOR FILM DEPOSITION AND METHODS OF FORMING A FILM USING THE SAME}Precursor composition for forming a metal film and method for forming a thin film using the same

본 발명은 금속막 형성용 전구체 조성물 및 이를 이용한 박막 형성 방법에 관한 것이다.The present invention relates to a precursor composition for forming a metal film and a method for forming a thin film using the same.

반도체 소자 제조 시에 커패시터 유전막 혹은 트랜지스터의 게이트 절연막을 형성하기 위하여, 원자층 증착(ALD) 또는 화학 기상 증착(CVD) 공정이 적용되고 있으며, 이러한 공정을 위한 전구체로 다양한 형태의 유기금속 화합물이 개발되어 사용되고 있다. In order to form a capacitor dielectric film or a gate insulating film of a transistor during semiconductor device manufacturing, atomic layer deposition (ALD) or chemical vapor deposition (CVD) processes are applied, and various types of organometallic compounds are developed as precursors for these processes. is being used.

이러한 유기금속 화합물 전구체로는 high-K의 전기적 특성이 우수한 하프늄 또는 지르코늄 산화물 등을 적용할 수 있다.Hafnium or zirconium oxide having excellent high-K electrical properties may be used as the organometallic compound precursor.

최근 박막 형성 공정을 보다 최적화하기 위하여, 원자층 증착(ALD) 또는 화학 기상 증착(CVD) 공정에 보다 적합한 전구체를 개발하기 위한 연구가 대두되고 있다. Recently, in order to further optimize the thin film formation process, research for developing a precursor more suitable for an atomic layer deposition (ALD) or chemical vapor deposition (CVD) process has emerged.

대한민국 공개특허공보 제2012-0093165호에는 ML(NR7R8)3의 하프늄 또는 지르코늄 화합물을 전구체를 이용한 방법이 개시되어 있고, 특히 사이클로펜타디에닐 리간드를 포함하는 전구체의 경우 박막 형성 공정에 적합하다는 것이 개시되어 있다.Republic of Korea Patent Publication No. 2012-0093165 discloses a method using a hafnium or zirconium compound of ML(NR7R8) 3 as a precursor, and in particular, it is disclosed that a precursor containing a cyclopentadienyl ligand is suitable for a thin film formation process. has been

대한민국 공개특허공보 제2015-0101318호에는 지르코늄 화합물 및 방향족 탄화수소 화합물, 예컨대 사이클로펜타디에닐 리간드를 적용한 화합물을 함유하는 전구체 조성물을 통해 산화 지르코늄 박막을 형성하는 방법이 개시되어 있다.Republic of Korea Patent Publication No. 2015-0101318 discloses a method of forming a zirconium oxide thin film through a precursor composition containing a zirconium compound and an aromatic hydrocarbon compound, for example, a compound to which a cyclopentadienyl ligand is applied.

대한민국 등록특허공보 10-0399478호에는 사이클로펜타디에닐 리간드를 함유하는 지르코늄 화합물을 이용하여 박막을 형성하는 방법이 개시되어 있다.Korean Patent Registration No. 10-0399478 discloses a method of forming a thin film using a zirconium compound containing a cyclopentadienyl ligand.

다만, 이러한 종래 방법의 경우 전구체의 물성 한계로 인해 박막의 결정성 및 조성, 불순물에 따른 유전율과 누설전류의 특성을 개선하는데 한계가 있으며, 또한 박막 특성을 개선하기 위해서 추가적인 결정성을 향상시킬 수 있는 전구체 증착 공정을 필요로 하기 때문에 제조 공정 과정 및 비용이 증가한다는 단점이 있다.However, in the case of these conventional methods, there is a limit to improving the dielectric constant and leakage current characteristics according to the crystallinity and composition of the thin film and impurities due to the physical property limitations of the precursor, and additional crystallinity can be improved to improve the thin film characteristics. There is a disadvantage in that the manufacturing process and cost increase because a precursor deposition process is required.

따라서, 높은 유전율 (high-K)과 낮은 누설전류의 특성을 확보할 수 있는 금속막 형성용 전구체 조성물 및 이를 이용한 박막 형성 방법의 개발이 필요한 실정이다.Therefore, there is a need to develop a precursor composition for forming a metal film capable of securing characteristics of high dielectric constant (high-K) and low leakage current and a method for forming a thin film using the same.

대한민국 공개특허공보 제2012-0093165호Republic of Korea Patent Publication No. 2012-0093165 대한민국 공개특허공보 제2015-0101318호Republic of Korea Patent Publication No. 2015-0101318 대한민국 등록특허공보 10-0399478호Republic of Korea Patent Registration No. 10-0399478

본 발명은 상기와 같은 종래기술들을 감안하여 안출된 것으로, 높은 유전율(high-K) 특성을 나타내는 금속막 형성용 전구체 조성물을 제공하고자 한다.The present invention has been made in view of the prior art as described above, and is intended to provide a precursor composition for forming a metal film exhibiting high dielectric constant (high-K) characteristics.

또한, 본 발명에서는 상기 금속막 형성용 전구체 조성물을 이용한 박막 형성 방법을 제공하고자 한다.In addition, the present invention is to provide a method of forming a thin film using the precursor composition for forming a metal film.

또한, 본 발명에서는 상기 박막을 포함하는 커패시터 유전막 또는 게이트 절연막을 제공하고자 한다.In addition, the present invention is intended to provide a capacitor dielectric film or gate insulating film including the thin film.

일 구현예에 따르면, 본 발명은 According to one embodiment, the present invention

(a) 하기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물 중 적어도 하나 이상의 화합물을 포함하는 제1 금속 화합물; 및(a) a first metal compound containing at least one compound of the compound represented by Formula 1 and the compound represented by Formula 2; and

(b) 하기 화학식 3으로 표시되는 화합물을 포함하는 제2 금속 화합물;을 포함하는 금속막 형성용 전구체 조성물을 제공한다.(b) a second metal compound including a compound represented by Chemical Formula 3;

[화학식 1][Formula 1]

Figure 112021028658916-pat00001
Figure 112021028658916-pat00001

(상기 화학식 1에서,(In Formula 1 above,

R1 내지 R4는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,R 1 to R 4 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;

M은 Zr, Ti, 또는 Hf 이며, M is Zr, Ti, or Hf;

L은 Si 또는 치환 또는 비치환된 탄소수 1 내지 10의 알킬렌기이고, L is Si or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms,

X1 내지 X3은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, -NR5R6 기 (이때, R5 및 R6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 또는 -OR7 기 (이때, R7은 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다)이다.)X 1 to X 3 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, -NR 5 R 6 group (wherein, R 5 and R 6 are Each independently represents a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms) or -OR 7 group (wherein R 7 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms).)

[화학식 2][Formula 2]

Figure 112021028658916-pat00002
Figure 112021028658916-pat00002

(상기 화학식 2에서,(In Formula 2 above,

R8 내지 R12는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,R 8 to R 12 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;

M1은 Zr, Ti, 또는 Hf 이며, M 1 is Zr, Ti, or Hf;

X4 내지 X6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, -NR13R14 기 (이때, R13 및 R14은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 또는 -OR15 기 (이때, R15는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다)이다.)X 4 to X 6 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, -NR 13 R 14 group (wherein, R 13 and R 14 are Each independently represents a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms) or -OR 15 group (wherein R 15 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms).)

[화학식 3][Formula 3]

Figure 112021028658916-pat00003
Figure 112021028658916-pat00003

(상기 화학식 3에서,(In Formula 3,

R16 내지 R20은 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,R 16 to R 20 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;

X7은 치환 또는 비치환된 탄소수 1 내지 10의 알킬기, 치환 또는 비치환된 탄소수 1 내지 10의 알케닐기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, 탄소수 1 내지 20의 알콕시기, 탄소수 1 내지 30의 아민기, 탄소수 1 내지 30의 아미노기, 탄소수 1 내지 12의 아미디네이트기 (amidinate), 탄소수 1 내지 20의 구아니디네이트기 (guanidinate), 탄소수 1 내지 20의 아미노아민기, 탄소수 1 내지 20의 알콕시아민기, 탄소수 3 내지 20의 β-디케토네이트기 및 -OR21 기 (이때, R21는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 중 어느 하나이며, n은 0 내지 2 중 어느 하나의 정수이다.)X 7 is a substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, a substituted or unsubstituted alkenyl group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, an alkoxy group having 1 to 20 carbon atoms, Amine group having 1 to 30 carbon atoms, amino group having 1 to 30 carbon atoms, amidinate group having 1 to 12 carbon atoms, guanidinate group having 1 to 20 carbon atoms, aminoamine group having 1 to 20 carbon atoms , an alkoxyamine group having 1 to 20 carbon atoms, a β-diketonate group having 3 to 20 carbon atoms, and a -OR 21 group (wherein R 21 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms), n is an integer from 0 to 2.)

또한, 상기 본 발명의 금속막 형성용 전구체 조성물은 (c) 탄소수 1 내지 16의 포화 또는 불포화 탄화수소 용매, 환형 에테르 용매, 선형 에테르 용매, 글라임 용매, 에스테르 용매 및 아민 용매 중 적어도 하나 이상의 용매를 추가로 포함할 수 있다.In addition, the precursor composition for forming a metal film of the present invention (c) contains at least one solvent selected from among saturated or unsaturated hydrocarbon solvents having 1 to 16 carbon atoms, cyclic ether solvents, linear ether solvents, glyme solvents, ester solvents, and amine solvents. can be further included.

다른 구현예에 따르면, 본 발명은According to another embodiment, the present invention

챔버 내에 기판을 위치하는 단계; 및positioning a substrate within the chamber; and

상기 챔버 내에 본 발명의 금속막 형성용 전구체 조성물을 공급하여 기판 상에 금속 박막을 증착하는 단계;를 포함하는 박막 형성 방법을 제공한다.It provides a thin film formation method comprising the; depositing a metal thin film on a substrate by supplying the precursor composition for forming a metal film of the present invention into the chamber.

또 다른 구현예에 따르면, 본 발명은 상기 방법에 의해 형성된 박막을 포함하는 커패시터 유전막 또는 게이트 절연막을 제공한다.According to another embodiment, the present invention provides a capacitor dielectric film or gate insulating film including a thin film formed by the above method.

본 발명에서는 적어도 2종의 금속 화합물, 즉 제1 금속 화합물과 이트륨 화합물을 함유하는 제2 금속 화합물을 포함하는 금속막 형성용 전구체 조성물을 이용함으로써, 단일 공정으로 높은 유전율 (high-K) 특성을 나타내는 금속 박막을 형성할 수 있다. 또한, 본 발명에서는 상기 금속 박막을 포함함으로써, 낮은 누설 전류 특성 및 높은 유전율을 확보할 수 있는 커패시터 유전막 또는 게이트 절연막을 구현할 수 있다. In the present invention, by using a precursor composition for forming a metal film including at least two metal compounds, that is, a first metal compound and a second metal compound containing a yttrium compound, high dielectric constant (high-K) characteristics can be obtained in a single process. A metal thin film can be formed. In addition, in the present invention, by including the metal thin film, it is possible to implement a capacitor dielectric film or a gate insulating film capable of securing low leakage current characteristics and high permittivity.

본 명세서에 첨부되는 다음의 도면은 본 발명의 바람직한 실시예를 예시하는 것이며, 전술한 발명의 내용과 함께 본 발명의 기술 사상을 더욱 이해시키는 역할을 하는 것이므로, 본 발명은 그러한 도면에 기재된 사항에만 한정되어 해석되어서는 아니다.
도 1은 본 발명의 금속막 형성용 전구체 조성물을 이용하여 형성된 금속 박막을 포함하는 커패시터의 단면도를 나타낸 개념도이다.
도 2는 본 발명의 금속막 형성용 전구체 조성물을 이용하여 형성된 금속 박막을 포함하는 게이트 절연막을 구비한 트랜지스터의 단면도를 나타낸 개념도이다.
도 3은 실시예 1의 금속막 형성용 전구체 조성물에 대한 NMR 그래프이다.
도 4는 실시예 1의 금속막 형성용 전구체 조성물에 대한 TGA 그래프이다.
도 5는 실시예 5의 금속막 형성용 전구체 조성물에 대한 NMR 그래프이다.
도 6은 실시예 5의 금속막 형성용 전구체 조성물에 대한 TGA 그래프이다.
도 7은 실시예 7의 금속막 형성용 전구체 조성물에 대한 NMR 그래프이다.
도 8은 실시예 7의 금속막 형성용 전구체 조성물에 대한 TGA 그래프이다.
도 9는 실시예 8의 금속막 형성용 전구체 조성물에 대한 NMR 그래프이다/
도 10은 실시예 8의 금속막 형성용 전구체 조성물에 대한 TGA 그래프이다.
도 11은 실험예 1에 따른 금속 박막의 유전율을 비교한 비교 그래프이다.
도 12는 실험예 1에 따른 금속 박막의 누설 전류 특성을 비교한 비교 그래프이다.
도 13은 실험예 1에 따른 금속 박막의 등가산화물 두께를 비교한 비교 그래프이다.
도 14는 실험예 2에 따른 금속 박막의 유전율을 비교한 비교 그래프이다.
도 15는 실험예 3에 따른 금속 박막의 유전율을 비교한 비교 그래프이다.
도 16은 실험예 3에 따른 금속 박막의 누설 전류 특성을 비교한 비교 그래프이다.
도 17은 실험예 3에 따른 금속 박막의 등가산화물 두께를 비교한 비교 그래프이다.
The following drawings attached to this specification illustrate preferred embodiments of the present invention, and serve to further understand the technical spirit of the present invention together with the contents of the above-described invention, so the present invention is limited to those described in the drawings. It should not be construed as limiting.
1 is a conceptual diagram showing a cross-sectional view of a capacitor including a metal thin film formed using a precursor composition for forming a metal film of the present invention.
2 is a conceptual diagram showing a cross-sectional view of a transistor having a gate insulating film including a metal thin film formed using the precursor composition for forming a metal film according to the present invention.
3 is an NMR graph of the precursor composition for forming a metal film of Example 1.
4 is a TGA graph of the precursor composition for forming a metal film of Example 1.
5 is an NMR graph of the precursor composition for forming a metal film of Example 5.
6 is a TGA graph of the precursor composition for forming a metal film of Example 5.
7 is an NMR graph of the precursor composition for forming a metal film of Example 7.
8 is a TGA graph of the precursor composition for forming a metal film of Example 7.
9 is an NMR graph of the precursor composition for forming a metal film of Example 8/
10 is a TGA graph of the precursor composition for forming a metal film of Example 8.
11 is a comparison graph comparing permittivity of metal thin films according to Experimental Example 1.
12 is a comparison graph comparing leakage current characteristics of metal thin films according to Experimental Example 1;
13 is a comparison graph comparing equivalent oxide thicknesses of metal thin films according to Experimental Example 1;
14 is a comparison graph comparing permittivity of metal thin films according to Experimental Example 2;
15 is a comparison graph comparing permittivity of metal thin films according to Experimental Example 3;
16 is a comparative graph comparing leakage current characteristics of metal thin films according to Experimental Example 3;
17 is a comparison graph comparing equivalent oxide thicknesses of metal thin films according to Experimental Example 3;

이하 본 발명을 보다 상세히 설명한다. 본 명세서 및 청구범위에 사용된 용어나 단어는 통상적이거나 사전적인 의미로 한정해서 해석되어서는 아니되며, 발명자는 그 자신의 발명을 가장 최선의 방법으로 설명하기 위해 용어의 개념을 적절하게 정의할 수 있다는 원칙에 입각하여 본 발명의 기술적 사상에 부합하는 의미와 개념으로 해석되어야만 한다.Hereinafter, the present invention will be described in more detail. Terms or words used in this specification and claims should not be construed as being limited to ordinary or dictionary meanings, and the inventor may appropriately define the concept of terms in order to explain his or her invention in the best way. It should be interpreted as a meaning and concept consistent with the technical idea of the present invention based on the principle that there is.

한편, 본 명세서에서 용어인 "금속 박막"이란, 금속 성분을 함유한 막을 나타내는 것으로서, 예를 들면 금속막, 금속 산화물 박막 및 금속 질화물 박막 중 적어도 하나 이상의 박막을 의미한다.Meanwhile, the term "metal thin film" used herein refers to a film containing a metal component, and means, for example, at least one thin film among a metal film, a metal oxide thin film, and a metal nitride thin film.

또한, 본 명세서에서 용어 "Cp"란, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기를 의미한다.In addition, the term "Cp" used herein refers to a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms.

금속막 형성용 전구체 조성물Precursor composition for metal film formation

일 구현예에 따르면, 본 발명은According to one embodiment, the present invention

(a) 하기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물 중 적어도 하나 이상의 화합물을 포함하는 제1 금속 화합물; 및(a) a first metal compound containing at least one compound of the compound represented by Formula 1 and the compound represented by Formula 2; and

(b) 하기 화학식 3으로 표시되는 화합물을 포함하는 제2 금속 화합물;을 포함하는 금속막 형성용 전구체 조성물을 제공한다.(b) a second metal compound including a compound represented by Chemical Formula 3;

[화학식 1][Formula 1]

Figure 112021028658916-pat00004
Figure 112021028658916-pat00004

(상기 화학식 1에서,(In Formula 1 above,

R1 내지 R4는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,R 1 to R 4 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;

M은 Zr, Ti, 또는 Hf 이며, M is Zr, Ti, or Hf;

L은 Si 또는 치환 또는 비치환된 탄소수 1 내지 10의 알킬렌기이고, L is Si or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms,

X1 내지 X3은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, -NR5R6 기 (이때, R5 및 R6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 또는 -OR7 기 (이때, R7은 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다)이다.)X 1 to X 3 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, -NR 5 R 6 group (wherein, R 5 and R 6 are Each independently represents a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms) or -OR 7 group (wherein R 7 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms).)

[화학식 2][Formula 2]

Figure 112021028658916-pat00005
Figure 112021028658916-pat00005

(상기 화학식 2에서,(In Formula 2 above,

R8 내지 R12는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,R 8 to R 12 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;

M1은 Zr, Ti, 또는 Hf 이며, M 1 is Zr, Ti, or Hf;

X4 내지 X6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, -NR13R14 기 (이때, R13 및 R14은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 또는 -OR15 기 (이때, R15는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다)이다.)X 4 to X 6 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, -NR 13 R 14 group (wherein, R 13 and R 14 are Each independently represents a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms) or -OR 15 group (wherein R 15 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms).)

[화학식 3][Formula 3]

Figure 112021028658916-pat00006
Figure 112021028658916-pat00006

(상기 화학식 3에서,(In Formula 3,

R16 내지 R20은 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,R 16 to R 20 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;

X7은 치환 또는 비치환된 탄소수 1 내지 10의 알킬기, 치환 또는 비치환된 탄소수 1 내지 10의 알케닐기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, 탄소수 1 내지 20의 알콕시기, 탄소수 1 내지 30의 아민기, 탄소수 1 내지 30의 아미노기, 탄소수 1 내지 12의 아미디네이트기 (amidinate), 탄소수 1 내지 20의 구아니디네이트기 (guanidinate), 탄소수 1 내지 20의 아미노아민기, 탄소수 1 내지 20의 알콕시아민기, 탄소수 3 내지 20의 β-디케토네이트기 및 -OR21 기 (이때, R21는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 중 어느 하나이며, n은 0 내지 2 중 어느 하나의 정수이다.)X 7 is a substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, a substituted or unsubstituted alkenyl group having 1 to 10 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, an alkoxy group having 1 to 20 carbon atoms, Amine group having 1 to 30 carbon atoms, amino group having 1 to 30 carbon atoms, amidinate group having 1 to 12 carbon atoms, guanidinate group having 1 to 20 carbon atoms, aminoamine group having 1 to 20 carbon atoms , an alkoxyamine group having 1 to 20 carbon atoms, a β-diketonate group having 3 to 20 carbon atoms, and a -OR 21 group (wherein R 21 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms), n is an integer from 0 to 2.)

(a) 제1 금속 화합물 (a) a first metal compound

본 발명의 금속막 형성용 전구체 조성물은 제1 금속 화합물로서 상기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물 중 적어도 하나 이상의 화합물을 포함할 수 있다.The precursor composition for forming a metal film according to the present invention may include at least one compound of the compound represented by Chemical Formula 1 and the compound represented by Chemical Formula 2 as the first metal compound.

이때, 상기 화학식 1에서, R1 내지 R4는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 4의 알킬기이고, L은 치환 또는 비치환된 탄소수 1 내지 6의 알킬렌기이며, X1 내지 X3은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 4의 알킬기, 치환 또는 비치환된 탄소수 5 내지 7의 사이클로알케닐기, -NR5R6 기 (이때, R5 및 R6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기이다)일 수 있다.In this case, in Formula 1, R 1 to R 4 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, L is a substituted or unsubstituted alkylene group having 1 to 6 carbon atoms, and X 1 to X 3 are each independently a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 7 carbon atoms, -NR 5 R 6 group (wherein R 5 and R 6 are each independently It may be a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms).

또한, 상기 화학식 2에서, R8 내지 R12는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 3의 알킬기이고, X4 내지 X6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, -NR13R14 기 (이때, R13 및 R14은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 4의 알킬기이다), -OR15 기 (이때, R15는 치환 또는 비치환된 탄소수 1 내지 3의 알킬기이다)일 수 있다.In Formula 2, R 8 to R 12 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 3 carbon atoms, and X 4 to X 6 are each independently substituted or unsubstituted 1 to 5 carbon atoms. Alkyl group of, -NR 13 R 14 group (wherein, R 13 and R 14 are each independently a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms), -OR 15 group (wherein, R 15 is a substituted or unsubstituted It may be an alkyl group having 1 to 3 carbon atoms).

구체적으로, 상기 화학식 1로 표시되는 화합물에서, M이 Zr인 경우 그 대표적인 화합물은 Zr[CpCH2NMe](NMe2)2, Zr[Cp(CH2)2NMe](NMe2)2, Zr[Cp(CH2)3NMe](NMe2)2, Zr[Cp(CH2)4NMe](NMe2)2, Zr[Cp(CH2)5NMe](NMe2)2, Zr[CpCH2NMe](Cp)(NMe2), Zr[Cp(CH2)2NMe](Cp)(NMe2), Zr[Cp(CH2)3NMe](Cp)(NMe2), Zr[Cp(CH2)4NMe](Cp)(NMe2), Zr[Cp(CH2)5NMe](Cp)(NMe2), Zr[(CpMe)CH2NMe](NMe2)2, Zr[(CpMe)(CH2)2NMe](NMe2)2, Zr[(CpMe)(CH2)3NMe](NMe2)2, Zr[(CpMe)(CH2)4NMe](NMe2)2, Zr[(CpMe)(CH2)5NMe](NMe2)2, Zr[(CpMe)CH2NMe](Cp)(NMe2), Zr[(CpMe)(CH2)2NMe](Cp)(NMe2), Zr[(CpMe)(CH2)3NMe](Cp)(NMe2), Zr[(CpMe)(CH2)4NMe](Cp)(NMe2), Zr[(CpMe)(CH2)5NMe](Cp)(NMe2), Zr[CpCH2NMe](CpMe)(NMe2), Zr[Cp(CH2)2NMe](CpMe)(NMe2), Zr[Cp(CH2)3NMe](CpMe)(NMe2), Zr[Cp(CH2)4NMe](CpMe)(NMe2), Zr[Cp(CH2)5NMe](CpMe)(NMe2), Zr[(CpMe2)CH2NMe](NMe2)2, Zr[(CpMe2)(CH2)2NMe](NMe2)2, Zr[(CpMe2)(CH2)3NMe](NMe2)2, Zr[(CpMe2)(CH2)4NMe](NMe2)2, Zr[(CpMe2)(CH2)5NMe](NMe2)2, Zr[(CpMe2)CH2NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)2NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)3NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)4NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)5NMe](Cp)(NMe2), Zr[CpCH2NMe](CpMe2)(NMe2), Zr[Cp(CH2)2NMe](CpMe2)(NMe2), Zr[Cp(CH2)3NMe](CpMe2)(NMe2), Zr[Cp(CH2)4NMe](CpMe2)(NMe2), Zr[Cp(CH2)5NMe](CpMe2)(NMe2), Zr[(CpMe3)CH2NMe](NMe2)2, Zr[(CpMe3)(CH2)2NMe](NMe2)2, Zr[(CpMe3)(CH2)3NMe](NMe2)2, Zr[(CpMe3)(CH2)4NMe](NMe2)2, Zr[(CpMe3)(CH2)5NMe](NMe2)2, Zr[(CpMe3)CH2NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)2NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)3NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)4NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)5NMe](Cp)(NMe2), Zr[CpCH2NMe](CpMe3)(NMe2), Zr[Cp(CH2)3NMe](CpMe3)(NMe2), Zr[Cp(CH2)3NMe](CpMe3)(NMe2), Zr[Cp(CH2)4NMe](CpMe3)(NMe2), Zr[Cp(CH2)5NMe](CpMe3)(NMe2), Zr[CpCH2NMe](CpMe4)(NMe2), Zr[Cp(CH2)3NMe](CpMe4)(NMe2), Zr[Cp(CH2)3NMe](CpMe4)(NMe2), Zr[Cp(CH2)4NMe](CpMe4)(NMe2), Zr[Cp(CH2)5NMe](CpMe4)(NMe2) Zr[(CpEt)CH2NMe](NMe2)2, Zr[(CpEt)(CH2)2NMe](NMe2)2, Zr[(CpEt)(CH2)3NMe](NMe2)2, Zr[(CpEt)(CH2)4NMe](NMe2)2, Zr[(CpEt)(CH2)5NMe](NMe2)2, Zr[CpCH2NMe](CpEt)(NMe2), Zr[Cp(CH2)2NMe](CpEt)(NMe2), Zr[Cp(CH2)3NMe](CpEt)(NMe2), Zr[Cp(CH2)4NMe](CpEt)(NMe2), Zr[Cp(CH2)5NMe](CpEt)(NMe2), Zr[(CpMeEt)CH2NMe](NMe2)2, Zr[(CpMeEt)(CH2)2NMe](NMe2)2, Zr[(CpMeEt)(CH2)3NMe](NMe2)2, Zr[(CpMeEt)(CH2)4NMe](NMe2)2, Zr[(CpMeEt)(CH2)5NMe](NMe2)2, Zr[CpCH2NMe](CpMeEt)(NMe2), Zr[Cp(CH2)2NMe](CpMeEt)(NMe2), Zr[Cp(CH2)3NMe](CpMeEt)(NMe2), Zr[Cp(CH2)4NMe](CpMeEt)(NMe2), Zr[Cp(CH2)5NMe](CpMeEt)(NMe2), Zr[(CpMePr)CH2NMe](NMe2)2, Zr[(CpMePr)(CH2)2NMe](NMe2)2, Zr[(CpMePr)(CH2)3NMe](NMe2)2, Zr[(CpMePr)(CH2)4NMe](NMe2)2, Zr[(CpMePr)(CH2)5NMe](NMe2)2, Zr[(CpiPr)CH2NMe](NMe2)2, Zr[(Cpi-PrEt)(CH2)2NMe](NMe2)2, Zr[(CpiPrEt)(CH2)3NMe](NMe2)2, Zr[(CpiPrEt)(CH2)4NMe](NMe2)2, Zr[(CpiPrEt)(CH2)5NMe](NMe2)2 중 어느 하나 또는 그 이상인 화합물을 예시할 수 있으나, 이들로 한정되는 것은 아니다.Specifically, in the compound represented by Formula 1, when M is Zr, representative compounds are Zr[CpCH 2 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr [Cp(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[CpCH 2 NMe](Cp)(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](Cp)(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[Cp (CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[(CpMe)CH 2 NMe](NMe 2 ) 2 , Zr[ (CpMe)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMe)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMe)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMe)(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(CpMe)CH 2 NMe](Cp)(NMe 2 ), Zr[(CpMe)(CH 2 ) 2 NMe]( Cp)(NMe 2 ), Zr[(CpMe)(CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[(CpMe)(CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[( CpMe)(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[CpCH 2 NMe](CpMe)(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](CpMe)(NMe 2 ), Zr [Cp(CH 2 ) 3 NMe](CpMe)(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe)(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMe)(NMe 2 ), Zr[(CpMe 2 )CH 2 NMe](NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 3 NMe ](NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(CpMe 2 ) )CH 2 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 3 NMe](Cp) (NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[CpCH 2 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMe 2 )(NMe 2 ), Zr[(CpMe 3 )CH 2 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )CH 2 NMe](Cp)(NMe 2 ), Zr[ (CpMe 3 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Zr[(CpMe 3 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[(CpMe 3 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[(CpMe 3 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[CpCH 2 NMe](CpMe 3 )(NMe 2 ), Zr[Cp (CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe 3 )( NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMe 3 )(NMe 2 ), Zr[CpCH 2 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 2 ) 5 NMe](CpMe 4 )(NMe 2 ) Zr[(CpEt)CH 2 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpEt )(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[CpCH 2 NMe](CpEt)(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](CpEt)(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpEt)(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpEt)(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpEt)(NMe 2 ), Zr[(CpMeEt)CH 2 NMe](NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 4 NMe]( NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[CpCH 2 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](CpMeEt) (NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe ](CpMeEt)(NMe 2 ), Zr[(CpMePr)CH 2 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) ) 3 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(Cp i Pr)CH 2 NMe](NMe 2 ) 2 , Zr[(Cpi-PrEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(Cpi - PrEt)(CH 2 ) 3 NMe](NMe 2 ) ) 2 , Zr[( Cpi PrEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(Cp i PrEt)(CH 2 ) 5 NMe](NMe 2 ) 2 Any one or more of the compounds Although it can be exemplified, it is not limited to these.

또한, 상기 화학식 1로 표시되는 화합물에서, M이 Ti인 경우 그 대표적인 화합물은 Ti[CpCH2NMe](NMe2)2, Ti[Cp(CH2)2NMe](NMe2)2, Ti[Cp(CH2)3NMe](NMe2)2, Ti[Cp(CH2)4NMe](NMe2)2, Ti[Cp(CH2)5NMe](NMe2)2, Ti[CpCH2NMe](Cp)(NMe2), Ti[Cp(CH2)2NMe](Cp)(NMe2), Ti[Cp(CH2)3NMe](Cp)(NMe2), Ti[Cp(CH2)4NMe](Cp)(NMe2), Ti[Cp(CH2)5NMe](Cp)(NMe2), Ti[(CpMe)CH2NMe](NMe2)2, Ti[(CpMe)(CH2)2NMe](NMe2)2, Ti[(CpMe)(CH2)3NMe](NMe2)2, Ti[(CpMe)(CH2)4NMe](NMe2)2, Ti[(CpMe)(CH2)5NMe](NMe2)2, Ti[(CpMe)CH2NMe](Cp)(NMe2), Ti[(CpMe)(CH2)2NMe](Cp)(NMe2), Ti[(CpMe)(CH2)3NMe](Cp)(NMe2), Ti[(CpMe)(CH2)4NMe](Cp)(NMe2), Ti[(CpMe)(CH2)5NMe](Cp)(NMe2), Ti[CpCH2NMe](CpMe)(NMe2), Ti[Cp(CH2)2NMe](CpMe)(NMe2), Ti[Cp(CH2)3NMe](CpMe)(NMe2), Ti[Cp(CH2)4NMe](CpMe)(NMe2), Ti[Cp(CH2)5NMe](CpMe)(NMe2), Ti[(CpMe2)CH2NMe](NMe2)2, Ti[(CpMe2)(CH2)2NMe](NMe2)2, Ti[(CpMe2)(CH2)3NMe](NMe2)2, Ti[(CpMe2)(CH2)4NMe](NMe2)2, Ti[(CpMe2)(CH2)5NMe](NMe2)2, Ti[(CpMe2)CH2NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)2NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)3NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)4NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)5NMe](Cp)(NMe2), Ti[CpCH2NMe](CpMe2)(NMe2), Ti[Cp(CH2)2NMe](CpMe2)(NMe2), Ti[Cp(CH2)3NMe](CpMe2)(NMe2), Ti[Cp(CH2)4NMe](CpMe2)(NMe2), Ti[Cp(CH2)5NMe](CpMe2)(NMe2), Ti[(CpMe3)CH2NMe](NMe2)2, Ti[(CpMe3)(CH2)2NMe](NMe2)2, Ti[(CpMe3)(CH2)3NMe](NMe2)2, Ti[(CpMe3)(CH2)4NMe](NMe2)2, Ti[(CpMe3)(CH2)5NMe](NMe2)2, Ti[(CpMe3)CH2NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)2NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)3NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)4NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)5NMe](Cp)(NMe2), Ti[CpCH2NMe](CpMe3)(NMe2), Ti[Cp(CH2)3NMe](CpMe3)(NMe2), Ti[Cp(CH2)3NMe](CpMe3)(NMe2), Ti[Cp(CH2)4NMe](CpMe3)(NMe2), Ti[Cp(CH2)5NMe](CpMe3)(NMe2), Ti[CpCH2NMe](CpMe4)(NMe2), Ti[Cp(CH2)3NMe](CpMe4)(NMe2), Ti[Cp(CH2)3NMe](CpMe4)(NMe2), Ti[Cp(CH2)4NMe](CpMe4)(NMe2), Ti[Cp(CH2)5NMe](CpMe4)(NMe2) Ti[(CpEt)CH2NMe](NMe2)2, Ti[(CpEt)(CH2)2NMe](NMe2)2, Ti[(CpEt)(CH2)3NMe](NMe2)2, Ti[(CpEt)(CH2)4NMe](NMe2)2, Ti[(CpEt)(CH2)5NMe](NMe2)2, Ti[CpCH2NMe](CpEt)(NMe2), Ti[Cp(CH2)2NMe](CpEt)(NMe2), Ti[Cp(CH2)3NMe](CpEt)(NMe2), Ti[Cp(CH2)4NMe](CpEt)(NMe2), Ti[Cp(CH2)5NMe](CpEt)(NMe2), Ti[(CpMeEt)CH2NMe](NMe2)2, Ti[(CpMeEt)(CH2)2NMe](NMe2)2, Ti[(CpMeEt)(CH2)3NMe](NMe2)2, Ti[(CpMeEt)(CH2)4NMe](NMe2)2, Ti[(CpMeEt)(CH2)5NMe](NMe2)2, Ti[CpCH2NMe](CpMeEt)(NMe2), Ti[Cp(CH2)2NMe](CpMeEt)(NMe2), Ti[Cp(CH2)3NMe](CpMeEt)(NMe2), Ti[Cp(CH2)4NMe](CpMeEt)(NMe2), Ti[Cp(CH2)5NMe](CpMeEt)(NMe2), Ti[(CpMePr)CH2NMe](NMe2)2, Ti[(CpMePr)(CH2)2NMe](NMe2)2, Ti[(CpMePr)(CH2)3NMe](NMe2)2, Ti[(CpMePr)(CH2)4NMe](NMe2)2, Ti[(CpMePr)(CH2)5NMe](NMe2)2, Ti[(CpiPr)CH2NMe](NMe2)2, Ti[(Cpi-PrEt)(CH2)2NMe](NMe2)2, Ti[(CpiPrEt)(CH2)3NMe](NMe2)2, Ti[(CpiPrEt)(CH2)4NMe](NMe2)2, Ti[(CpiPrEt)(CH2)5NMe](NMe2)2 중 어느 하나 또는 그 이상인 화합물을 예시할 수 있으며, 이들로 한정되는 것은 아니다.In addition, in the compound represented by Formula 1, when M is Ti, representative compounds thereof are Ti[CpCH 2 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[ Cp(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[CpCH 2 NMe](Cp)(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](Cp)(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[Cp( CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[(CpMe)CH 2 NMe](NMe 2 ) 2 , Ti[( CpMe)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMe)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMe)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMe)(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(CpMe)CH 2 NMe](Cp)(NMe 2 ), Ti[(CpMe)(CH 2 ) 2 NMe](Cp )(NMe 2 ), Ti[(CpMe)(CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[(CpMe)(CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[(CpMe )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[CpCH 2 NMe](CpMe)(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](CpMe)(NMe 2 ), Ti[ Cp(CH 2 ) 3 NMe](CpMe)(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe)(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMe)(NMe 2 ) ), Ti[(CpMe 2 )CH 2 NMe](NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 3 NMe] (NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(CpMe 2 ) CH 2 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 3 NMe](Cp)( NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[CpCH 2 NMe](CpMe 2 )(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](CpMe 2 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 2 )(NMe 2 ), Ti [Cp(CH 2 ) 4 NMe](CpMe 2 )(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMe 2 )(NMe 2 ), Ti[(CpMe 3 )CH 2 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) ) 4 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )CH 2 NMe](Cp)(NMe 2 ), Ti[( CpMe 3 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Ti[(CpMe 3 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[(CpMe 3 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[(CpMe 3 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[CpCH 2 NMe](CpMe 3 )(NMe 2 ), Ti[Cp( CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMe 3 )(NMe 2 ), Ti[CpCH 2 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) ) 5 NMe](CpMe 4 )(NMe 2 ) Ti[(CpEt)CH 2 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpEt) (CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti [CpCH 2 NMe](CpEt)(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](CpEt)(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpEt)(NMe 2 ), Ti [Cp(CH 2 ) 4 NMe](CpEt)(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpEt)(NMe 2 ), Ti[(CpMeEt)CH 2 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[CpCH 2 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](CpMeEt)( NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe] (CpMeEt)(NMe 2 ), Ti[(CpMePr)CH 2 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(Cp i Pr)CH 2 NMe](NMe 2 ) 2 , Ti[(Cpi-PrEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(Cpi - PrEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(Cp i PrEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(Cp i PrEt)(CH 2 ) 5 NMe](NMe 2 ) 2 exemplified by any one or more compounds. It can, but is not limited to these.

또한, 상기 화학식 1로 표시되는 화합물에서, M이 Hf인 경우 그 대표적인 화합물은 Hf[CpCH2NMe](NMe2)2, Hf[Cp(CH2)2NMe](NMe2)2, Hf[Cp(CH2)3NMe](NMe2)2, Hf[Cp(CH2)4NMe](NMe2)2, Hf[Cp(CH2)5NMe](NMe2)2, Hf[CpCH2NMe](Cp)(NMe2), Hf[Cp(CH2)2NMe](Cp)(NMe2), Hf[Cp(CH2)3NMe](Cp)(NMe2), Hf[Cp(CH2)4NMe](Cp)(NMe2), Hf[Cp(CH2)5NMe](Cp)(NMe2), Hf[(CpMe)CH2NMe](NMe2)2, Hf[(CpMe)(CH2)2NMe](NMe2)2, Hf[(CpMe)(CH2)3NMe](NMe2)2, Hf[(CpMe)(CH2)4NMe](NMe2)2, Hf[(CpMe)(CH2)5NMe](NMe2)2, Hf[(CpMe)CH2NMe](Cp)(NMe2), Hf[(CpMe)(CH2)2NMe](Cp)(NMe2), Hf[(CpMe)(CH2)3NMe](Cp)(NMe2), Hf[(CpMe)(CH2)4NMe](Cp)(NMe2), Hf[(CpMe)(CH2)5NMe](Cp)(NMe2), Hf[CpCH2NMe](CpMe)(NMe2), Hf[Cp(CH2)2NMe](CpMe)(NMe2), Hf[Cp(CH2)3NMe](CpMe)(NMe2), Hf[Cp(CH2)4NMe](CpMe)(NMe2), Hf[Cp(CH2)5NMe](CpMe)(NMe2), Hf[(CpMe2)CH2NMe](NMe2)2, Hf[(CpMe2)(CH2)2NMe](NMe2)2, Hf[(CpMe2)(CH2)3NMe](NMe2)2, Hf[(CpMe2)(CH2)4NMe](NMe2)2, Hf[(CpMe2)(CH2)5NMe](NMe2)2, Hf[(CpMe2)CH2NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)2NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)3NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)4NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)5NMe](Cp)(NMe2), Hf[CpCH2NMe](CpMe2)(NMe2), Hf[Cp(CH2)2NMe](CpMe2)(NMe2), Hf[Cp(CH2)3NMe](CpMe2)(NMe2), Hf[Cp(CH2)4NMe](CpMe2)(NMe2), Hf[Cp(CH2)5NMe](CpMe2)(NMe2), Hf[(CpMe3)CH2NMe](NMe2)2, Hf[(CpMe3)(CH2)2NMe](NMe2)2, Hf[(CpMe3)(CH2)3NMe](NMe2)2, Hf[(CpMe3)(CH2)4NMe](NMe2)2, Hf[(CpMe3)(CH2)5NMe](NMe2)2, Hf[(CpMe3)CH2NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)2NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)3NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)4NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)5NMe](Cp)(NMe2), Hf[CpCH2NMe](CpMe3)(NMe2), Hf[Cp(CH2)3NMe](CpMe3)(NMe2), Hf[Cp(CH2)3NMe](CpMe3)(NMe2), Hf[Cp(CH2)4NMe](CpMe3)(NMe2), Hf[Cp(CH2)5NMe](CpMe3)(NMe2), Hf[CpCH2NMe](CpMe4)(NMe2), Hf[Cp(CH2)3NMe](CpMe4)(NMe2), Hf[Cp(CH2)3NMe](CpMe4)(NMe2), Hf[Cp(CH2)4NMe](CpMe4)(NMe2), Hf[Cp(CH2)5NMe](CpMe4)(NMe2) Hf[(CpEt)CH2NMe](NMe2)2, Hf[(CpEt)(CH2)2NMe](NMe2)2, Hf[(CpEt)(CH2)3NMe](NMe2)2, Hf[(CpEt)(CH2)4NMe](NMe2)2, Hf[(CpEt)(CH2)5NMe](NMe2)2, Hf[CpCH2NMe](CpEt)(NMe2), Hf[Cp(CH2)2NMe](CpEt)(NMe2), Hf[Cp(CH2)3NMe](CpEt)(NMe2), Hf[Cp(CH2)4NMe](CpEt)(NMe2), Hf[Cp(CH2)5NMe](CpEt)(NMe2), Hf[(CpMeEt)CH2NMe](NMe2)2, Hf[(CpMeEt)(CH2)2NMe](NMe2)2, Hf[(CpMeEt)(CH2)3NMe](NMe2)2, Hf[(CpMeEt)(CH2)4NMe](NMe2)2, Hf[(CpMeEt)(CH2)5NMe](NMe2)2, Hf[CpCH2NMe](CpMeEt)(NMe2), Hf[Cp(CH2)2NMe](CpMeEt)(NMe2), Hf[Cp(CH2)3NMe](CpMeEt)(NMe2), Hf[Cp(CH2)4NMe](CpMeEt)(NMe2), Hf[Cp(CH2)5NMe](CpMeEt)(NMe2), Hf[(CpMePr)CH2NMe](NMe2)2, Hf[(CpMePr)(CH2)2NMe](NMe2)2, Hf[(CpMePr)(CH2)3NMe](NMe2)2, Hf[(CpMePr)(CH2)4NMe](NMe2)2, Hf[(CpMePr)(CH2)5NMe](NMe2)2, Hf[(CpiPr)CH2NMe](NMe2)2, Hf[(Cpi-PrEt)(CH2)2NMe](NMe2)2, Hf[(CpiPrEt)(CH2)3NMe](NMe2)2, Hf[(CpiPrEt)(CH2)4NMe](NMe2)2, Hf[(CpiPrEt)(CH2)5NMe](NMe2)2 중 어느 하나 또는 그 이상인 화합물을 예시할 수 있으나, 이들로 한정되는 것은 아니다.In addition, in the compound represented by Formula 1, when M is Hf, representative compounds thereof are Hf[CpCH 2 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[ Cp(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[CpCH 2 NMe](Cp)(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](Cp)(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[Cp( CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[(CpMe)CH 2 NMe](NMe 2 ) 2 , Hf[( CpMe)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMe)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMe)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMe)(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(CpMe)CH 2 NMe](Cp)(NMe 2 ), Hf[(CpMe)(CH 2 ) 2 NMe](Cp )(NMe 2 ), Hf[(CpMe)(CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[(CpMe)(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[(CpMe )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[CpCH 2 NMe](CpMe)(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](CpMe)(NMe 2 ), Hf[ Cp(CH 2 ) 3 NMe](CpMe)(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMe)(NMe 2 ) ), Hf[(CpMe 2 )CH 2 NMe](NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 3 NMe] (NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(CpMe 2 ) CH 2 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 3 NMe](Cp)( NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[CpCH 2 NMe](CpMe 2 )(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](CpMe 2 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 2 )(NMe 2 ), Hf [Cp(CH 2 ) 4 NMe](CpMe 2 )(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMe 2 )(NMe 2 ), Hf[(CpMe 3 )CH 2 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) ) 4 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )CH 2 NMe](Cp)(NMe 2 ), Hf[( CpMe 3 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Hf[(CpMe 3 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[(CpMe 3 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[(CpMe 3 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[CpCH 2 NMe](CpMe 3 )(NMe 2 ), Hf[Cp( CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMe 3 )(NMe 2 ), Hf[CpCH 2 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) ) 5 NMe](CpMe 4 )(NMe 2 ) Hf[(CpEt)CH 2 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpEt) (CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf [CpCH 2 NMe](CpEt)(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](CpEt)(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpEt)(NMe 2 ), Hf [Cp(CH 2 ) 4 NMe](CpEt)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpEt)(NMe 2 ), Hf[(CpMeEt)CH 2 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[CpCH 2 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](CpMeEt)( NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe] (CpMeEt)(NMe 2 ), Hf[(CpMePr)CH 2 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(Cp i Pr)CH 2 NMe](NMe 2 ) 2 , Hf[(Cpi-PrEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(Cpi - PrEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(Cp i PrEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(Cp i PrEt)(CH 2 ) 5 NMe](NMe 2 ) 2 Exemplary compounds of any one or more of It can be, but is not limited to these.

또한, 상기 화학식 2로 표시되는 화합물에서, M이 Zr인 경우 그 대표적인 화합물은 Zr(Cp)(NMe2)3, Zr(MeCp)(NMe2)3, Zr(Me2Cp)(NMe2)3, Zr(Me3Cp)(NMe2)3, Zr(Me4Cp)(NMe2)3, Zr(Me5Cp)(NMe2)3, Zr(EtCp)(NMe2)3, Zr(Et2Cp)(NMe2)3, Zr(Et3Cp)(NMe2)3, Zr(Et4Cp)(NMe2)3, Zr(Et5Cp)(NMe2)3, Zr(MeEtCp)(NMe2)3, Zr(Me2EtCp)(NMe2)3, Zr(Me3EtCp)(NMe2)3, Zr(Me4EtCp)(NMe2)3, Zr(MePrCp)(NMe2)3, Zr(Me2PrCp)(NMe2)3, Zr(Me3PrCp)(NMe2)3, Zr(Me4PrCp)(NMe2)3, Zr(MeiPrCp)(NMe2)3, Zr(Me2 iPrCp)(NMe2)3, Zr(Me3 iPrCp)(NMe2)3, Zr(Me4 iPrCp)(NMe2)3, Zr(Cp)2(NMe2)2, Zr(MeCp)(Cp)(NMe2)2, Zr(EtCp)(Cp)(NMe2)2, Zr(PrCp)(Cp)(NMe2)2, Zr(iPrCp)(Cp)(NMe2)2, Zr(MeCp)2(NMe2)2, Zr(EtCp)2(NMe2)2, Zr(PrCp)2(NMe2)2, Zr(iPrCp)2(NMe2)2, Zr(EtCp)(MeCp)(NMe2)2, Zr(PrCp)(MeCp)(NMe2)2, Zr(iPrCp)(MeCp)(NMe2)2 중 어느 하나 또는 그 이상인 화합물을 예시할 수 있으나, 이들로 한정되는 것은 아니다.In addition, in the compound represented by Formula 2, when M is Zr, representative compounds are Zr(Cp)(NMe 2 ) 3 , Zr(MeCp)(NMe 2 ) 3 , Zr(Me 2 Cp)(NMe 2 ) 3 , Zr(Me 3 Cp)(NMe 2 ) 3 , Zr(Me 4 Cp)(NMe 2 ) 3 , Zr(Me 5 Cp)(NMe 2 ) 3 , Zr(EtCp)(NMe 2 ) 3 , Zr( Et 2 Cp)(NMe 2 ) 3 , Zr(Et 3 Cp)(NMe 2 ) 3 , Zr(Et 4 Cp)(NMe 2 ) 3 , Zr(Et 5 Cp)(NMe 2 ) 3 , Zr(MeEtCp) (NMe 2 ) 3 , Zr(Me 2 EtCp)(NMe 2 ) 3 , Zr(Me 3 EtCp)(NMe 2 ) 3 , Zr(Me 4 EtCp)(NMe 2 ) 3 , Zr(MePrCp)(NMe 2 ) 3 , Zr(Me 2 PrCp)(NMe 2 ) 3 , Zr(Me 3 PrCp)(NMe 2 ) 3 , Zr(Me 4 PrCp)(NMe 2 ) 3 , Zr(Me i PrCp)(NMe 2 ) 3 , Zr(Me 2 i PrCp)(NMe 2 ) 3 , Zr(Me 3 i PrCp)(NMe 2 ) 3 , Zr(Me 4 i PrCp)(NMe 2 ) 3 , Zr(Cp) 2 (NMe 2 ) 2 , Zr(MeCp)(Cp)(NMe 2 ) 2 , Zr(EtCp)(Cp)(NMe 2 ) 2 , Zr(PrCp)(Cp)(NMe 2 ) 2 , Zr( i PrCp)(Cp)(NMe 2 ) ) 2 , Zr(MeCp) 2 (NMe 2 ) 2 , Zr(EtCp) 2 (NMe 2 ) 2 , Zr(PrCp) 2 (NMe 2 ) 2 , Zr( i PrCp) 2 (NMe 2 ) 2 , Zr( EtCp)(MeCp)(NMe 2 ) 2 , Zr(PrCp)(MeCp)(NMe 2 ) 2 , Zr( i PrCp)(MeCp)(NMe 2 ) 2 Any one or more compounds may be exemplified, It is not limited to these.

또한, 상기 화학식 2로 표시되는 화합물에서, M이 Ti인 경우 그 대표적인 화합물은 Ti(Cp)(NMe2)3, Ti(MeCp)(NMe2)3, Ti(Me2Cp)(NMe2)3, Ti(Me3Cp)(NMe2)3, Ti(Me4Cp)(NMe2)3, Ti(Me5Cp)(NMe2)3, Ti(EtCp)(NMe2)3, Ti(Et2Cp)(NMe2)3, Ti(Et3Cp)(NMe2)3, Ti(Et4Cp)(NMe2)3, Ti(Et5Cp)(NMe2)3, Ti(MeEtCp)(NMe2)3, Ti(Me2EtCp)(NMe2)3, Ti(Me3EtCp)(NMe2)3, Ti(Me4EtCp)(NMe2)3, Ti(MePrCp)(NMe2)3, Ti(Me2PrCp)(NMe2)3, Ti(Me3PrCp)(NMe2)3, Ti(Me4PrCp)(NMe2)3, Ti(MeiPrCp)(NMe2)3, Ti(Me2 iPrCp)(NMe2)3, Ti(Me3 iPrCp)(NMe2)3, Ti(Me4 iPrCp)(NMe2)3, Ti(Cp)2(NMe2)2, Ti(MeCp)(Cp)(NMe2)2, Ti(EtCp)(Cp)(NMe2)2, Ti(PrCp)(Cp)(NMe2)2, Ti(iPrCp)(Cp)(NMe2)2, Ti(MeCp)2(NMe2)2, Ti(EtCp)2(NMe2)2, Ti(PrCp)2(NMe2)2, Ti(iPrCp)2(NMe2)2, Ti(EtCp)(MeCp)(NMe2)2, Ti(PrCp)(MeCp)(NMe2)2, Ti(iPrCp)(MeCp)(NMe2)2 중 어느 하나 또는 그 이상인 화합물을 예시할 수 있으나, 이들로 한정되는 것은 아니다.In addition, in the compound represented by Formula 2, when M is Ti, representative compounds are Ti(Cp)(NMe 2 ) 3 , Ti(MeCp)(NMe 2 ) 3 , Ti(Me 2 Cp)(NMe 2 ) 3 , Ti(Me 3 Cp)(NMe 2 ) 3 , Ti(Me 4 Cp)(NMe 2 ) 3 , Ti(Me 5 Cp)(NMe 2 ) 3 , Ti(EtCp)(NMe 2 ) 3 , Ti( Et 2 Cp)(NMe 2 ) 3 , Ti(Et 3 Cp)(NMe 2 ) 3 , Ti(Et 4 Cp)(NMe 2 ) 3 , Ti(Et 5 Cp)(NMe 2 ) 3 , Ti(MeEtCp) (NMe 2 ) 3 , Ti(Me 2 EtCp)(NMe 2 ) 3 , Ti(Me 3 EtCp)(NMe 2 ) 3 , Ti(Me 4 EtCp)(NMe 2 ) 3 , Ti(MePrCp)(NMe 2 ) 3 , Ti(Me 2 PrCp)(NMe 2 ) 3 , Ti(Me 3 PrCp)(NMe 2 ) 3 , Ti(Me 4 PrCp)(NMe 2 ) 3 , Ti(Me i PrCp)(NMe 2 ) 3 , Ti(Me 2 i PrCp)(NMe 2 ) 3 , Ti(Me 3 i PrCp)(NMe 2 ) 3 , Ti(Me 4 i PrCp)(NMe 2 ) 3 , Ti(Cp) 2 (NMe 2 ) 2 , Ti(MeCp)(Cp)(NMe 2 ) 2 , Ti(EtCp)(Cp)(NMe 2 ) 2 , Ti(PrCp)(Cp)(NMe 2 ) 2 , Ti( i PrCp)(Cp)(NMe 2 ) ) 2 , Ti(MeCp) 2 (NMe 2 ) 2 , Ti(EtCp) 2 (NMe 2 ) 2 , Ti(PrCp) 2 (NMe 2 ) 2 , Ti( i PrCp) 2 (NMe 2 ) 2 , Ti( EtCp)(MeCp)(NMe 2 ) 2 , Ti(PrCp)(MeCp)(NMe 2 ) 2 , Ti( i PrCp)(MeCp)(NMe 2 ) 2 Any one or more compounds may be exemplified, It is not limited to these.

또한, 상기 화학식 2로 표시되는 화합물에서, M이 Hf인 경우 그 대표적인 화합물은 Hf(Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(Me2Cp)(NMe2)3, Hf(Me3Cp)(NMe2)3, Hf(Me4Cp)(NMe2)3, Hf(Me5Cp)(NMe2)3, Hf(EtCp)(NMe2)3, Hf(Et2Cp)(NMe2)3, Hf(Et3Cp)(NMe2)3, Hf(Et4Cp)(NMe2)3, Hf(Et5Cp)(NMe2)3, Hf(MeEtCp)(NMe2)3, Hf(Me2EtCp)(NMe2)3, Hf(Me3EtCp)(NMe2)3, Hf(Me4EtCp)(NMe2)3, Hf(MePrCp)(NMe2)3, Hf(Me2PrCp)(NMe2)3, Hf(Me3PrCp)(NMe2)3, Hf(Me4PrCp)(NMe2)3, Hf(MeiPrCp)(NMe2)3, Hf(Me2 iPrCp)(NMe2)3, Hf(Me3 iPrCp)(NMe2)3, Hf(Me4 iPrCp)(NMe2)3, Hf(Cp)2(NMe2)2, Hf(MeCp)(Cp)(NMe2)2, Hf(EtCp)(Cp)(NMe2)2, Hf(PrCp)(Cp)(NMe2)2, Hf(iPrCp)(Cp)(NMe2)2, Hf(MeCp)2(NMe2)2, Hf(EtCp)2(NMe2)2, Hf(PrCp)2(NMe2)2, Hf(iPrCp)2(NMe2)2, Hf(EtCp)(MeCp)(NMe2)2, Hf(PrCp)(MeCp)(NMe2)2, Hf(iPrCp)(MeCp)(NMe2)2 중 어느 하나 또는 그 이상인 화합물을 예시할 수 있으나, 이들로 한정되지는 않는다.In addition, in the compound represented by Formula 2, when M is Hf, representative compounds are Hf(Cp)(NMe 2 ) 3 , Hf(MeCp)(NMe 2 ) 3 , Hf(Me 2 Cp)(NMe 2 ) 3 , Hf(Me 3 Cp)(NMe 2 ) 3 , Hf(Me 4 Cp)(NMe 2 ) 3 , Hf(Me 5 Cp)(NMe 2 ) 3 , Hf(EtCp)(NMe 2 ) 3 , Hf( Et 2 Cp)(NMe 2 ) 3 , Hf(Et 3 Cp)(NMe 2 ) 3 , Hf(Et 4 Cp)(NMe 2 ) 3 , Hf(Et 5 Cp)(NMe 2 ) 3 , Hf(MeEtCp) (NMe 2 ) 3 , Hf(Me 2 EtCp)(NMe 2 ) 3 , Hf(Me 3 EtCp)(NMe 2 ) 3 , Hf(Me 4 EtCp)(NMe 2 ) 3 , Hf(MePrCp)(NMe 2 ) 3 , Hf(Me 2 PrCp)(NMe 2 ) 3 , Hf(Me 3 PrCp)(NMe 2 ) 3 , Hf(Me 4 PrCp)(NMe 2 ) 3 , Hf(Me i PrCp)(NMe 2 ) 3 , Hf(Me 2 i PrCp)(NMe 2 ) 3 , Hf(Me 3 i PrCp)(NMe 2 ) 3 , Hf(Me 4 i PrCp)(NMe 2 ) 3 , Hf(Cp) 2 (NMe 2 ) 2 , Hf(MeCp)(Cp)(NMe 2 ) 2 , Hf(EtCp)(Cp)(NMe 2 ) 2 , Hf(PrCp)(Cp)(NMe 2 ) 2 , Hf( i PrCp)(Cp)(NMe 2 ) ) 2 , Hf(MeCp) 2 (NMe 2 ) 2 , Hf(EtCp) 2 (NMe 2 ) 2 , Hf(PrCp) 2 (NMe 2 ) 2 , Hf( i PrCp) 2 (NMe 2 ) 2 , Hf( EtCp)(MeCp)(NMe 2 ) 2 , Hf(PrCp)(MeCp)(NMe 2 ) 2 , Hf( i PrCp)(MeCp)(NMe 2 ) 2 Any one or more compounds may be exemplified, It is not limited to these.

본 발명의 금속막 형성용 전구체 조성물은 (a) 제1 금속 화합물 성분으로, 상기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물 중 적어도 하나 이상의 화합물을 사용할 수 있다. 구체적으로, 제1 금속 화합물은 상기 화학식 1로 표시되는 화합물 또는 화학식 2로 표시되는 화합물을 단일 화합물로 사용할 수도 있고, 또는 이들을 하나 이상 혼합하여 사용할 수도 있다. 이때, 제1 금속 화합물 성분으로, 상기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물을 동시에 사용하는 경우, 상기 화학식 1로 표시되는 화합물: 화학식 2로 표시되는 화합물의 몰비는 1:99 내지 99:1로 포함될 수 있다. In the precursor composition for forming a metal film of the present invention, at least one compound of the compound represented by Formula 1 and the compound represented by Formula 2 may be used as (a) the first metal compound component. Specifically, as the first metal compound, the compound represented by Formula 1 or the compound represented by Formula 2 may be used as a single compound, or one or more of them may be used in combination. In this case, when the compound represented by Chemical Formula 1 and the compound represented by Chemical Formula 2 are simultaneously used as the components of the first metal compound, the molar ratio of the compound represented by Chemical Formula 1 to the compound represented by Chemical Formula 2 is 1:99 to 1:99. It can be included as 99:1.

(b) 제2 금속 화합물 (b) a second metal compound

또한, 본 발명의 금속막 형성용 전구체 조성물은 제2 금속 화합물 성분으로, 상기 화학식 3으로 표시되는 화합물을 포함할 수 있다.In addition, the precursor composition for forming a metal film according to the present invention may include the compound represented by Chemical Formula 3 as a second metal compound component.

이때, 상기 화학식 3으로 표시되는 화합물에서, R16 내지 R20은 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 5의 알킬기이고, X7은 치환 또는 비치환된 탄소수 1 내지 6의 알킬기, 치환 또는 비치환된 탄소수 1 내지 8의 알케닐기, 치환 또는 비치환된 탄소수 5 내지 7의 사이클로알케닐기, 탄소수 1 내지 10의 알콕시기, 탄소수 1 내지 20의 아민기, 탄소수 1 내지 20의 아미노기, 탄소수 1 내지 10의 아미디네이트기 (amidinate), 탄소수 1 내지 10의 구아니디네이트기 (guanidinate), 탄소수 1 내지 20의 아미노아민기, 탄소수 1 내지 20의 알콕시아민기, 탄소수 3 내지 20의 β-디케토네이트기 및 -OR21 기 (이때, R21는 치환 또는 비치환된 탄소수 1 내지 5의 알킬기이다) 중 어느 하나일 수 있다.In this case, in the compound represented by Formula 3, R 16 to R 20 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, and X 7 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms. , Substituted or unsubstituted alkenyl group having 1 to 8 carbon atoms, substituted or unsubstituted cycloalkenyl group having 5 to 7 carbon atoms, alkoxy group having 1 to 10 carbon atoms, amine group having 1 to 20 carbon atoms, amino group having 1 to 20 carbon atoms , Amidinate group having 1 to 10 carbon atoms, guanidinate group having 1 to 10 carbon atoms, aminoamine group having 1 to 20 carbon atoms, alkoxyamine group having 1 to 20 carbon atoms, 3 to 20 carbon atoms It may be any one of a β-diketonate group and a -OR 21 group (where R 21 is a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms).

구체적으로, 상기 화학식 3으로 표시되는 화합물은 그 대표적인 예로 Y(Cp)3, Y(Cp)2[N(Me)2], Y(Cp)[N(Me)2]2, Y(Cp)2[N(MeEt)], Y(Cp)[N(MeEt)]2, Y(Cp)2[N(Et)2], Y(Cp)[N(Et)2]2, Y(iPrCp)3, Y(iPrCp)2[N(Me)2], Y(iPrCp)[N(Me)2]2, Y(iPrCp)2[N(MeEt)], Y(iPrCp)[N(MeEt)]2, Y(iPrCp)2[N(MeEt)], Y(iPrCp)[N(Et)2]2, Y(nBuCp)3, Y(nBuCp)2[N(Me)2], Y(nBuCp)[N(Me)2]2, Y(nBuCp)2[N(MeEt)], Y(nBuCp)[N(MeEt)]2, Y(nBuCp)2[N(Et)2], Y(nBuCp)[N(Et)2]2, Y(Cp)2(OMe), Y(Cp)(OMe)2, Y(Cp)2(OEt), Y(Cp)(OEt)2, Y(Cp)2(OiPr), Y(Cp)(OiPr)2, Y(Cp)(OtBu)2, Y(Cp)2(OtBu), Y(iPrCp)2(OMe), Y(iPrCp)(OMe)2, Y(iPrCp)2(OEt), Y(iPrCp)(OEt)2, Y(iPrCp)2(OiPr), Y(iPrCp)(OiPr)2, Y(iPrCp)2(OtBu), Y(iPrCp)(OtBu)2, Y(nBuCp)2(OMe), Y(nBuCp)(OMe)2, Y(nBuCp)2(OEt), Y(nBuCp)(OEt)2, Y(nBuCp)2(OiPr), Y(nBuCp)(OiPr)2, Y(nBuCp)(OtBu)2, Y(nBuCp)2(OtBu), Y(iPrCp)2(Me), Y(iPrCp)(Me)2, Y(iPrCp)2(Et), Y(iPrCp)(Et)2, Y(iPrCp)2(iPr), Y(iPrCp)(iPr)2, Y(iPrCp)2(tBu), Y(iPrCp)(tBu)2, Y(nBuCp)2(Me), Y(nBuCp)(Me)2, Y(nBuCp)2(Et), Y(nBuCp)(Et)2, Y(nBuCp)2(iPr), Y(nBuCp)(iPr)2, Y(nBuCp)2(tBu), Y(nBuCp)(tBu)2, Y(Cp)2(dipma), Y(Cp)(dipma)2, Y(iPrCp)2(dipma), Y(iPrCp)(dipma)2, Y(nBuCp)2(dipma), Y(nBuCp)(dipma)2, Y(Cp)2(dipdmg), Y(Cp)(dipdmg)2, Y(Cp)2(dipdeg), Y(Cp)2(dipdmg), Y(Cp)2(dipemg), Y(MeCp)2(dipma), Y(MeCp)2(dipdeg), Y(MeCp)2(dipdmg), Y(MeCp)(dipdmg)2, Y(MeCp)2(dipemg), Y(EtCp)2(dipma), Y(EtCp)2(dipdeg), Y(EtCp)2(dipdmg), Y(EtCp)(dipdmg)2, Y(EtCp)2(dipemg), Y(nBuCp)2(dipma), Y(nBuCp)2(dipdeg), Y(nBuCp)2(dipdmg), Y(nBuCp)(dipdmg)2, Y(nBuCp)2(dipemg), Y(iPrCp)2(dipma), Y(iPrCp)2(dipdeg), Y(iPrCp)2(dipdmg), Y(iPrCp)(dipdmg)2, Y(iPrCp)2(dipemg), Y(iPrCp)2(dipma), Y(nPrCp)2(dipma), Y(nPrCp)2(dipdeg), Y(nPrCp)2(dipdmg), Y(nPrCp)(dipdmg)2, Y(nPrCp)2(dipemg), Y(nPrCp)2(dipma), Y(nBuCp)2(dipdmg), Y(nBuCp)(dipdmg)2, Y(Cp)2[CH2CH2N(Me)2], Y(Cp)2[CH2CH2CH2N(Me)2], Y(iPrCp)2[CH2CH2N(Me)2], Y(iPrCp)2[CH2CH2CH2N(Me)2], Y(nBuCp)2[CH2CH2N(Me)2], Y(nBuCp)2[CH2CH2CH2N(Me)2], Y(Cp)2[NMeCH2CH2N(Me)2], Y(Cp)2[OCH2CH2N(Me)2], Y(iPrCp)2[NMeCH2CH2N(Me)2], Y(iPrCp)2[OCH2CH2N(Me)2], Y(nBuCp)2[NMeCH2CH2N(Me)2], Y(nBuCp)2[OCH2CH2N(Me)2], Y(Cp)2(acac), Y(Cp)2(tmhd), Y(iPrCp)2(acac), Y(iPrCp)2(tmhd), Y(nBuCp)2(acac) 및 Y(nBuCp)2(tmhd) (단, Cp는 사이클로펜타디에닐기이고, Me는 메틸기이고, Et는 에틸기이며, Pr은 프로필기이고, iPr은 이소프로필기이고, nBu은 노말부틸기이고, tBu은 t-부틸기이며, dipma는 디이소프로필메틸아미디네이트기이고, dipdeg는 디이소프로필디에틸구아니디네이트이며, dipdmg는 디이소프로필디메틸구아니디네이트기이고, dipemg: 디이소프로필에틸메틸구아니디네이트이며, acac는 아세틸아세테이트이고, tmhd는 테트라메틸헥사디온기이다.)로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물을 포함할 수 있으며, 이들로 한정되지는 않는다.Specifically, the compounds represented by Formula 3 are representative examples of Y(Cp) 3 , Y(Cp) 2 [N(Me) 2 ], Y(Cp)[N(Me) 2 ] 2 , Y(Cp) 2 [N(MeEt)], Y(Cp)[N(MeEt)] 2 , Y(Cp) 2 [N(Et) 2 ], Y(Cp)[N(Et) 2 ] 2 , Y( i PrCp ) 3 , Y( i PrCp) 2 [N(Me) 2 ], Y( i PrCp)[N(Me) 2 ] 2 , Y( i PrCp) 2 [N(MeEt)], Y( i PrCp)[ N(MeEt)] 2 , Y( i PrCp) 2 [N(MeEt)], Y( i PrCp)[N(Et) 2 ] 2 , Y( n BuCp) 3 , Y( n BuCp) 2 [N( Me) 2 ], Y( n BuCp)[N(Me) 2 ] 2 , Y( n BuCp) 2 [N(MeEt)], Y( n BuCp)[N(MeEt)] 2 , Y( n BuCp) 2 [N(Et) 2 ], Y( n BuCp)[N(Et) 2 ] 2 , Y(Cp) 2 (OMe), Y(Cp)(OMe) 2 , Y(Cp) 2 (OEt), Y(Cp)(OEt) 2 , Y(Cp) 2 (O i Pr), Y(Cp)(O i Pr) 2 , Y(Cp)(O t Bu) 2 , Y(Cp) 2 (O t Bu), Y( i PrCp) 2 (OMe), Y( i PrCp)(OMe) 2 , Y( i PrCp) 2 (OEt), Y( i PrCp)(OEt) 2 , Y( i PrCp) 2 ( O i Pr), Y( i PrCp)(O i Pr) 2 , Y( i PrCp) 2 (O t Bu), Y( i PrCp)(O t Bu) 2 , Y( n BuCp) 2 (OMe) , Y( n BuCp)(OMe) 2 , Y( n BuCp) 2 (OEt), Y( n BuCp)(OEt) 2 , Y( n BuCp) 2 (O i Pr), Y( n BuCp)(O i Pr) 2 , Y( n BuCp)(O t Bu) 2 , Y( n BuCp) 2 (O t Bu), Y( i PrCp) 2 (Me), Y( i PrCp)(Me) 2 , Y ( i PrCp) 2 (Et), Y( i PrCp)(Et) 2 , Y( i PrCp) 2 ( i Pr), Y( i PrCp)( i Pr) 2 , Y( i PrCp) 2 ( t Bu ), Y( i PrCp)( t Bu) 2 , Y( n BuCp) 2 (Me), Y( n BuCp)(Me) 2 , Y( n BuCp) 2 (Et), Y( n BuCp)(Et ) 2 , Y( n BuCp) 2 ( i Pr), Y( n BuCp)( i Pr) 2 , Y( n BuCp) 2 ( t Bu), Y( n BuCp)( t Bu) 2 , Y(Cp) ) 2 (dipma), Y(Cp)(dipma) 2 , Y( i PrCp) 2 (dipma), Y( i PrCp)(dipma) 2 , Y( n BuCp) 2 (dipma), Y( n BuCp) (dipma) 2 , Y(Cp) 2 (dipdmg), Y(Cp)(dipdmg) 2 , Y(Cp) 2 (dipdeg), Y(Cp) 2 (dipdmg), Y(Cp) 2 (dipemg), Y(MeCp) 2 (dipma), Y(MeCp) 2 (dipdeg), Y(MeCp) 2 (dipdmg), Y(MeCp)(dipdmg) 2 , Y(MeCp) 2 (dipemg), Y(EtCp) 2 (dipma), Y(EtCp) 2 (dipdeg), Y(EtCp) 2 (dipdmg), Y(EtCp)(dipdmg) 2 , Y(EtCp) 2 (dipemg), Y( n BuCp) 2 (dipma), Y( n BuCp) 2 (dipdeg), Y( n BuCp) 2 (dipdmg), Y( n BuCp) (dipdmg) 2 , Y( n BuCp) 2 (dipemg), Y( i PrCp) 2 (dipma), Y( i PrCp) 2 (dipdeg), Y( i PrCp) 2 (dipdmg), Y( i PrCp)(dipdmg) 2 , Y( i PrCp) 2 (dipemg), Y( i PrCp) 2 (dipma), Y( n PrCp) 2 (dipma), Y( n PrCp) 2 (dipdeg), Y( n PrCp) 2 (dipdmg), Y( n PrCp)(dipdmg) 2 , Y( n PrCp) 2 (dipemg), Y( n PrCp) 2 (dipma), Y( n BuCp) 2 (dipdmg), Y( n BuCp)(dipdmg) 2 , Y(Cp) 2 [CH 2 CH 2 N(Me) 2 ], Y(Cp) ) 2 [CH 2 CH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [CH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [CH 2 CH 2 CH 2 N(Me) ) 2 ], Y( n BuCp) 2 [CH 2 CH 2 N(Me) 2 ], Y( n BuCp) 2 [CH 2 CH 2 CH 2 N(Me) 2 ], Y(Cp) 2 [NMeCH 2 CH 2 N(Me) 2 ], Y(Cp) 2 [OCH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [NMeCH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [OCH 2 CH 2 N(Me) 2 ], Y( n BuCp) 2 [NMeCH 2 CH 2 N(Me) 2 ], Y( n BuCp) 2 [OCH 2 CH 2 N(Me) 2 ], Y( Cp) 2 (acac), Y(Cp) 2 (tmhd), Y( i PrCp) 2 (acac), Y( i PrCp) 2 (tmhd), Y( n BuCp) 2 (acac) and Y( n BuCp ) 2 (tmhd) (provided that Cp is a cyclopentadienyl group, Me is a methyl group, Et is an ethyl group, Pr is a propyl group, i Pr is an isopropyl group, n Bu is a normal butyl group, and t Bu is a t-butyl group, dipma is a diisopropylmethylamidinate group, dipdeg is a diisopropyldiethylguanidinate group, dipdmg is a diisopropyldimethylguanidinate group, dipemg: diisopropyl ethylmethylguanidinate, acac is acetylacetate, and tmhd is tetramethylhexadione).

한편, 본 발명의 금속막 형성용 전구체 조성물에서, 상기 (a) 제1 금속 화합물 : (b) 제2 금속 화합물의 몰비(molar ratio)는 70:30 내지 99:1, 보다 구체적으로 85:15 내지 99:1, 더욱 구체적으로 85:15 내지 97:3 일수 있다. Meanwhile, in the precursor composition for forming a metal film of the present invention, the molar ratio of (a) the first metal compound: (b) the second metal compound is 70:30 to 99:1, more specifically 85:15 to 99:1, more specifically 85:15 to 97:3.

상기 제1 금속 화합물과 제2 금속 화합물이 상기 범위로 포함되는 경우에, 누설 전류가 적으면서도 유전율이 높은 금속 박막을 형성할 수 있고, 이에 따라 충분한 정전용량을 확보한 반도체 소자를 제조 할 수 있다. 만약, 제1 금속 화합물의 몰비가 70 미만인 경우 박막의 특성 개선에 영향을 주지 못하며, 제2 금속 화합물의 몰비가 30을 초과하는 경우 유전율이 감소 될 수 있다. 또한, 제1 금속 화합물의 몰비가 99를 초과하는 경우 박막의 특성 개선에 영향을 주지 못하며, 제2 금속 화합물의 몰비가 1 미만이면 유전율 개선 효과 및 누설 전류 개선 효과가 미미할 수 있다.When the first metal compound and the second metal compound are included within the above range, a metal thin film having a low leakage current and a high permittivity may be formed, and thus a semiconductor device having sufficient capacitance may be manufactured. . If the mole ratio of the first metal compound is less than 70, it does not affect the improvement of the properties of the thin film, and if the mole ratio of the second metal compound exceeds 30, the dielectric constant may be reduced. In addition, when the molar ratio of the first metal compound exceeds 99, properties of the thin film may not be improved, and when the molar ratio of the second metal compound is less than 1, the effect of improving dielectric constant and leakage current may be insignificant.

(c) 용매 (c) solvent

한편, 본 발명의 금속막 형성용 전구체 조성물은 액체 이송 방법에 적합한 정도의 점도를 가질 수 있도록 용매를 추가로 포함할 수 있다.Meanwhile, the precursor composition for forming a metal film according to the present invention may further include a solvent so as to have a viscosity suitable for a liquid transfer method.

이러한 용매는 화학식 1 내지 화학식 3으로 표시되는 화합물을 용해시킬 수 있는 것이라면 그 종류를 특별히 제한하지 않으며, 예를 들면 탄소수 1 내지 16의 포화 또는 불포화 탄화수소 용매, 환형 에테르 유기용매, 선형 에테르 용매, 글라임 용매, 에스테르 용매 및 3차 아민 용매 중 적어도 하나 이상의 용매를 들 수 있다. 대표적인 예로 상기 용매는 펜탄, 옥탄, 3차 아민인 디메틸에틸아민, 트리에틸아민, N,N,N',N'-테트라메틸에틸렌다이아민, 테트라하이드로푸란(THF), 다이부틸에테르, 다이메톡시메탄, 및 4-메틸몰폴린 중 적어도 하나 이상의 용매를 들 수 있다.The type of the solvent is not particularly limited as long as it can dissolve the compounds represented by Formulas 1 to 3, and examples thereof include saturated or unsaturated hydrocarbon solvents having 1 to 16 carbon atoms, cyclic ether organic solvents, linear ether solvents, and the like. and at least one of a lime solvent, an ester solvent, and a tertiary amine solvent. Representative examples of the solvent include pentane, octane, tertiary amines such as dimethylethylamine, triethylamine, N,N,N',N'-tetramethylethylenediamine, tetrahydrofuran (THF), dibutyl ether, and dimethicone. and at least one or more solvents of toxymethane and 4-methylmorpholine.

상기 용매 중 3차 아민은 잉여 비공유 전자쌍은 전구체 물질의 기판 흡착 과정에서의 안정성을 증가시켜 후술하는 금속 박막 형성 공정, 예를 들면 ALD 공정에서의 화학기상증착(CVD)을 최소화시킬 수 있다. The tertiary amine in the solvent can increase the stability of the precursor material in the process of adsorbing the substrate to minimize the metal thin film formation process described below, for example, chemical vapor deposition (CVD) in the ALD process.

상기 용매는 금속막 형성용 전구체 조성물 전체 중량에 대하여 1 내지 99 중량%. 구체적으로 0.1 중량% 내지 70 중량%, 더욱 구체적으로 1 중량% 내지 50 중량%로 포함될 수 있다.The solvent is 1 to 99% by weight based on the total weight of the precursor composition for forming a metal film. Specifically, it may be included in 0.1% by weight to 70% by weight, more specifically 1% by weight to 50% by weight.

상기 용매 함량이 상기 범위로 포함되는 경우, 박막의 균일성 및 단차 피복 개선 효과를 향상시킬 수 있다. 만약, 용매 함량이 99 중량%를 초과하면, 전구체 조성물의 농도가 묽어져 박막의 증착 속도가 느려지면서 생산성이 떨어지고, 0.1 중량% 미만이면 점도 증가로 박막의 균일성 및 단차 피복 개선 효과가 미미하다.When the solvent content is within the above range, it is possible to improve the uniformity of the thin film and the effect of improving step coverage. If the solvent content exceeds 99% by weight, the concentration of the precursor composition becomes thin, resulting in a slow deposition rate of the thin film, resulting in a decrease in productivity. .

박막 형성 방법Thin film formation method

다른 구현예에 따르면, 본 발명은 상기 금속막 형성용 전구체 조성물을 이용하여 기판 상에 금속 박막을 증착하는 단계를 포함하는 박막 형성 방법을 제공한다.According to another embodiment, the present invention provides a thin film forming method comprising depositing a metal thin film on a substrate using the precursor composition for forming a metal film.

구체적으로, 본 발명에서는Specifically, in the present invention

챔버 내에 기판을 위치하는 단계; 및positioning a substrate within the chamber; and

상기 챔버 내에 본 발명의 금속막 형성용 전구체 조성물을 공급하여 기판 상에 금속 박막을 증착하는 단계;를 포함하는 박막 형성 방법을 제공한다.It provides a thin film formation method comprising the; depositing a metal thin film on a substrate by supplying the precursor composition for forming a metal film of the present invention into the chamber.

이때, 본 발명의 박막 형성 방법은 본 발명에 의해 제공되는 금속막 형성용 전구체 조성물을 이용하는 것을 제외하고는 통상의 증착 방법을 적용할 수 있다.At this time, the thin film formation method of the present invention may be applied to a conventional deposition method except for using the precursor composition for forming a metal film provided by the present invention.

(1) 챔버 내에 기판을 위치하는 단계(1) positioning the substrate in the chamber

먼저, 본 발명의 방법에서는 본 발명의 금속막 형성용 전구체 조성물을 금속 박막 형성용 기판 위로 공급하는 단계를 포함한다. First, the method of the present invention includes the step of supplying the precursor composition for forming a metal film of the present invention onto a substrate for forming a metal thin film.

이때 상기 금속 박막 형성용 기판은 기술적 작용으로 인하여 반도체 제조에 사용되는 금속 박막을 적용할 수 있는 기판이라면 특별한 제한 없이 사용할 수 있다. 구체적으로는 상기 기판은 규소 기판(Si), 실리카 기판(SiO2), 질화 규소 기판(SiN), 규소 옥시 나이트라이드 기판 (SiON), 티타늄 나이트라이드 기판(TiN), 탄탈륨 나이트라이드 기판(TaN), 텅스텐 기판(W) 또는 귀금속 기판, 예를 들어 백금 기판(Pt), 팔라듐 기판(Pd), 로듐 기판(Rh) 또는 금 기판(Au) 등이 사용될 수 있다.At this time, the substrate for forming the metal thin film may be used without particular limitation as long as it is a substrate to which the metal thin film used in semiconductor manufacturing can be applied due to technical functions. Specifically, the substrate is a silicon substrate (Si), a silica substrate (SiO 2 ), a silicon nitride substrate (SiN), a silicon oxy nitride substrate (SiON), a titanium nitride substrate (TiN), a tantalum nitride substrate (TaN) , a tungsten substrate (W) or a noble metal substrate such as a platinum substrate (Pt), a palladium substrate (Pd), a rhodium substrate (Rh), or a gold substrate (Au) may be used.

(2) 금속 박막을 증착하는 단계(2) Depositing a metal thin film

본 발명의 방법에서는 본 발명에 의해 제공되는 금속막 형성용 전구체 조성물을 이용하는 것을 제외하고는 통상의 박막 증착 방법을 이용하여 박막을 증착할 수 있다.In the method of the present invention, a thin film may be deposited using a conventional thin film deposition method except for using the precursor composition for forming a metal film provided by the present invention.

이러한 박막 증착 방법으로는 원자층 증착법(Atomic Layer Deposition; ALD) 또는 화학 기상 증착법(Chemical Vapor Deposition)을 적용할 수 있으며, 본 발명의 방법에서는 바람직하게 원자층 증착법을 이용할 수 있다.Atomic Layer Deposition (ALD) or Chemical Vapor Deposition may be applied as a method for depositing such a thin film, and atomic layer deposition may be preferably used in the method of the present invention.

구체적으로, 본 발명의 금속 박막 증착 단계는 ALD 방법을 이용하여 250℃ 내지 400℃, 구체적으로 300℃ 내지 350℃ 온도 조건하에서 본 발명의 금속막 형성용 전구체 조성물을 공급하여 수행될 수 있다.Specifically, the metal thin film deposition step of the present invention may be performed by supplying the precursor composition for forming a metal film of the present invention under a temperature condition of 250 ° C to 400 ° C, specifically 300 ° C to 350 ° C using an ALD method.

이러한 온도 범위 조건하에서 금속막 형성용 전구체 조성물을 공급하는 경우, 균일성 및 단차 피복성이 우수한 금속 박막을 증착할 수 있다. 만약, 상기 공정 온도가 250℃ 미만인 경우 불완전한 증착으로 인해 박막내 불순물 증가에 따른 박막의 특성이 저하 될 수 있으며, 증착 효율이 느려지므로 생산성이 떨어질 수 있고, 400℃ 를 초과하면 공정 중 전구체의 열분해로 인해 박막의 균일성 및 단차 피복 개선 효과가 저하되는 단점이 있다.When the precursor composition for forming a metal film is supplied under these temperature range conditions, a metal thin film having excellent uniformity and step coverage can be deposited. If the process temperature is less than 250 ° C., the characteristics of the thin film may deteriorate due to the increase in impurities in the thin film due to incomplete deposition, and productivity may decrease because the deposition efficiency is slowed down. If it exceeds 400 ° C., thermal decomposition of the precursor during the process As a result, there is a disadvantage in that the uniformity of the thin film and the effect of improving the step coverage are deteriorated.

한편, 본 발명의 금속 박막 증착 단계에서, 상기 금속막 형성용 전구체 조성물은 (i) 액상의 전구체 조성물을 직접 주입하는 직접 액체 주입 방법(Direct Liquid Injection) 또는 (ii) 전구체 조성물을 유기 용매에 용해시켜 이송하는 액체 이송 방법(LDS: Liquid Delivery System) 등을 이용하여 공급될 수 있다. Meanwhile, in the metal thin film deposition step of the present invention, the precursor composition for forming a metal film is (i) a direct liquid injection method of directly injecting a liquid precursor composition or (ii) dissolving the precursor composition in an organic solvent. It may be supplied using a liquid delivery system (LDS) or the like.

(ii) 상기 액체 이송 방법은 전구체 조성물을 전구체 조성물 혹은 필요시 유기 용매를 포함한 조성물이 담긴 canister의 dip line을 통해 조성물이 기화기로 이송되어 고온의 기화기를 이용하여 기화 (vapor) 상태로 전환한 다음, 증착 반응 챔버 내부로 이송시키는 단계를 포함 한다. 구체적으로, 본 발명의 금속막 형성용 전구체 조성물은 (ii) LDS 증착 방법을 이용하여 공급될 수 있다. (ii) In the liquid transfer method, the precursor composition is transferred to a vaporizer through a dip line of a canister containing a precursor composition or a composition containing an organic solvent when necessary, and the composition is converted into a vaporized state using a high-temperature vaporizer. , and transferring it into the deposition reaction chamber. Specifically, the precursor composition for forming a metal film of the present invention may be supplied using (ii) an LDS deposition method.

한편, 본 발명의 금속 박막 증착 단계에서, 금속막 형성용 전구체 조성물을 LDS 증착 방법을 이용하여 공급할 때, 상기 전구체 조성물로 적용되는 화합물 중 일부 또는 전부가 높은 점도로 인하여 액체 이송 방식의 기화기에서 균일하게 기화되기 어렵거나 온도 구배의 불균형으로 인한 전구체 응축으로 장비 오염을 발생시킬 수 있다. 이러한 단점을 개선하기 위하여, 금속 박막 전구체 조성물의 점도가 액체 이송 방법에 적합하도록 용매 함량을 적절히 조절 및 제어할 수 있다.On the other hand, in the metal thin film deposition step of the present invention, when the precursor composition for forming a metal film is supplied using the LDS deposition method, some or all of the compounds applied to the precursor composition are uniform in a liquid transfer vaporizer due to high viscosity. It is difficult to vaporize properly or condensation of precursors due to imbalance in temperature gradient can cause equipment contamination. In order to improve these disadvantages, the solvent content may be appropriately adjusted and controlled so that the viscosity of the metal thin film precursor composition is suitable for the liquid transfer method.

상기 액체 이송 방법에 사용 가능한 용매는 전술한 용매와 그 내용과 중복되므로, 그 기재를 생략한다.Since the solvent usable in the liquid transfer method overlaps with the above-mentioned solvent and its content, the description thereof is omitted.

이와 같이, 상기 액체 이송 방법은 전구체 물질이 용매에 희석된 상태로 기화됨으로써 보다 균일한 상태로 증착 챔버 내로 이송되기 때문에 기판에 고르게 흡착될 수 있고, 그 결과로 증착된 박막의 균일성(uniformity) 및 단차피복(step coverage) 특성을 향상시킬 수 있다.As such, in the liquid transfer method, since the precursor material is vaporized in a diluted state in a solvent and transferred into the deposition chamber in a more uniform state, it can be evenly adsorbed to the substrate, resulting in uniformity of the deposited thin film. And step coverage characteristics can be improved.

한편, 본 발명의 금속 박막 증착 단계에서, 기판 상에 형성되는 금속 박막의 증착 순서 및 성분을 제어하기 위하여, (i) 본 발명의 금속막 형성용 전구체 조성물과 함께 반응성 가스 또는 반응성 가스의 플라즈마가 동시에 공급될 수 있고, 또는 (ii) 본 발명의 금속막 형성용 전구체 조성물을 챔버에 공급한 다음 반응성 가스 또는 반응성 가스의 플라즈마가 순차적으로 공급될 수도 있다.Meanwhile, in the metal thin film deposition step of the present invention, in order to control the deposition order and components of the metal thin film formed on the substrate, (i) a reactive gas or a plasma of the reactive gas together with the precursor composition for forming a metal film of the present invention It may be supplied simultaneously, or (ii) after supplying the precursor composition for forming a metal film of the present invention to the chamber, a reactive gas or plasma of the reactive gas may be supplied sequentially.

이러한 반응성 가스로는 산화성 가스 및 환원성 가스 중 적어도 어느 하나 이상의 가스를 적용할 수 있다.As such a reactive gas, at least one of an oxidizing gas and a reducing gas may be used.

구체적으로 상기 산화성 가스는 수증기(H2O), 산소(O2), 오존(O3) 및 과산화수소(H2O2) 중 선택된 적어도 하나 이상의 가스를 포함할 수 있다. 또한, 상기 환원성 가스는 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4), 및 실란(SiH4) 중 선택된 적어도 하나 이상의 가스를 포함할 수 있다.Specifically, the oxidizing gas may include at least one gas selected from water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ), and hydrogen peroxide (H 2 O 2 ). In addition, the reducing gas is hydrogen (H 2 ), ammonia (NH 3 ), nitrogen monoxide (NO), nitrous oxide (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ), and silane (SiH 4 ) may include at least one gas selected from.

또한, 상기 반응성 가스의 플라즈마는 RF 플라즈마, DC 플라즈마, 또는 리모트(Remote) 플라즈마 중 어느 하나일 수 있다.In addition, the plasma of the reactive gas may be any one of RF plasma, DC plasma, or remote plasma.

본 발명의 방법에서 상기 반응성 가스로 수증기, 산소, 오존, 과산화수소 등과 같은 산화성 가스를 공급하는 경우, 상기 전구체 조성물과 상기 산화성 가스가 화학 반응하면서 하기 화학식 4로 표시되는 이트륨 산화물 박막과 하기 화학식 5로 표시되는 금속 산화물 박막이 하나의 층 안에 혼재되어 있는 혼합 박막이 형성될 수 있다.In the method of the present invention, when an oxidizing gas such as water vapor, oxygen, ozone, hydrogen peroxide, etc. is supplied as the reactive gas, the precursor composition and the oxidizing gas react chemically to form a yttrium oxide thin film represented by the following formula (4) and the following formula (5) A mixed thin film in which the displayed metal oxide thin film is mixed in one layer may be formed.

[화학식 4][Formula 4]

YxOy Y x O y

상기 화학식 4에서,In Formula 4,

x는 (1≤x≤2), y는 (1≤y≤4)이다.x is (1≤x≤2) and y is (1≤y≤4).

[화학식 5][Formula 5]

(M1-aM"a)Ob (M 1-a M" a ) O b

상기 화학식 5에서, In Formula 5,

a는 0≤a<1 이고, b는 0<b≤2 이며, a is 0≤a<1, b is 0<b≤2,

M는 Zr, Hf 및 Ti로 이루어진 군에서 선택되고, M"은 제2 금속 전구체로부터 유도되는 것으로, 규소(Si), 티타늄(Ti), 게르마늄(Ge), 스트론튬(Sr), 니오브(Nb), 바륨(Ba), 하프늄(Hf), 탄탈륨(Ta) 및 란탄족 원자로부터 선택된 것이다.M is selected from the group consisting of Zr, Hf, and Ti, and M" is derived from a second metal precursor, and is composed of silicon (Si), titanium (Ti), germanium (Ge), strontium (Sr), niobium (Nb) , barium (Ba), hafnium (Hf), tantalum (Ta) and lanthanide atoms.

구체적으로, 상기 화학식 5로 표시되는 금속 산화물 박막은 AlxOy (이때, 1≤x≤2, 1≤y≤4)막, ZrxOy 막 (이때, 0.5≤x≤1.5, 1≤y≤3) 및 ZrOx (이때, 1≤x≤3) 중 적어도 하나 이상을 들 수 있다. Specifically, the metal oxide thin film represented by Chemical Formula 5 is an Al x O y (where 1≤x≤2, 1≤y≤4) film and a Zr x O y film (where 0.5≤x≤1.5, 1≤ y≤3) and at least one of ZrO x (where 1≤x≤3).

또한, 상기 반응성 가스로 수소, 암모니아, 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진, 실란 등의 환원성 가스를 공급하는 경우, 상기 전구체 조성물과 상기 환원성 가스가 화학 반응하면서 이트륨 산화물 박막, 이트륨 질화물 박막, 금속 산화물 박막 또는 금속 질화물 박막이 각각 단일막으로 형성될 수 있다.In addition, when a reducing gas such as hydrogen, ammonia, nitrogen monoxide (NO), nitrous oxide (N 2 O), nitrogen dioxide (NO 2 ), hydrazine, or silane is supplied as the reactive gas, the precursor composition and the reducing gas While chemically reacting, the yttrium oxide thin film, the yttrium nitride thin film, the metal oxide thin film, or the metal nitride thin film may be formed as a single film, respectively.

상기 반응성 가스는 약 0.01torr 내지 약 100torr의 대기압 보다 낮은 감압 조건하에서, 배관을 통해 감압 상태의 챔버 내로 직접 공급될 수 있다. 이때, 반응성 가스의 공급 유량 및 0.01초 내지 100초의 시간 조건하에서 충분한 표면 반응을 실시할 수 있다. The reactive gas may be directly supplied into the reduced pressure chamber through a pipe under a reduced pressure condition lower than atmospheric pressure of about 0.01 torr to about 100 torr. At this time, sufficient surface reaction can be performed under the conditions of the supply flow rate of the reactive gas and the time period of 0.01 second to 100 seconds.

한편, 금속 박막의 두께는 목적 및 용도에 따라 그 두께를 적절히 제한하여 형성할 수 있으며, 본 발명의 방법에서는 전기적 특성 평가를 위하여 약 6 nm 내지 13 nm 두께의 금속 박막을 형성하였다.Meanwhile, the thickness of the metal thin film may be formed by appropriately limiting the thickness depending on the purpose and use, and in the method of the present invention, a metal thin film having a thickness of about 6 nm to 13 nm is formed for electrical property evaluation.

한편, 본 발명의 금속 박막 증착 단계에서 형성된 금속 박막의 전기적 특성, 즉 정전용량을 더욱 개선하기 위하여 상기 전구체 조성물을 공급할 때, 필요에 따라 제2 금속 전구체를 추가로 공급하는 단계를 더 포함할 수 있다.On the other hand, when supplying the precursor composition in order to further improve electrical characteristics, that is, capacitance, of the metal thin film formed in the metal thin film deposition step of the present invention, the step of additionally supplying a second metal precursor, if necessary, may be further included. there is.

상기 제2 금속 전구체는 규소(Si), 티타늄(Ti), 게르마늄(Ge), 스트론튬(Sr), 니오브(Nb), 바륨(Ba), 하프늄(Hf), 탄탈륨(Ta) 및 주기율표 상 2족, 3족, 4족 또는 란탄족 원자로부터 선택된 1종 이상의 금속(M") 원소를 포함하는 알킬아미드계 화합물 또는 알콕시계 화합물을 들 수 있다. The second metal precursor is silicon (Si), titanium (Ti), germanium (Ge), strontium (Sr), niobium (Nb), barium (Ba), hafnium (Hf), tantalum (Ta) and group 2 on the periodic table , Alkylamide-based compounds or alkoxy-based compounds containing at least one metal (M") element selected from Group 3, Group 4, or Lanthanide atoms.

일례로 상기 금속이 Si인 경우 제2 금속 전구체로 SiH(N(CH3)2)3, Si(N(C2H5)2)4, Si(N(C2H5)(CH3))4, Si(N(CH3)2)4, Si(OC4H9)4, Si(OC2H5)4, Si(OCH3)4, Si(OC(CH3)3)4 등이 사용될 수 있다.For example, when the metal is Si, the second metal precursor is SiH(N(CH 3 ) 2 ) 3 , Si(N(C 2 H 5 ) 2 ) 4 , Si(N(C 2 H 5 )(CH 3 ) ) 4 , Si(N(CH 3 ) 2 ) 4 , Si(OC 4 H 9 ) 4 , Si(OC 2 H 5 ) 4 , Si(OCH 3 ) 4 , Si(OC(CH 3 ) 3 ) 4 , etc. this can be used

상기 제2 금속 전구체는 상기 전구체 조성물의 공급 방법과 동일한 방법으로 실시될 수 있으며, 상기 전구체 조성물과 함께 공급될 수도 있고, 또는 본 발명의 전구체 조성물의 공급 완료 이후 순차적으로 공급될 수도 있다.The second metal precursor may be supplied in the same manner as the supplying method of the precursor composition, and may be supplied together with the precursor composition, or may be supplied sequentially after supply of the precursor composition of the present invention is completed.

상기 제2 금속 전구체는 상기 화학식 1 내지 화학식 3으로 표시되는 화합물 전체 함량 100 중량부에 대하여 1 중량부 내지 10 중량부로 공급될 수 있다.The second metal precursor may be supplied in an amount of 1 to 10 parts by weight based on 100 parts by weight of the total amount of the compounds represented by Formulas 1 to 3.

이때, 상기 제2 금속 전구체의 함량이 10 중량부를 초과하면, 박막의 결정성에 영향을 주는 문제점이 있으며, 1 미만이면 유전율 및 누설전류의 특성 개선 효과가 미미할 수 있다.At this time, if the content of the second metal precursor exceeds 10 parts by weight, there is a problem of affecting the crystallinity of the thin film, and if it is less than 1, the effect of improving the dielectric constant and leakage current characteristics may be insignificant.

또한, 상기 제2 금속 전구체는 상기 금속 박막 형성용 기판과 접촉시키기 위해 반응 챔버 내로 공급되기 전까지 충분한 증기압을 가지는 기화를 위하여 150℃ 내지 300℃의 온도를 유지하는 것이 바람직하며, 보다 바람직하게는 150℃ 내지 250℃의 온도를 유지하는 것이 좋다. In addition, the second metal precursor is preferably maintained at a temperature of 150° C. to 300° C. for vaporization having sufficient vapor pressure until supplied into the reaction chamber to contact the substrate for forming the metal thin film, more preferably 150° C. It is good to maintain the temperature of ℃ to 250 ℃.

한편, 본 발명의 금속 박막 증착 단계는 상기 금속막 형성용 전구체 조성물을 공급한 후 및 반응성 가스를 공급하기 전, 또는 반응성 가스를 공급한 후에, 상기 전구체 조성물 및 선택적으로 제2 금속 전구체의 기판 위로의 이동을 돕거나, 반응기 내가 증착에 적절한 압력을 갖거나, 또는 챔버 내에 존재하는 불순물 등을 외부로 방출시키기 위하여, 반응기 내에 아르곤(Ar), 질소(N2), 또는 헬륨(He) 등의 불활성 기체를 이용해 퍼지하는 단계를 추가로 포함할 수 있다. 이때 불활성 기체의 퍼지는 반응기내 압력이 1 내지 5 Torr가 되도록 실시되는 것이 바람직하다.Meanwhile, in the step of depositing a metal thin film of the present invention, after supplying the precursor composition for forming a metal film and before supplying a reactive gas, or after supplying a reactive gas, the precursor composition and optionally a second metal precursor are deposited on the substrate. Argon (Ar), nitrogen (N 2 ), helium (He), etc. A step of purging with an inert gas may be further included. At this time, it is preferable that the inert gas is purged so that the pressure in the reactor is 1 to 5 Torr.

또한, 본 발명의 방법은 상기와 같은 본 발명의 금속막 형성용 전구체 조성물을 공급하는 단계; 챔버 내에 반응성 가스 또는 반응성 가스의 플라즈마를 공급하면서 기판 상에 금속 박막을 증착하는 단계; 불활성 기체를 퍼지하는 단계를 1 사이클로 하고, 상기 사이클을 1회 이상 반복 실시하여 금속 함유 박막을 형성할 수 있다.In addition, the method of the present invention includes the steps of supplying the precursor composition for forming a metal film of the present invention as described above; depositing a metal thin film on a substrate while supplying a reactive gas or a plasma of the reactive gas into the chamber; The step of purging the inert gas may be regarded as one cycle, and the metal-containing thin film may be formed by repeating the cycle one or more times.

구체적으로, 본 발명의 금속막 형성용 전구체 조성물을 LDS 증착 방법으로 공급하는 경우, 본 발명의 박막 증착 단계는 금속 전구체 조성물을 기화기를 통해 기화 시켜서 0.1 내지 30초, 구체적으로 5초 내지 10초간 동안 공급하고, 공급된 전구체 조성물을 제거하기 위하여 5초 내지 50초, 구체적으로 30초간 퍼지 공정을 실시한 다음, 산화막을 형성하기 위한 산화성 가스를 1 내지 30초, 구체적으로 5초간 공급한 후, 반응 챔버로 공급된 산화성 가스를 제거하기 위하여 5초 내지 60초, 구체적으로 10 초간 퍼지 공정을 실시하는 단계를 포함할 수 있다. 이때, 금속막 형성용 전구체 조성물을 고온의 기화기로 전달하기 위한 운반 가스는 비활성 가스인 아르곤을 사용하여 100cc/min으로 유지하였으며, 금속막 형성용 전구체 조성물은 0.05g/min LMFC 유량으로 160℃의 기화기를 통해 기상 형태로 챔버에 공급할 수 있도록 하였다. 아울러, 이러한 박막 증착 단계는 250℃ 내지 400℃, 구체적으로 300℃ 내지 350℃, 더욱 구체적으로 300℃ 내지 320℃ 온도 범위에서 수행하는 것이 바람직하다.Specifically, when the precursor composition for forming a metal film of the present invention is supplied by the LDS deposition method, the thin film deposition step of the present invention vaporizes the metal precursor composition through a vaporizer for 0.1 to 30 seconds, specifically for 5 seconds to 10 seconds supply, a purge process is performed for 5 seconds to 50 seconds, specifically 30 seconds to remove the supplied precursor composition, and then an oxidizing gas for forming an oxide film is supplied for 1 to 30 seconds, specifically 5 seconds, and then the reaction chamber It may include a step of performing a purge process for 5 seconds to 60 seconds, specifically 10 seconds to remove the oxidizing gas supplied to. At this time, the carrier gas for delivering the precursor composition for forming a metal film to a high-temperature vaporizer was maintained at 100 cc/min using an inert gas, argon, and the precursor composition for forming a metal film was 160° C. at a LMFC flow rate of 0.05 g/min. It was made possible to supply the chamber in the form of a gaseous phase through a vaporizer. In addition, this thin film deposition step is preferably carried out in a temperature range of 250 °C to 400 °C, specifically 300 °C to 350 °C, more specifically 300 °C to 320 °C.

(3) 금속 박막이 증착된 기판을 표면 처리하는 단계(3) surface treatment of the substrate on which the metal thin film is deposited

또한, 본 발명의 방법은 상기 금속 박막 증착 단계 후에, 금속 전구체의 증착을 위한 열에너지 또는 표면 흡착 개질을 위해, 형성된 금속 박막을 표면 처리하는 단계를 추가로 수행할 수 있다. In addition, in the method of the present invention, after the depositing of the metal thin film, a step of surface treating the formed metal thin film may be additionally performed for thermal energy for deposition of the metal precursor or surface adsorption modification.

이때, 상기 표면 처리 단계는 반도체 소자 제조 시 적용 가능한 통상적인 급속 열처리 (Rapid Thermal Processing, RTP) 방법을 이용하여 실시할 수 있으며, 충분한 성장 속도로, 목적하는 물리적 상태와 조성을 갖는 금속 박막을 제조하기 위해서 반응기내 기판의 온도가 100℃ 내지 1,000℃, 바람직하게는 400℃ 이상 700℃ 이하가 되도록 실시하는 것이 바람직하다.At this time, the surface treatment step can be performed using a conventional rapid thermal processing (RTP) method applicable to semiconductor device manufacturing, and to produce a metal thin film having a desired physical state and composition at a sufficient growth rate. For this purpose, it is preferable to carry out such that the temperature of the substrate in the reactor is 100 ° C to 1,000 ° C, preferably 400 ° C or more and 700 ° C or less.

이때, 상기 표면 처리 단계는 증착 공정 진행 후에 실시할 수 있으나, 필요에 따라 증착 효과를 높이기 위하여, 증착 공전 전에도 적용할 수도 있다.In this case, the surface treatment step may be performed after the deposition process, but may also be applied before the deposition cycle to increase the deposition effect if necessary.

금속 박막 metal thin film

본 발명에서는 본 발명의 금속막 형성용 전구체 조성물을 이용하여 형성되는 금속 박막을 제공한다.The present invention provides a metal thin film formed using the precursor composition for forming a metal film of the present invention.

본 발명에 따른 전구체 조성물은 지르코늄 화합물과 더불어 이트륨 화합물이 함유되는 것이며, 이를 통해 제조된 금속 박막은 내부에 0.1 내지 50 at%(atomic percent), 구체적으로 1 내지 35 at%의 이트륨을 함유하게 된다. 이는 지르코늄 산화물 박막에 대하여 이트륨을 도핑할 때와 동일한 효과를 얻는 것으로서 소량의 이트륨이 함유되도록 함으로써 박막의 high K 특성을 크게 향상시킬 수 있다.The precursor composition according to the present invention contains a yttrium compound as well as a zirconium compound, and the metal thin film prepared through this contains 0.1 to 50 at% (atomic percent), specifically 1 to 35 at% of yttrium therein. . This obtains the same effect as when yttrium is doped with respect to the zirconium oxide thin film, and high K characteristics of the thin film can be greatly improved by incorporating a small amount of yttrium.

구체적으로, 본 발명의 금속 박막은 YxOy (이때, 1≤x≤2, 1≤y≤4 )막과 금속 산화물막이 혼합막으로 이루어질 수 있다. 이때, 상기 금속 산화물막은 AlxOy (이때, 1≤x≤2, 1≤y≤4) 막, ZrxOy 막 (이때, 0.5≤x≤1.5, 1≤y≤3) 및 ZrOx (이때, 1≤x≤3) 중 하나 이상을 들 수 있다.Specifically, the metal thin film of the present invention may be formed of a mixture of a Y x O y (where 1≤x≤2, 1≤y≤4) layer and a metal oxide layer. At this time, the metal oxide film is an Al x O y (where 1≤x≤2, 1≤y≤4) film, a Zr x O y film (where 0.5≤x≤1.5, 1≤y≤3) and ZrO x (At this time, one or more of 1≤x≤3) may be mentioned.

또한, 상기 금속 박막은 YxOy (이때, 1≤x≤2, 1≤y≤4) 막과 금속 산화물 막이 순차적으로 적층되어 이루어질 수 있다. 이때, 상기 금속 산화물막은 AlxOy (이때, 1≤x≤2, 1≤y≤4) 막, ZrxOy 막 (이때, 0.5≤x≤1.5, 1≤y≤3) 및 ZrOx (이때, 1≤x≤3) 중 하나 이상을 들 수 있다.In addition, the metal thin film may be formed by sequentially stacking a Y x O y (where 1≤x≤2, 1≤y≤4) layer and a metal oxide layer are sequentially stacked. At this time, the metal oxide film is an Al x O y (where 1≤x≤2, 1≤y≤4) film, a Zr x O y film (where 0.5≤x≤1.5, 1≤y≤3) and ZrO x (At this time, one or more of 1≤x≤3) may be mentioned.

이와 같이, 본 발명에서는 전구체 조성물 내에 이트륨 화합물이 포함된 상태로 박막을 형성하기 때문에 추가적인 도핑 공정이 불필요하며, 지르코늄 화합물과 이트륨 화합물이 균질 혼합된 상태로 기판에 제공되기 때문에 이트륨의 지르코늄 화합물 내에서의 분산성이 대단히 높고 이에 따라 누설 전류값(leakage current)이 낮으면서도 밴드 갭을 증가시킬 수 있어 우수한 전기적 특성을 나타내게 된다.As such, in the present invention, since the thin film is formed with the yttrium compound contained in the precursor composition, an additional doping process is unnecessary, and since the zirconium compound and the yttrium compound are provided to the substrate in a homogeneous mixed state, the yttrium compound within the zirconium compound The dispersibility of is very high, and thus the leakage current value (leakage current) is low and the band gap can be increased, thereby exhibiting excellent electrical characteristics.

특히, 본 발명에서는 열 안정성이 우수한 금속 전구체를 이용하여, 금속 박막을 형성함으로써, 종래에 비해 높은 온도에서 증착 공정 실시가 가능하고, 전구체의 열분해에 기인한 파티클 오염이나 탄소 등의 불순물 오염 없이 고순도의 금속, 금속 산화물 또는 금속 질화물 박막을 형성할 수 있다. In particular, in the present invention, by forming a metal thin film using a metal precursor having excellent thermal stability, it is possible to carry out the deposition process at a higher temperature than in the prior art, and to achieve high purity without particle contamination or contamination with impurities such as carbon due to thermal decomposition of the precursor. of metal, metal oxide or metal nitride thin film can be formed.

구체적으로, 본 발명에서는 본 발명의 전구체 조성물을 이용한 방법에 의해 형성된 박막을 포함함으로써, 낮은 누설 전류 특성 및 높은 유전율을 확보할 수 있는 고유전 물질막, 특히 커패시터 유전막 또는 게이트 절연막을 제공할 수 있다.Specifically, in the present invention, by including a thin film formed by a method using the precursor composition of the present invention, it is possible to provide a high dielectric material film, particularly a capacitor dielectric film or a gate insulating film capable of securing low leakage current characteristics and high permittivity. .

또한, 본 발명에서는 커패시터 유전막 또는 게이트 절연막을 포함하는 반도체 소자를 제공할 수 있다.In addition, the present invention may provide a semiconductor device including a capacitor dielectric film or a gate insulating film.

즉, 하부 전극, 유전체 박막 및 상부 전극이 순차적으로 적층되어 구성되는 커패시터에 있어서, 상기 하부 전극과 상부 전극은 금속 물질을 포함할 수 있고, 상기 하부 전극의 형상은 평판, 실린더, 필라 형상 등의 다양한 형상을 가질 수 있는데, 이때, 상기 유전체 박막으로서 본 발명의 전구체 조성물에 의해 형성된 금속 박막을 적용할 수 있다.That is, in a capacitor configured by sequentially stacking a lower electrode, a dielectric thin film, and an upper electrode, the lower electrode and the upper electrode may include a metal material, and the shape of the lower electrode may be a flat plate, cylinder, pillar shape, etc. It may have various shapes, and at this time, a metal thin film formed by the precursor composition of the present invention may be applied as the dielectric thin film.

이때, 상기 금속 박막은 지르코늄 산화물, 티타늄 산화물 및 하프늄 산화물을 포함하는 박막일 수 있고, 주기율표 상 Group 2, 3, 4 또는 지르코늄 산화물, 티타늄 산화물 및 하프늄 산화물 중에서 선택된 적어도 2종의 산화물 박막을 적층 또는 혼합하여 형성할 수도 있다. In this case, the metal thin film may be a thin film including zirconium oxide, titanium oxide, and hafnium oxide, and stacking or stacking at least two kinds of oxide thin films selected from Group 2, 3, and 4 or zirconium oxide, titanium oxide, and hafnium oxide on the periodic table. It can also be formed by mixing.

본 발명에서는 실린더 형상 또는 필라 형상인 하부 전극 상에 전술한 본 발명의 유전체 박막을 증착함으로써, 유전체 박막의 결정성, 유전 특성, 및 누설 전류 특성을 개선할 수도 있다.In the present invention, crystallinity, dielectric properties, and leakage current characteristics of the dielectric thin film may be improved by depositing the dielectric thin film of the present invention on a cylindrical or pillar-shaped lower electrode.

도 1은 본 발명의 금속 박막을 적용한 커패시터의 단면도를 나타낸 개념도이다.1 is a conceptual diagram showing a cross-sectional view of a capacitor to which the metal thin film of the present invention is applied.

즉, 도 1(a)를 살펴보면, 하부 전극 위에 ZrOx 단일막, YxOy 단일막, AlxOy 단일막 및 ZrxOy 단일막이 순차적으로 증착된 유전체 박막을 포함하는 커패시터를 제조할 수 있다.That is, referring to FIG. 1 (a), a capacitor including a dielectric thin film in which a ZrO x single film, a Y x O y single film, an Al x O y single film, and a Zr x O y single film are sequentially deposited on the lower electrode is manufactured can do.

또는 도 1(b)에서와 같이, 하부 전극 위에 YxOy 단일막, ZrOx 단일막, AlxOy 단일막 및 ZrxOy 단일막이 순차적으로 증착된 유전체 박막을 포함하는 커패시터를 제조할 수 있다.Alternatively, as in FIG. 1(b), a capacitor including a dielectric thin film in which a Y x O y single film, a ZrO x single film, an Al x O y single film, and a Zr x O y single film are sequentially deposited on the lower electrode is manufactured can do.

또는 도 1(c)에서와 같이, 하부 전극 위에 YxOy와 ZrOx가 혼재되어 있는 ZrxYyOz 혼합막과 AlxOy 단일막 및 ZrxYyO2 혼합막이 순차적으로 증착된 유전체 박막을 포함하는 커패시터를 제조할 수 있다.Alternatively, as in FIG. 1(c), a Zr x Y y O z mixed film in which Y x O y and ZrO x are mixed, an Al x O y single film, and a Zr x Y y O 2 mixed film are sequentially formed on the lower electrode. A capacitor comprising a deposited dielectric thin film may be fabricated.

또는 도 1(d)에서와 같이, 하부 전극 위에 ZrOx 단일막, YxOy 단일막, AlxOy 단일막, 및 YxOy 또는 ZrxYyOz 혼합막이 순차적으로 증착된 유전체 박막을 포함하는 커패시터를 제조할 수 있다.Alternatively, as in FIG. 1 (d), a ZrO x single film, a Y x O y single film, an Al x O y single film, and a Y x O y or Zr x Y y O z mixed film are sequentially deposited on the lower electrode. A capacitor comprising a thin dielectric film may be fabricated.

이때, 본 명세서에 도시하지는 않았으나, 도 1에 기재된 유전체 박막에서 상기 Zr 산화물 박막은 Ti 또는 HF의 산화물 또는 질화물로 구성된 유전체 박막일 수도 있다. At this time, although not shown in the present specification, in the dielectric thin film shown in FIG. 1, the Zr oxide thin film may be a dielectric thin film composed of Ti or HF oxide or nitride.

또한, 본 발명에서는 상기 금속 박막을 포함하는 게이트 절연막과 이를 구비한 트랜지스터를 포함하는 반도체 소자를 제공할 수 있다.In addition, the present invention can provide a semiconductor device including a gate insulating film including the metal thin film and a transistor including the gate insulating film.

상기 트랜지스터는 기판에 형성되며, 게이트 절연막, 게이트 전극, 소스 영역 및 드레인 영역을 포함한다. 게이트 전극은 금속 물질을 포함할 수 있고, 게이트 절연막은 박막 형성용 조성물에 의해 증착된 금속 산화물 또는 금속 질화물 박막을 포함할 수 있다. 예를 들어, 게이트 절연막은 지르코늄 산화물, 티타늄 산화물, 하프늄 산화물을 포함할 수 있다. 또한, 상기 게이트 절연막은 지르코늄 산화물, 티타늄 산화물, 하프늄 산화물 중에서 선택된 적어도 2종의 산화물 박막을 적층 또는 혼합하여 형성할 수 있다. 보다 구체적으로, YxOy 또는 ZrxYyOz 박막을 상기 게이트 절연막으로 적용할 수 있다.The transistor is formed on a substrate and includes a gate insulating layer, a gate electrode, a source region, and a drain region. The gate electrode may include a metal material, and the gate insulating layer may include a metal oxide or metal nitride thin film deposited using a composition for forming a thin film. For example, the gate insulating layer may include zirconium oxide, titanium oxide, or hafnium oxide. In addition, the gate insulating film may be formed by stacking or mixing at least two types of oxide thin films selected from among zirconium oxide, titanium oxide, and hafnium oxide. More specifically, a Y x O y or Zr x Y y O z thin film may be applied as the gate insulating film.

즉, 도 2를 참고하면, 본 발명의 일 실시예에 따른 트랜지스터는 기판(110), 상기 기판(110) 상에 공지의 방법을 통해 일정 간격으로 이격된 소오스 (120a) 및 드레인 (120b), 상기 기판(110) 상에 형성된 게이트 절연막(130) 및 상기 게이트 절연막(130) 상에 형성된 게이트 전극 (140)을 포함할 수 있다.That is, referring to FIG. 2 , the transistor according to an embodiment of the present invention includes a substrate 110, a source 120a and a drain 120b spaced at regular intervals on the substrate 110 through a known method, A gate insulating layer 130 formed on the substrate 110 and a gate electrode 140 formed on the gate insulating layer 130 may be included.

이때, 상기 게이트 절연막(130)은 (i) ZrOx 단일막, YxOy 단일막, AlxOy 단일막 및 ZrxOy 단일막이 순차적으로 증착된 유전체 박막. (ii) YxOy 단일막, ZrOx 단일막, AlxOy 단일막 및 ZrxOy 단일막이 순차적으로 증착된 유전체 박막, (iii) YxOy와 ZrOx가 혼재되어 있는 ZrxYyOz 혼합막과 AlxOy 단일막 및 ZrxYyO2 혼합막이 순차적으로 증착된 유전체 박막 또는 (iv) ZrOx 단일막, YxOy 단일막, AlxOy 단일막, 및 YxOy 또는 ZrxYyOz 혼합막이 순차적으로 증착된 유전체 박막을 포함할 수 있다.In this case, the gate insulating film 130 is (i) a dielectric thin film in which a ZrO x single film, a Y x O y single film, an Al x O y single film, and a Zr x O y single film are sequentially deposited. (ii) Y x O y single film, ZrO x single film, Al x O y single film and Zr x O y single film deposited sequentially, (iii) Zr mixed with Y x O y and ZrO x Dielectric film in which x Y y O z mixed film, Al x O y single film, and Zr x Y y O 2 mixed film are sequentially deposited, or (iv) ZrO x single film, Y x O y single film, Al x O y single and a dielectric thin film on which a Y x O y or Zr x Y y O z mixture film is sequentially deposited.

한편, 본 발명의 반도체 소자는 상기 커패시터 유전막 혹은 트랜지스터의 게이트 절연막 등의 고유전특성이 요구되는 물질막에 본 발명에 따른 금속 함유 박막을 포함하는 것을 제외하고는 통상의 반도체 소자의 구성과 동일하다.On the other hand, the semiconductor device of the present invention has the same configuration as a conventional semiconductor device except that the metal-containing thin film according to the present invention is included in a material film requiring high dielectric properties, such as the capacitor dielectric film or the gate insulating film of a transistor. .

본 발명은 상술한 바와 같이 바람직한 실시형태를 들어 설명하였으나, 상기 실시형태들에 한정되지 아니하며 본 발명의 정신을 벗어나지 않는 범위 내에서 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 다양한 변형과 변경이 가능하다. 그러한 변형 예 및 변경예는 본 발명과 첨부된 특허청구범위의 범위 내에 속하는 것으로 보아야 한다.Although the present invention has been described with preferred embodiments as described above, it is not limited to the above embodiments, and various modifications are made by those skilled in the art within the scope of not departing from the spirit of the present invention. and change is possible. Such modifications and variations are to be regarded as falling within the scope of this invention and the appended claims.

이하, 본 발명을 구체적으로 설명하기 위해 실시예를 들어 상세하게 설명한다. 그러나, 본 발명에 따른 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예에 한정되는 것으로 해석되어서는 안 된다. 본 발명의 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다.Hereinafter, examples will be described in detail to explain the present invention in detail. However, the embodiments according to the present invention can be modified in many different forms, and the scope of the present invention should not be construed as being limited to the embodiments described below. The embodiments of the present invention are provided to more completely explain the present invention to those skilled in the art.

실시예Example

실시예 1.Example 1.

(금속 증착용 조성물을 제조하는 단계)(Step of preparing a composition for metal deposition)

CpZr 전구체로 Zr(Cp)(NMe2)3(SK 트리켐 제조)와 Y 전구체로 Y(EtCp)2 (dipemg) [Y(EtCp)2[iPrNC(NEtMe)NiPr] (SK 트리켐 제조)를 95:5 몰비로 혼합한 후 실온에서 3 시간 동안 교반하여 금속막 형성용 전구체 조성물을 제조하였다.Zr(Cp)(NMe 2 ) 3 (manufactured by SK Trichem) as CpZr precursor and Y precursor Y(EtCp) 2 (dipemg) [Y(EtCp) 2 [iPrNC(NEtMe)NiPr] (manufactured by SK Trichem) was mixed in a 95:5 molar ratio and stirred at room temperature for 3 hours to prepare a precursor composition for forming a metal film. manufactured.

제조된 금속막 형성용 전구체 조성물에 대한 NMR (Bruker 사 제조, model명: AV400MHz HD, 용매: benzene-d6) 및 TGA (제조사: TA instrument, model 명: SDT Q600, 측정 조건: 10℃/min 승온, N2 flow: 200sccm/min) 평가를 실시하고, 그 결과를 각각 도 3 및 도 4에 나타내었다.NMR (manufactured by Bruker, model name: AV400MHz HD, solvent: benzene-d6) and TGA (manufacturer: TA instrument, model name: SDT Q600, measurement condition: 10 ° C / min temperature rise for the prepared precursor composition for forming a metal film , N 2 flow: 200 sccm/min) was evaluated, and the results are shown in FIGS. 3 and 4, respectively.

도 4를 살펴보면, 100℃ 이하에서 조성물이 서서히 휘발이 되고, 185℃ 에서 CpZr 전구체가 모두 휘발되었으며, 209℃에서 Y 전구체가 휘발된 것을 알 수 있다. 또한, 아울러, TGA 분석을 실시하는 동안, 금속막 형성용 전구체 조성물은 3.1% 잔류 질량이 남은 것을 확인할 수 있다.Referring to FIG. 4 , it can be seen that the composition was gradually volatilized at 100° C. or lower, the CpZr precursor was all volatilized at 185° C., and the Y precursor was volatilized at 209° C. In addition, during the TGA analysis, it can be confirmed that the precursor composition for forming a metal film has a residual mass of 3.1%.

(금속 박막을 증착하는 단계)(Step of depositing a metal thin film)

반응 챔버 내에 CVD 공정으로 50nm 두께의 TiN 박막(하부전극)이 증착된 Si wafer를 장착한 다음, LDS 증착 방법으로 약 10 nm 두께의 금속막을 증착하였다. 상기 LDS 증착 방법은 전구체 조성물을 5초 동안 공급하고, 30초간 퍼지한 다음, 산화막을 형성하기 위한 산화성 가스를 5초 동안 공급하고, 다시 10초간 퍼지하는 단계로 실시하였다. 이때, 전구체 조성물을 고온의 기화기로 전달하기 위한 이송 가스는 비활성 가스인 아르곤을 사용하여 100cc/min으로 유지하였으며, 전구체 조성물은 0.05g/min LMFC 유량으로 160℃의 기화기를 통해 기상 형태로 챔버에 공급할 수 있도록 하였다. 상기 금속 박막 공정은 반응 챔버 내부 온도 300℃ 분위기하에서 실시하였다.After mounting a Si wafer on which a 50 nm thick TiN thin film (lower electrode) was deposited by the CVD process in the reaction chamber, a metal film with a thickness of about 10 nm was deposited by the LDS deposition method. The LDS deposition method was performed by supplying the precursor composition for 5 seconds, purging for 30 seconds, supplying an oxidizing gas for forming an oxide film for 5 seconds, and purging for 10 seconds again. At this time, the transfer gas for delivering the precursor composition to the high-temperature vaporizer was maintained at 100 cc / min using argon, an inert gas, and the precursor composition was supplied to the chamber in vapor form through a vaporizer at 160 ° C. at a flow rate of 0.05 g / min LMFC. made it possible to supply. The metal thin film process was carried out under an atmosphere with an internal temperature of 300° C. in the reaction chamber.

또한, 증착 된 금속 박막의 경우 결정성 향상을 위해 600℃, N2 분위기 하에서 30초간 후속열처리 공정을 진행 하였습니다.In addition, in the case of the deposited metal thin film, a post-heat treatment process was performed for 30 seconds at 600℃ in an N2 atmosphere to improve crystallinity.

실시예 2.Example 2.

상기 금속 박막 증착 단계를 320℃ 온도 분위기의 반응 챔버 내에서 실시하는 것을 제외하고는 상기 실시예 1과 마찬가지의 방법으로 10 nm 두께의 금속 박막을 증착하였다. The metal thin film deposition step in a temperature atmosphere of 320 ℃ A metal thin film having a thickness of 10 nm was deposited in the same manner as in Example 1, except that the reaction chamber was carried out.

실시예 3.Example 3.

금속 박막을 13 nm 두께로 증착하는 것을 제외하고는 상기 실시예 1과 마찬가지의 방법으로 금속 박막을 증착하였다. A metal thin film was deposited in the same manner as in Example 1, except that the metal thin film was deposited to a thickness of 13 nm.

실시예 4.Example 4.

금속 박막을 13 nm 두께로 증착하는 것을 제외하고는 상기 실시예 2와 마찬가지의 방법으로 금속 박막을 증착하였다. A metal thin film was deposited in the same manner as in Example 2, except that the metal thin film was deposited to a thickness of 13 nm.

실시예 5. Example 5.

(금속 증착용 조성물을 제조하는 단계)(Step of preparing a composition for metal deposition)

CpHf 전구체로 Hf(Cp)(NMe2)3(SK 트리템 제조)와 Y 전구체로 Y(EtCp)2 (dipemg)를 97.5:2.5 몰비로 혼합한 후 실온에서 3 시간 동안 교반하여 금속막 형성용 전구체 조성물을 제조하였다.As CpHf precursor, Hf(Cp)(NMe 2 ) 3 (manufactured by SK Tritem) and Y precursor After mixing Y(EtCp) 2 (dipemg) in a molar ratio of 97.5:2.5, the mixture was stirred at room temperature for 3 hours to prepare a precursor composition for forming a metal film.

제조된 금속막 형성용 전구체 조성물에 대한 NMR (Bruker 사 제조, model명: AV400MHz HD, 용매: benzene-d6) 및 TGA (제조사: TA instrument, model 명: SDT Q600, 측정 조건: 10℃/min 승온, N2 flow = 200sccm/min) 평가를 실시하고, 그 결과를 각각 도 5 및 도 6에 나타내었다.NMR (manufactured by Bruker, model name: AV400MHz HD, solvent: benzene-d6) and TGA (manufacturer: TA instrument, model name: SDT Q600, measurement condition: 10 ° C / min temperature rise for the prepared precursor composition for forming a metal film , N2 flow = 200 sccm/min) was evaluated, and the results are shown in FIGS. 5 and 6, respectively.

도 6을 살펴보면, 100℃ 이하에서 조성물이 서서히 휘발이 되고, 174℃ 에서 CpHf 전구체가 모두 휘발되었으며, 196℃에서 Y 전구체가 휘발된 것을 알 수 있다. 또한, 아울러, TGA 분석을 실시하는 동안, 금속막 형성용 전구체 조성물은 4.9% 잔류 질량이 남은 것을 확인할 수 있다.Referring to FIG. 6 , it can be seen that the composition was gradually volatilized at 100° C. or less, the CpHf precursor was all volatilized at 174° C., and the Y precursor was volatilized at 196° C. In addition, while performing the TGA analysis, it can be confirmed that the precursor composition for forming a metal film has a residual mass of 4.9%.

(금속 박막을 증착하는 단계)(Step of depositing a metal thin film)

실시예 3의 전구체 조성물을 공급하는 대신 상기 제조된 전구체 조성물을 공급하는 것을 제외하고는 상기 실시예 3과 마찬가지의 방법으로 13 nm 두께의 금속 박막을 증착하였다. A metal thin film having a thickness of 13 nm was deposited in the same manner as in Example 3, except that the prepared precursor composition was supplied instead of the precursor composition of Example 3.

실시예 6.Example 6.

실시예 4의 전구체 조성물을 공급하는 대신 실시예 5에서 제조된 전구체 조성물을 공급하는 것을 제외하고는 상기 실시예 4와 마찬가지의 방법으로 13 nm 두께의 금속 박막을 증착하였다.A metal thin film having a thickness of 13 nm was deposited in the same manner as in Example 4, except that the precursor composition prepared in Example 5 was supplied instead of the precursor composition of Example 4.

실시예 7.Example 7.

(금속 증착용 조성물을 제조하는 단계)(Step of preparing a composition for metal deposition)

CpHf 전구체로 Hf(Cp)(NMe2)3(SK 트리템 제조)와 Y 전구체로 Y(EtCp)2 (dipemg)를 95:5 몰비로 혼합한 후 실온에서 3 시간 동안 교반하여 금속막 형성용 전구체 조성물을 제조하였다.As CpHf precursor, Hf(Cp)(NMe 2 ) 3 (manufactured by SK Tritem) and Y precursor After mixing Y(EtCp) 2 (dipemg) at a molar ratio of 95:5, the mixture was stirred at room temperature for 3 hours to prepare a precursor composition for forming a metal film.

제조된 금속막 형성용 전구체 조성물에 대한 NMR (Bruker 사 제조, model명: AV400MHz HD, 용매: benzene-d6) 및 TGA (제조사: TA instrument, model 명: SDT Q600, 측정 조건: 10℃/min 승온, N2 flow = 200sccm/min) 평가를 실시하고, 그 결과를 각각 도 7 및 도 8에 나타내었다.NMR (manufactured by Bruker, model name: AV400MHz HD, solvent: benzene-d6) and TGA (manufacturer: TA instrument, model name: SDT Q600, measurement condition: 10 ° C / min temperature rise for the prepared precursor composition for forming a metal film , N2 flow = 200 sccm/min) was evaluated, and the results are shown in FIGS. 7 and 8, respectively.

도 8을 살펴보면, 100℃ 이하에서 조성물이 서서히 휘발이 되고, 176℃ 에서 CpHf 전구체가 모두 휘발되었으며, 217℃에서 Y 전구체가 휘발된 것을 알 수 있다. 또한, 아울러, TGA 분석을 실시하는 동안, 금속막 형성용 전구체 조성물은 4.1% 잔류 질량이 남은 것을 확인할 수 있다.Referring to FIG. 8 , it can be seen that the composition was gradually volatilized at 100° C. or lower, the CpHf precursor was all volatilized at 176° C., and the Y precursor was volatilized at 217° C. In addition, while performing the TGA analysis, it can be confirmed that the precursor composition for forming a metal film has a residual mass of 4.1%.

(금속 박막을 증착하는 단계)(Step of depositing a metal thin film)

실시예 3의 전구체 조성물을 공급하는 대신 상기 제조된 전구체 조성물을 공급하는 것을 제외하고는, 300℃ 분위기하에서 상기 실시예 3과 마찬가지의 방법으로 13 nm 두께의 금속 박막을 증착하였다. A metal thin film having a thickness of 13 nm was deposited in the same manner as in Example 3 under a 300° C. atmosphere, except that the prepared precursor composition was supplied instead of the precursor composition of Example 3.

실시예 8.Example 8.

(금속 증착용 조성물을 제조하는 단계)(Step of preparing a composition for metal deposition)

CpHf 전구체로 Hf(Cp)(NMe2)3(SK 트리템 제조)와 Y 전구체로 Y(EtCp)2 (dipemg)를 90:10 몰비로 혼합한 후 실온에서 3 시간 동안 교반하여 금속막 형성용 전구체 조성물을 제조하였다.As CpHf precursor, Hf(Cp)(NMe 2 ) 3 (manufactured by SK Tritem) and Y precursor After mixing Y(EtCp) 2 (dipemg) at a molar ratio of 90:10, the mixture was stirred at room temperature for 3 hours to prepare a precursor composition for forming a metal film.

제조된 금속막 형성용 전구체 조성물에 대한 NMR (Bruker 사 제조, 400MHz NMR, 용매: benzene-d6) 및 TGA (제조사: TA instrument, 측정 조건: 10℃/min 승온, N2 flow = 200sccm/min) 평가를 실시하고, 그 결과를 각각 도 9 및 도 10에 나타내었다.NMR (manufactured by Bruker, 400 MHz NMR, solvent: benzene-d6) and TGA (manufacturer: TA instrument, measurement conditions: 10 ° C / min elevated temperature, N2 flow = 200 sccm / min) evaluation of the prepared precursor composition for forming a metal film was carried out, and the results are shown in FIGS. 9 and 10, respectively.

도 10을 살펴보면, 100℃ 이하에서 조성물이 서서히 휘발이 되고, 182℃ 에서 CpHf 전구체가 모두 휘발되었으며, 212℃에서 Y 전구체가 휘발된 것을 알 수 있다. 또한, 아울러, TGA 분석을 실시하는 동안, 금속막 형성용 전구체 조성물은 3.0% 잔류 질량이 남은 것을 확인할 수 있다.Referring to FIG. 10 , it can be seen that the composition was gradually volatilized at 100° C. or less, the CpHf precursor was all volatilized at 182° C., and the Y precursor was volatilized at 212° C. In addition, during the TGA analysis, it can be confirmed that the precursor composition for forming a metal film has a residual mass of 3.0%.

(금속 박막을 증착하는 단계)(Step of depositing a metal thin film)

실시예 3의 전구체 조성물을 공급하는 대신 상기 제조된 전구체 조성물을 공급하는 것을 제외하고는, 300℃ 분위기하에서 상기 실시예 3과 마찬가지의 방법으로 13 nm 두께의 금속 박막을 증착하였다. A metal thin film having a thickness of 13 nm was deposited in the same manner as in Example 3 under a 300° C. atmosphere, except that the prepared precursor composition was supplied instead of the precursor composition of Example 3.

실시예 9.Example 9.

금속 박막을 10 nm 두께로 증착하는 것을 제외하고는 상기 실시예 6과 마찬가지의 방법으로 금속 박막을 증착하였다. A metal thin film was deposited in the same manner as in Example 6, except that the metal thin film was deposited to a thickness of 10 nm.

실시예 10.Example 10.

금속 박막을 10 nm 두께로 증착하는 것을 제외하고는 상기 실시예 7과 마찬가지의 방법으로 금속 박막을 증착하였다. A metal thin film was deposited in the same manner as in Example 7, except that the metal thin film was deposited to a thickness of 10 nm.

실시예 11.Example 11.

금속 박막을 10 nm 두께로 증착하는 것을 제외하고는 상기 실시예 8과 마찬가지의 방법으로 금속 박막을 증착하였다. A metal thin film was deposited in the same manner as in Example 8, except that the metal thin film was deposited to a thickness of 10 nm.

비교예 1.Comparative Example 1.

(금속 박막을 증착하는 단계)(Step of depositing a metal thin film)

반응 챔버 내에 CVD 공정으로 50nm 두께의 TiN 박막(하부전극)이 증착된 Si wafer를 장착한 다음, Bubbler 방법으로 CpZr 전구체인 Zr(Cp)(NMe2)3(SK 트리켐 제조)를 단독으로 공급하여 약 10 nm 두께의 금속막을 증착하였다.After mounting a Si wafer on which a 50nm thick TiN thin film (lower electrode) is deposited by the CVD process in the reaction chamber, Zr(Cp)(NMe 2 ) 3 (manufactured by SK Trichem), a CpZr precursor, is supplied exclusively by the Bubbler method Thus, a metal film having a thickness of about 10 nm was deposited.

상기 Bubbler 방법은 전구체를 10초 동안 공급하고, 10초간 퍼지한 다음, 산화막을 형성하기 위한 산화성 가스를 3초 동안 공급하고, 다시 20초간 퍼지하는 단계로 실시하였으며, 전구체를 증착 챔버로 전달하기 위해 케니스터의 온도를 80℃로 히팅 하고 이송가스는 비활성 가스인 아르곤을 300sccm/min으로 유지하였으며, 금속 박막 증착을 300℃ 분위기하에서 실시하였다.The Bubbler method was carried out by supplying a precursor for 10 seconds, purging for 10 seconds, supplying an oxidizing gas for 3 seconds to form an oxide film, and purging again for 20 seconds. The temperature of the canister was heated to 80° C., the transfer gas was maintained at 300 sccm/min as an inert gas, argon, and the metal thin film deposition was carried out in an atmosphere of 300° C.

또한, 증착 된 금속 박막의 경우 결정성 향상을 위해 600℃, N2 분위기 하에서 30초간 후속열처리 공정을 진행 하였습니다.In addition, in the case of the deposited metal thin film, a post-heat treatment process was performed for 30 seconds at 600℃ in an N2 atmosphere to improve crystallinity.

비교예 2.Comparative Example 2.

(금속 박막을 증착하는 단계)(Step of depositing a metal thin film)

반응 챔버 내에 CVD 공정으로 50nm 두께의 TiN 박막(하부전극)이 증착된 Si wafer를 장착한 다음, Bubbler 방법으로 CpHf 전구체로 Hf(Cp)(NMe2)3(SK 트리템 제조)를 단독으로 공급하여 약 13 nm 두께의 금속막을 증착하였다.After mounting a Si wafer on which a 50nm-thick TiN thin film (lower electrode) is deposited by the CVD process in the reaction chamber, Hf(Cp)(NMe 2 ) 3 (manufactured by SK Tritem) is used as a CpHf precursor by the Bubbler method. supplied to deposit a metal film with a thickness of about 13 nm.

상기 Bubbler 방법은 전구체를 15초 동안 공급하고, 25초간 퍼지한 다음, 산화막을 형성하기 위한 산화성 가스를 10초 동안 공급하고, 다시 15초간 퍼지하는 단계로 실시하였으며, 전구체를 증착 챔버로 전달하기 위해 케니스터의 온도를 80℃로 히팅 하고 이송가스는 비활성 가스인 아르곤을 300sccm/min으로 유지하였으며, 금속 박막 증착을 300℃ 분위기하에서 실시하였다.The Bubbler method was performed by supplying a precursor for 15 seconds, purging for 25 seconds, supplying an oxidizing gas for forming an oxide film for 10 seconds, and purging again for 15 seconds. The temperature of the canister was heated to 80° C., the transfer gas was maintained at 300 sccm/min as an inert gas, argon, and the metal thin film deposition was carried out in an atmosphere of 300° C.

또한, 증착 된 금속 박막의 경우 결정성 향상을 위해 600℃, N2 분위기 하에서 30초간 후속열처리 공정을 진행 하였습니다.In addition, in the case of the deposited metal thin film, a post-heat treatment process was performed for 30 seconds at 600℃ in an N2 atmosphere to improve crystallinity.

비교예 3.Comparative Example 3.

금속 박막을 10 nm 두께로 증착하는 것을 제외하고는 상기 비교예 2와 마찬가지의 방법으로 금속 박막을 증착하였다. A metal thin film was deposited in the same manner as in Comparative Example 2, except that the metal thin film was deposited to a thickness of 10 nm.

실험예Experimental example

실험예 1.Experimental example 1.

실시예 1 내지 6에서 제조된 금속 박막에 대한 전기적 특성을 확인하기 위해 증착 된 각각의 금속 박막 위에 Ti 50nm 및 Pt 50nm를 e-beam evaporator를 이용하여 상부전극을 증착 하여 MIM 소자를 제작 한 뒤, 하기 식 1의 조건으로 유전율을 특정하고, 그 결과를 도 11에 나타내었다. 또한, 하기 식 2의 조건으로 누설 전류를 측정하고, 그 결과를 도 12에 나타내었다. 또한 이로부터 얻어지는 순수 SiO2층의 두께로 정의 되는 등가산화물 두께(EOT: equivalent oxide thickness)를 도 13에 나타내었다.In order to check the electrical characteristics of the metal thin films prepared in Examples 1 to 6, a MIM device was fabricated by depositing an upper electrode of 50 nm Ti and 50 nm Pt using an e-beam evaporator on each of the deposited metal thin films, The dielectric constant was specified under the conditions of Equation 1 below, and the results are shown in FIG. 11 . In addition, leakage current was measured under the condition of Equation 2 below, and the results are shown in FIG. 12 . In addition, the equivalent oxide thickness (EOT) defined as the thickness of the pure SiO 2 layer obtained therefrom is shown in FIG. 13 .

[식 1][Equation 1]

C-V(유전율 측정) : -1V → +1V → -1V sweep / 10kHz / 상온C-V (permittivity measurement) : -1V → +1V → -1V sweep / 10kHz / room temperature

[식 2][Equation 2]

I-V(누설전류): 0V → +1.5V and 0V → -1.5V / 상온I-V (leakage current): 0V → +1.5V and 0V → -1.5V / room temperature

도 11 내지 13을 살펴보면, 실시예 1과 2에서 제조된 금속 박막과, 실시예 3 및 4에서 제조된 금속 박막 및 실시예 5 및 6에서 제조된 금속 박막의 경우, 각각 동일 조성물을 사용하였으나, 공정 온도가 증가하면서 유전율과 누설 전류 억제 효과 및 등가산화물 두께 감소 효과가 개선된 것을 확인할 수 있다. 11 to 13, in the case of the metal thin films prepared in Examples 1 and 2, the metal thin films prepared in Examples 3 and 4, and the metal thin films prepared in Examples 5 and 6, the same composition was used, respectively. As the process temperature increases, it can be seen that the dielectric constant, the leakage current suppression effect, and the equivalent oxide thickness reduction effect are improved.

실험예 2.Experimental example 2.

실시예 1 및 2에서 제조된 금속 박막과 비교예 1에서 제조된 금속 박막에 대한 전기적 특성을 확인하기 위해 증착 된 각각의 금속 박막 위에 Ti 50nm + Pt 50nm를 e-beam evaporator를 이용하여 상부전극을 증착 하여 MIM 소자를 제작 한 뒤, 상기 식 1의 조건으로 유전율을 특정하고, 그 결과를 도 14 및 하기 표 1에 나타내었다.In order to check the electrical characteristics of the metal thin film prepared in Examples 1 and 2 and the metal thin film prepared in Comparative Example 1, Ti 50nm + Pt 50nm was applied on each metal thin film deposited using an e-beam evaporator to form an upper electrode. After fabricating the MIM device by vapor deposition, the dielectric constant was specified under the condition of Equation 1 above, and the results are shown in FIG. 14 and Table 1 below.

유전율 (k)Permittivity (k) 실시예 1Example 1 42.142.1 실시예 2Example 2 51.451.4 비교예 1Comparative Example 1 42.342.3

도 14와 표 1을 살펴보면, 실시예 1에서 제조된 금속 박막의 경우 비교예 1의 단일 성분의 전구체 조성물을 이용하여 제조된 금속 박막에 비해 유전율은 동등 수준인 반면에, 증착 온도가 증가된 실시예 2에서 제조된 금속 박막의 경우, 비교예 1의 단일 성분의 전구체 조성물을 이용하여 제조된 금속 박막에 비해, 유전율이 개선된 것을 확인할 수 있다.14 and Table 1, in the case of the metal thin film prepared in Example 1, the permittivity is equivalent to that of the metal thin film prepared using the single-component precursor composition of Comparative Example 1, while the deposition temperature is increased. In the case of the metal thin film prepared in Example 2, it can be confirmed that the dielectric constant is improved compared to the metal thin film prepared using the single-component precursor composition of Comparative Example 1.

실험예 3.Experimental example 3.

실시예 5, 7 및 8에서 제조된 금속 박막과 비교예 2에서 제조된 금속 박막에 대한 전기적 특성을 확인하기 위해 증착 된 각각의 금속 박막 위에 Ti 50nm + Pt 50nm를 e-beam evaporator를 이용하여 상부전극을 증착 하여 MIM 소자를 제작 한 뒤, 상기 식 1의 조건으로 유전율을 특정하고, 그 결과를 도 15 및 표 2에 나타내었다. 또한, 상기 식 2의 조건으로 누설 전류를 측정하고, 그 결과를 도 16 및 표 2에 나타내었다. 또한 이로부터 얻어지는 순수 SiO2 층의 두께로 정의 되는 등가산화물 두께(EOT: equivalent oxide thickness)를 도 17에 나타내었다.In order to check the electrical properties of the metal thin films prepared in Examples 5, 7, and 8 and the metal thin films prepared in Comparative Example 2, Ti 50nm + Pt 50nm was applied on the top of each deposited metal thin film using an e-beam evaporator. After fabricating the MIM device by depositing an electrode, the dielectric constant was specified under the condition of Equation 1 above, and the results are shown in FIG. 15 and Table 2. In addition, leakage current was measured under the condition of Equation 2 above, and the results are shown in FIG. 16 and Table 2. In addition, the equivalent oxide thickness (EOT) defined as the thickness of the pure SiO 2 layer obtained therefrom is shown in FIG. 17 .

유전율 (k)Permittivity (k) 누설전류 J@+0.8 [A/cm2]Leakage current J@+0.8 [A/cm2] 실시예 5Example 5 40.240.2 9.23 × 10-8 9.23 × 10 -8 실시예 7Example 7 31.931.9 1.01 × 10-7 1.01 × 10 -7 실시예 8Example 8 25.325.3 1.42 × 10-7 1.42 × 10 -7 비교예 2Comparative Example 2 14.614.6 8.53 × 10-7 8.53 × 10 -7

도 15 내지 17과 표 2을 살펴보면, 실시예 5, 7 및 8에서 제조된 금속 박막의 경우 비교예 2의 단일 성분의 전구체 조성물을 이용하여 제조된 금속 박막에 비해, 유전율, 누설 전류 억제 효과 및 등가산화물 두께 감소 효과가 모두 개선된 것을 확인할 수 있다.15 to 17 and Table 2, in the case of the metal thin films prepared in Examples 5, 7 and 8, compared to the metal thin films prepared using the single-component precursor composition of Comparative Example 2, dielectric constant, leakage current suppression effect, and It can be confirmed that all the equivalent oxide thickness reduction effects are improved.

실험예 4.Experimental example 4.

실시예 9 내지 11에서 제조된 금속 박막과 비교예 4에서 제조된 금속 박막에 대한 전기적 특성을 확인하기 위해 증착 된 각각의 금속 박막 위에 Ti 50nm + Pt 50nm를 e-beam evaporator를 이용하여 상부전극을 증착 하여 MIM 소자를 제작 한 뒤, 상기 식 1의 조건으로 유전율을 특정하고, 그 결과를 하기 표 3에 나타내었다. 또한, 상기 식 2의 조건으로 실시예 10, 11 및 비교예 3의 누설 전류를 측정하고, 그 결과를 하기 표 3에 나타내었다.In order to check the electrical properties of the metal thin films prepared in Examples 9 to 11 and the metal thin films prepared in Comparative Example 4, Ti 50nm + Pt 50nm was applied on each of the deposited metal thin films using an e-beam evaporator to form an upper electrode. After fabricating the MIM device by vapor deposition, the dielectric constant was specified under the condition of Equation 1 above, and the results are shown in Table 3 below. In addition, leakage currents of Examples 10 and 11 and Comparative Example 3 were measured under the condition of Equation 2, and the results are shown in Table 3 below.

유전율 (k)Permittivity (k) 누설전류 J@+0.8 [A/cm2]Leakage current J@+0.8 [A/cm2] 실시예 9Example 9 40.840.8 -- 실시예 10Example 10 35.935.9 1.63 × 10-7 1.63 × 10 -7 실시예 11Example 11 22.222.2 1.30 × 10-7 1.30 × 10 -7 비교예 3Comparative Example 3 16.816.8 1.02 × 10-6 1.02 × 10 -6

표 3를 살펴보면, 실시예 9 내지 11에서 제조된 금속 박막의 경우 비교예 4의 단일 성분의 전구체 조성물을 이용하여 제조된 금속 박막에 비해, 유전율이 큰 폭으로 개선 된 것을 확인 할 수 있으며, 누설전류의 경우에도 개선의 효과를 확인 할 수 있다.Looking at Table 3, in the case of the metal thin films prepared in Examples 9 to 11, it can be confirmed that the dielectric constant is significantly improved compared to the metal thin films prepared using the single-component precursor composition of Comparative Example 4, and leakage Even in the case of current, the effect of improvement can be confirmed.

110: 기판
120a: 소오스
120b: 드레인
130: 게이트 절연막
140: 게이트 전극
110: substrate
120a: source
120b: drain
130: gate insulating film
140: gate electrode

Claims (30)

(a) 하기 화학식 1로 표시되는 화합물 및 화학식 2로 표시되는 화합물 중 적어도 하나 이상의 화합물을 포함하는 제1 금속 화합물; 및
(b) 하기 화학식 3으로 표시되는 화합물을 포함하는 제2 금속 화합물;을 포함하는 금속막 형성용 전구체 조성물.
[화학식 1]
Figure 112021028658916-pat00007

(상기 화학식 1에서,
R1 내지 R4는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,
M은 Zr, Ti, 또는 Hf 이며,
L은 Si 또는 치환 또는 비치환된 탄소수 1 내지 10의 알킬렌기이고,
X1 내지 X3은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, -NR5R6 기 (이때, R5 및 R6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 또는 -OR7 기 (이때, R7은 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다)이다.)

[화학식 2]
Figure 112021028658916-pat00008

(상기 화학식 2에서,
R8 내지 R12는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,
M1은 Zr, Ti, 또는 Hf 이며,
X4 내지 X6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기, -NR13R14 기 (이때, R13 및 R14은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 또는 -OR15 기 (이때, R15는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다)이다.)

[화학식 3]
Figure 112021028658916-pat00009

(상기 화학식 3에서,
R16 내지 R20은 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이고,
X7은 치환 또는 비치환된 탄소수 1 내지 10의 알킬기, 치환 또는 비치환된 탄소수 1 내지 10의 알케닐기, 치환 또는 비치환된 탄소수 5 내지 8의 사이클로알케닐기. 탄소수 1 내지 20의 알콕시기, 탄소수 1 내지 30의 아민기, 탄소수 1 내지 30의 아미노기, 탄소수 1 내지 12의 아미디네이트기 (amidinate), 탄소수 1 내지 20의 구아니디네이트기 (guanidinate), 탄소수 1 내지 20의 아미노아민기, 탄소수 1 내지 20의 알콕시아민기, 탄소수 3 내지 20의 β-디케토네이트기 및 -OR21 기 (이때, R21는 치환 또는 비치환된 탄소수 1 내지 6의 알킬기이다) 중 어느 하나이며, n은 0 내지 2 중 어느 하나의 정수이다.)
(a) a first metal compound containing at least one compound of the compound represented by Formula 1 and the compound represented by Formula 2; and
(b) a second metal compound including a compound represented by Chemical Formula 3; a precursor composition for forming a metal film comprising:
[Formula 1]
Figure 112021028658916-pat00007

(In Formula 1 above,
R 1 to R 4 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;
M is Zr, Ti, or Hf;
L is Si or a substituted or unsubstituted alkylene group having 1 to 10 carbon atoms,
X 1 to X 3 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, -NR 5 R 6 group (wherein, R 5 and R 6 are Each independently represents a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms) or -OR 7 group (wherein R 7 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms).)

[Formula 2]
Figure 112021028658916-pat00008

(In Formula 2 above,
R 8 to R 12 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;
M 1 is Zr, Ti, or Hf;
X 4 to X 6 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms, -NR 13 R 14 group (wherein, R 13 and R 14 are Each independently represents a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms) or -OR 15 group (wherein R 15 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms).)

[Formula 3]
Figure 112021028658916-pat00009

(In Formula 3,
R 16 to R 20 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms;
X 7 is a substituted or unsubstituted alkyl group having 1 to 10 carbon atoms, a substituted or unsubstituted alkenyl group having 1 to 10 carbon atoms, or a substituted or unsubstituted cycloalkenyl group having 5 to 8 carbon atoms. An alkoxy group having 1 to 20 carbon atoms, an amine group having 1 to 30 carbon atoms, an amino group having 1 to 30 carbon atoms, an amidinate group having 1 to 12 carbon atoms, a guanidinate group having 1 to 20 carbon atoms, An aminoamine group having 1 to 20 carbon atoms, an alkoxyamine group having 1 to 20 carbon atoms, a β-diketonate group having 3 to 20 carbon atoms, and a -OR 21 group (wherein R 21 is a substituted or unsubstituted carbon atom having 1 to 6 carbon atoms) It is an alkyl group), and n is an integer from 0 to 2.)
청구항 1에 있어서,
상기 화학식 1에서, R1 내지 R4는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 4의 알킬기이고, L은 치환 또는 비치환된 탄소수 1 내지 6의 알킬렌기이며, X1 내지 X3은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 4의 알킬기, 치환 또는 비치환된 탄소수 5 내지 7의 사이클로알케닐기 또는 -NR5R6 기 (이때, R5 및 R6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기이다)이고;
상기 화학식 2에서, R8 내지 R12는 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 3의 알킬기이고, X4 내지 X6은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 5의 알킬기, -NR13R14 기 (이때, R13 및 R14은 각각 독립적으로 치환 또는 비치환된 탄소수 1 내지 4의 알킬기이다), -OR15 기 (이때, R15는 치환 또는 비치환된 탄소수 1 내지 3의 알킬기이다)인 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
In Formula 1, R 1 to R 4 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, L is a substituted or unsubstituted alkylene group having 1 to 6 carbon atoms, and X 1 to X 3 is each independently a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, a substituted or unsubstituted cycloalkenyl group having 5 to 7 carbon atoms, or a -NR 5 R 6 group (wherein R 5 and R 6 are each independently substituted or an unsubstituted alkyl group having 1 to 5 carbon atoms);
In Formula 2, R 8 to R 12 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 3 carbon atoms, and X 4 to X 6 are each independently a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms. , -NR 13 R 14 group (wherein R 13 and R 14 are each independently a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms), -OR 15 group (wherein R 15 is a substituted or unsubstituted carbon atom 1 to the alkyl group of 3), which is a precursor composition for forming a metal film.
청구항 1에 있어서,
상기 화학식 1로 표시되는 화합물은 Zr[CpCH2NMe](NMe2)2, Zr[Cp(CH2)2NMe](NMe2)2, Zr[Cp(CH2)3NMe](NMe2)2, Zr[Cp(CH2)4NMe](NMe2)2, Zr[Cp(CH2)5NMe](NMe2)2, Zr[CpCH2NMe](Cp)(NMe2), Zr[Cp(CH2)2NMe](Cp)(NMe2), Zr[Cp(CH2)3NMe](Cp)(NMe2), Zr[Cp(CH2)4NMe](Cp)(NMe2), Zr[Cp(CH2)5NMe](Cp)(NMe2), Zr[(CpMe)CH2NMe](NMe2)2, Zr[(CpMe)(CH2)2NMe](NMe2)2, Zr[(CpMe)(CH2)3NMe](NMe2)2, Zr[(CpMe)(CH2)4NMe](NMe2)2, Zr[(CpMe)(CH2)5NMe](NMe2)2, Zr[(CpMe)CH2NMe](Cp)(NMe2), Zr[(CpMe)(CH2)2NMe](Cp)(NMe2), Zr[(CpMe)(CH2)3NMe](Cp)(NMe2), Zr[(CpMe)(CH2)4NMe](Cp)(NMe2), Zr[(CpMe)(CH2)5NMe](Cp)(NMe2), Zr[CpCH2NMe](CpMe)(NMe2), Zr[Cp(CH2)2NMe](CpMe)(NMe2), Zr[Cp(CH2)3NMe](CpMe)(NMe2), Zr[Cp(CH2)4NMe](CpMe)(NMe2), Zr[Cp(CH2)5NMe](CpMe)(NMe2), Zr[(CpMe2)CH2NMe](NMe2)2, Zr[(CpMe2)(CH2)2NMe](NMe2)2, Zr[(CpMe2)(CH2)3NMe](NMe2)2, Zr[(CpMe2)(CH2)4NMe](NMe2)2, Zr[(CpMe2)(CH2)5NMe](NMe2)2, Zr[(CpMe2)CH2NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)2NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)3NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)4NMe](Cp)(NMe2), Zr[(CpMe2)(CH2)5NMe](Cp)(NMe2), Zr[CpCH2NMe](CpMe2)(NMe2), Zr[Cp(CH2)2NMe](CpMe2)(NMe2), Zr[Cp(CH2)3NMe](CpMe2)(NMe2), Zr[Cp(CH2)4NMe](CpMe2)(NMe2), Zr[Cp(CH2)5NMe](CpMe2)(NMe2), Zr[(CpMe3)CH2NMe](NMe2)2, Zr[(CpMe3)(CH2)2NMe](NMe2)2, Zr[(CpMe3)(CH2)3NMe](NMe2)2, Zr[(CpMe3)(CH2)4NMe](NMe2)2, Zr[(CpMe3)(CH2)5NMe](NMe2)2, Zr[(CpMe3)CH2NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)2NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)3NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)4NMe](Cp)(NMe2), Zr[(CpMe3)(CH2)5NMe](Cp)(NMe2), Zr[CpCH2NMe](CpMe3)(NMe2), Zr[Cp(CH2)3NMe](CpMe3)(NMe2), Zr[Cp(CH2)3NMe](CpMe3)(NMe2), Zr[Cp(CH2)4NMe](CpMe3)(NMe2), Zr[Cp(CH2)5NMe](CpMe3)(NMe2), Zr[CpCH2NMe](CpMe4)(NMe2), Zr[Cp(CH2)3NMe](CpMe4)(NMe2), Zr[Cp(CH2)3NMe](CpMe4)(NMe2), Zr[Cp(CH2)4NMe](CpMe4)(NMe2), Zr[Cp(CH2)5NMe](CpMe4)(NMe2) Zr[(CpEt)CH2NMe](NMe2)2, Zr[(CpEt)(CH2)2NMe](NMe2)2, Zr[(CpEt)(CH2)3NMe](NMe2)2, Zr[(CpEt)(CH2)4NMe](NMe2)2, Zr[(CpEt)(CH2)5NMe](NMe2)2, Zr[CpCH2NMe](CpEt)(NMe2), Zr[Cp(CH2)2NMe](CpEt)(NMe2), Zr[Cp(CH2)3NMe](CpEt)(NMe2), Zr[Cp(CH2)4NMe](CpEt)(NMe2), Zr[Cp(CH2)5NMe](CpEt)(NMe2), Zr[(CpMeEt)CH2NMe](NMe2)2, Zr[(CpMeEt)(CH2)2NMe](NMe2)2, Zr[(CpMeEt)(CH2)3NMe](NMe2)2, Zr[(CpMeEt)(CH2)4NMe](NMe2)2, Zr[(CpMeEt)(CH2)5NMe](NMe2)2, Zr[CpCH2NMe](CpMeEt)(NMe2), Zr[Cp(CH2)2NMe](CpMeEt)(NMe2), Zr[Cp(CH2)3NMe](CpMeEt)(NMe2), Zr[Cp(CH2)4NMe](CpMeEt)(NMe2), Zr[Cp(CH2)5NMe](CpMeEt)(NMe2), Zr[(CpMePr)CH2NMe](NMe2)2, Zr[(CpMePr)(CH2)2NMe](NMe2)2, Zr[(CpMePr)(CH2)3NMe](NMe2)2, Zr[(CpMePr)(CH2)4NMe](NMe2)2, Zr[(CpMePr)(CH2)5NMe](NMe2)2, Zr[(CpiPr)CH2NMe](NMe2)2, Zr[(Cpi-PrEt)(CH2)2NMe](NMe2)2, Zr[(CpiPrEt)(CH2)3NMe](NMe2)2, Zr[(CpiPrEt)(CH2)4NMe](NMe2)2 및 Zr[(CpiPrEt)(CH2)5NMe](NMe2)2로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물인 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
Compounds represented by Formula 1 are Zr[CpCH 2 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[Cp(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[CpCH 2 NMe](Cp)(NMe 2 ), Zr[ Cp(CH 2 ) 2 NMe](Cp)(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](Cp)(NMe 2 ) ), Zr[Cp(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[(CpMe)CH 2 NMe](NMe 2 ) 2 , Zr[(CpMe)(CH 2 ) 2 NMe](NMe 2 ) ) 2 , Zr[(CpMe)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMe)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMe)(CH 2 ) 5 NMe ](NMe 2 ) 2 , Zr[(CpMe)CH 2 NMe](Cp)(NMe 2 ), Zr[(CpMe)(CH 2 ) 2 NMe](Cp)(NMe 2 ), Zr[(CpMe)( CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[(CpMe)(CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[(CpMe)(CH 2 ) 5 NMe](Cp)( NMe 2 ), Zr[CpCH 2 NMe](CpMe)(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](CpMe)(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe)( NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe)(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMe)(NMe 2 ), Zr[(CpMe 2 )CH 2 NMe] (NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMe 2 ) (CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMe 2 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(CpMe 2 )CH 2 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[(CpMe 2 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[CpCH 2 NMe](CpMe 2 )(NMe 2 ), Zr [Cp(CH 2 ) 2 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe 2 )(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMe 2 )(NMe 2 ), Zr[(CpMe 3 )CH 2 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) ) 2 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[ (CpMe 3 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(CpMe 3 )CH 2 NMe](Cp)(NMe 2 ), Zr[(CpMe 3 )(CH 2 ) 2 NMe](Cp )(NMe 2 ), Zr[(CpMe 3 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Zr[(CpMe 3 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Zr[ (CpMe 3 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Zr[CpCH 2 NMe](CpMe 3 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe 3 )(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe ](CpMe 3 )(NMe 2 ), Zr[CpCH 2 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) ) 3 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMe 4 )(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMe 4 )(NMe 2 ) Zr[(CpEt)CH 2 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[CpCH 2 NMe](CpEt)(NMe 2 ) , Zr[Cp(CH 2 ) 2 NMe](CpEt)(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpEt)(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpEt) (NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpEt)(NMe 2 ), Zr[(CpMeEt)CH 2 NMe](NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 2 NMe] (NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMeEt)(CH 2 ) ) 5 NMe](NMe 2 ) 2 , Zr[CpCH 2 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 2 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 3 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 4 NMe](CpMeEt)(NMe 2 ), Zr[Cp(CH 2 ) 5 NMe](CpMeEt)(NMe 2 ), Zr[(CpMePr )CH 2 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[( CpMePr)(CH 2 ) 4 NMe](NMe 2 ) 2 , Zr[(CpMePr)(CH 2 ) 5 NMe](NMe 2 ) 2 , Zr[(Cp i Pr)CH 2 NMe](NMe 2 ) 2 , Zr[(Cpi-PrEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Zr[(Cp i PrEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Zr[(Cp i PrEt)(CH 2 ) ) 4 NMe] (NMe 2 ) 2 and Zr[(Cp i PrEt) (CH 2 ) 5 NMe] (NMe 2 ) 2 A precursor composition for forming a metal film that is at least one compound selected from the group consisting of.
청구항 1에 있어서,
상기 화학식 1로 표시되는 화합물은 Ti[CpCH2NMe](NMe2)2, Ti[Cp(CH2)2NMe](NMe2)2, Ti[Cp(CH2)3NMe](NMe2)2, Ti[Cp(CH2)4NMe](NMe2)2, Ti[Cp(CH2)5NMe](NMe2)2, Ti[CpCH2NMe](Cp)(NMe2), Ti[Cp(CH2)2NMe](Cp)(NMe2), Ti[Cp(CH2)3NMe](Cp)(NMe2), Ti[Cp(CH2)4NMe](Cp)(NMe2), Ti[Cp(CH2)5NMe](Cp)(NMe2), Ti[(CpMe)CH2NMe](NMe2)2, Ti[(CpMe)(CH2)2NMe](NMe2)2, Ti[(CpMe)(CH2)3NMe](NMe2)2, Ti[(CpMe)(CH2)4NMe](NMe2)2, Ti[(CpMe)(CH2)5NMe](NMe2)2, Ti[(CpMe)CH2NMe](Cp)(NMe2), Ti[(CpMe)(CH2)2NMe](Cp)(NMe2), Ti[(CpMe)(CH2)3NMe](Cp)(NMe2), Ti[(CpMe)(CH2)4NMe](Cp)(NMe2), Ti[(CpMe)(CH2)5NMe](Cp)(NMe2), Ti[CpCH2NMe](CpMe)(NMe2), Ti[Cp(CH2)2NMe](CpMe)(NMe2), Ti[Cp(CH2)3NMe](CpMe)(NMe2), Ti[Cp(CH2)4NMe](CpMe)(NMe2), Ti[Cp(CH2)5NMe](CpMe)(NMe2), Ti[(CpMe2)CH2NMe](NMe2)2, Ti[(CpMe2)(CH2)2NMe](NMe2)2, Ti[(CpMe2)(CH2)3NMe](NMe2)2, Ti[(CpMe2)(CH2)4NMe](NMe2)2, Ti[(CpMe2)(CH2)5NMe](NMe2)2, Ti[(CpMe2)CH2NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)2NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)3NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)4NMe](Cp)(NMe2), Ti[(CpMe2)(CH2)5NMe](Cp)(NMe2), Ti[CpCH2NMe](CpMe2)(NMe2), Ti[Cp(CH2)2NMe](CpMe2)(NMe2), Ti[Cp(CH2)3NMe](CpMe2)(NMe2), Ti[Cp(CH2)4NMe](CpMe2)(NMe2), Ti[Cp(CH2)5NMe](CpMe2)(NMe2), Ti[(CpMe3)CH2NMe](NMe2)2, Ti[(CpMe3)(CH2)2NMe](NMe2)2, Ti[(CpMe3)(CH2)3NMe](NMe2)2, Ti[(CpMe3)(CH2)4NMe](NMe2)2, Ti[(CpMe3)(CH2)5NMe](NMe2)2, Ti[(CpMe3)CH2NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)2NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)3NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)4NMe](Cp)(NMe2), Ti[(CpMe3)(CH2)5NMe](Cp)(NMe2), Ti[CpCH2NMe](CpMe3)(NMe2), Ti[Cp(CH2)3NMe](CpMe3)(NMe2), Ti[Cp(CH2)3NMe](CpMe3)(NMe2), Ti[Cp(CH2)4NMe](CpMe3)(NMe2), Ti[Cp(CH2)5NMe](CpMe3)(NMe2), Ti[CpCH2NMe](CpMe4)(NMe2), Ti[Cp(CH2)3NMe](CpMe4)(NMe2), Ti[Cp(CH2)3NMe](CpMe4)(NMe2), Ti[Cp(CH2)4NMe](CpMe4)(NMe2), Ti[Cp(CH2)5NMe](CpMe4)(NMe2) Ti[(CpEt)CH2NMe](NMe2)2, Ti[(CpEt)(CH2)2NMe](NMe2)2, Ti[(CpEt)(CH2)3NMe](NMe2)2, Ti[(CpEt)(CH2)4NMe](NMe2)2, Ti[(CpEt)(CH2)5NMe](NMe2)2, Ti[CpCH2NMe](CpEt)(NMe2), Ti[Cp(CH2)2NMe](CpEt)(NMe2), Ti[Cp(CH2)3NMe](CpEt)(NMe2), Ti[Cp(CH2)4NMe](CpEt)(NMe2), Ti[Cp(CH2)5NMe](CpEt)(NMe2), Ti[(CpMeEt)CH2NMe](NMe2)2, Ti[(CpMeEt)(CH2)2NMe](NMe2)2, Ti[(CpMeEt)(CH2)3NMe](NMe2)2, Ti[(CpMeEt)(CH2)4NMe](NMe2)2, Ti[(CpMeEt)(CH2)5NMe](NMe2)2, Ti[CpCH2NMe](CpMeEt)(NMe2), Ti[Cp(CH2)2NMe](CpMeEt)(NMe2), Ti[Cp(CH2)3NMe](CpMeEt)(NMe2), Ti[Cp(CH2)4NMe](CpMeEt)(NMe2), Ti[Cp(CH2)5NMe](CpMeEt)(NMe2), Ti[(CpMePr)CH2NMe](NMe2)2, Ti[(CpMePr)(CH2)2NMe](NMe2)2, Ti[(CpMePr)(CH2)3NMe](NMe2)2, Ti[(CpMePr)(CH2)4NMe](NMe2)2, Ti[(CpMePr)(CH2)5NMe](NMe2)2, Ti[(CpiPr)CH2NMe](NMe2)2, Ti[(Cpi-PrEt)(CH2)2NMe](NMe2)2, Ti[(CpiPrEt)(CH2)3NMe](NMe2)2, Ti[(CpiPrEt)(CH2)4NMe](NMe2)2 및 Ti[(CpiPrEt)(CH2)5NMe](NMe2)2 로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물인 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
The compounds represented by Formula 1 are Ti[CpCH 2 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[Cp(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[CpCH 2 NMe](Cp)(NMe 2 ), Ti[ Cp(CH 2 ) 2 NMe](Cp)(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](Cp)(NMe 2 ) ), Ti[Cp(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[(CpMe)CH 2 NMe](NMe 2 ) 2 , Ti[(CpMe)(CH 2 ) 2 NMe](NMe 2 ) ) 2 , Ti[(CpMe)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMe)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMe)(CH 2 ) 5 NMe ](NMe 2 ) 2 , Ti[(CpMe)CH 2 NMe](Cp)(NMe 2 ), Ti[(CpMe)(CH 2 ) 2 NMe](Cp)(NMe 2 ), Ti[(CpMe)( CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[(CpMe)(CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[(CpMe)(CH 2 ) 5 NMe](Cp)( NMe 2 ), Ti[CpCH 2 NMe](CpMe)(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](CpMe)(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe)( NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe)(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMe)(NMe 2 ), Ti[(CpMe 2 )CH 2 NMe] (NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMe 2 ) (CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMe 2 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(CpMe 2 )CH 2 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[(CpMe 2 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[CpCH 2 NMe](CpMe 2 )(NMe 2 ), Ti [Cp(CH 2 ) 2 NMe](CpMe 2 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 2 )(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe 2 )(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMe 2 )(NMe 2 ), Ti[(CpMe 3 )CH 2 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[ (CpMe 3 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(CpMe 3 )CH 2 NMe](Cp)(NMe 2 ), Ti[(CpMe 3 )(CH 2 ) 2 NMe](Cp )(NMe 2 ), Ti[(CpMe 3 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Ti[(CpMe 3 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Ti[ (CpMe 3 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Ti[CpCH 2 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe 3 )(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe ](CpMe 3 )(NMe 2 ), Ti[CpCH 2 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) ) 3 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMe 4 )(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMe 4 )(NMe 2 ) Ti[(CpEt)CH 2 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[CpCH 2 NMe](CpEt)(NMe 2 ) , Ti[Cp(CH 2 ) 2 NMe](CpEt)(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpEt)(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpEt) (NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpEt)(NMe 2 ), Ti[(CpMeEt)CH 2 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 2 NMe] (NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMeEt)(CH 2 ) ) 5 NMe](NMe 2 ) 2 , Ti[CpCH 2 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 2 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 3 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 4 NMe](CpMeEt)(NMe 2 ), Ti[Cp(CH 2 ) 5 NMe](CpMeEt)(NMe 2 ), Ti[(CpMePr )CH 2 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[( CpMePr)(CH 2 ) 4 NMe](NMe 2 ) 2 , Ti[(CpMePr)(CH 2 ) 5 NMe](NMe 2 ) 2 , Ti[(Cp i Pr)CH 2 NMe](NMe 2 ) 2 , Ti[(Cpi-PrEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Ti[(Cp i PrEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Ti[(Cp i PrEt)(CH 2 ) ) 4 NMe] (NMe 2 ) 2 and Ti[(Cp i PrEt) (CH 2 ) 5 NMe] (NMe 2 ) 2 A precursor composition for forming a metal film, which is at least one compound selected from the group consisting of.
청구항 1에 있어서,
상기 화학식 1로 표시되는 화합물은 Hf[CpCH2NMe](NMe2)2, Hf[Cp(CH2)2NMe](NMe2)2, Hf[Cp(CH2)3NMe](NMe2)2, Hf[Cp(CH2)4NMe](NMe2)2, Hf[Cp(CH2)5NMe](NMe2)2, Hf[CpCH2NMe](Cp)(NMe2), Hf[Cp(CH2)2NMe](Cp)(NMe2), Hf[Cp(CH2)3NMe](Cp)(NMe2), Hf[Cp(CH2)4NMe](Cp)(NMe2), Hf[Cp(CH2)5NMe](Cp)(NMe2), Hf[(CpMe)CH2NMe](NMe2)2, Hf[(CpMe)(CH2)2NMe](NMe2)2, Hf[(CpMe)(CH2)3NMe](NMe2)2, Hf[(CpMe)(CH2)4NMe](NMe2)2, Hf[(CpMe)(CH2)5NMe](NMe2)2, Hf[(CpMe)CH2NMe](Cp)(NMe2), Hf[(CpMe)(CH2)2NMe](Cp)(NMe2), Hf[(CpMe)(CH2)3NMe](Cp)(NMe2), Hf[(CpMe)(CH2)4NMe](Cp)(NMe2), Hf[(CpMe)(CH2)5NMe](Cp)(NMe2), Hf[CpCH2NMe](CpMe)(NMe2), Hf[Cp(CH2)2NMe](CpMe)(NMe2), Hf[Cp(CH2)3NMe](CpMe)(NMe2), Hf[Cp(CH2)4NMe](CpMe)(NMe2), Hf[Cp(CH2)5NMe](CpMe)(NMe2), Hf[(CpMe2)CH2NMe](NMe2)2, Hf[(CpMe2)(CH2)2NMe](NMe2)2, Hf[(CpMe2)(CH2)3NMe](NMe2)2, Hf[(CpMe2)(CH2)4NMe](NMe2)2, Hf[(CpMe2)(CH2)5NMe](NMe2)2, Hf[(CpMe2)CH2NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)2NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)3NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)4NMe](Cp)(NMe2), Hf[(CpMe2)(CH2)5NMe](Cp)(NMe2), Hf[CpCH2NMe](CpMe2)(NMe2), Hf[Cp(CH2)2NMe](CpMe2)(NMe2), Hf[Cp(CH2)3NMe](CpMe2)(NMe2), Hf[Cp(CH2)4NMe](CpMe2)(NMe2), Hf[Cp(CH2)5NMe](CpMe2)(NMe2), Hf[(CpMe3)CH2NMe](NMe2)2, Hf[(CpMe3)(CH2)2NMe](NMe2)2, Hf[(CpMe3)(CH2)3NMe](NMe2)2, Hf[(CpMe3)(CH2)4NMe](NMe2)2, Hf[(CpMe3)(CH2)5NMe](NMe2)2, Hf[(CpMe3)CH2NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)2NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)3NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)4NMe](Cp)(NMe2), Hf[(CpMe3)(CH2)5NMe](Cp)(NMe2), Hf[CpCH2NMe](CpMe3)(NMe2), Hf[Cp(CH2)3NMe](CpMe3)(NMe2), Hf[Cp(CH2)3NMe](CpMe3)(NMe2), Hf[Cp(CH2)4NMe](CpMe3)(NMe2), Hf[Cp(CH2)5NMe](CpMe3)(NMe2), Hf[CpCH2NMe](CpMe4)(NMe2), Hf[Cp(CH2)3NMe](CpMe4)(NMe2), Hf[Cp(CH2)3NMe](CpMe4)(NMe2), Hf[Cp(CH2)4NMe](CpMe4)(NMe2), Hf[Cp(CH2)5NMe](CpMe4)(NMe2) Hf[(CpEt)CH2NMe](NMe2)2, Hf[(CpEt)(CH2)2NMe](NMe2)2, Hf[(CpEt)(CH2)3NMe](NMe2)2, Hf[(CpEt)(CH2)4NMe](NMe2)2, Hf[(CpEt)(CH2)5NMe](NMe2)2, Hf[CpCH2NMe](CpEt)(NMe2), Hf[Cp(CH2)2NMe](CpEt)(NMe2), Hf[Cp(CH2)3NMe](CpEt)(NMe2), Hf[Cp(CH2)4NMe](CpEt)(NMe2), Hf[Cp(CH2)5NMe](CpEt)(NMe2), Hf[(CpMeEt)CH2NMe](NMe2)2, Hf[(CpMeEt)(CH2)2NMe](NMe2)2, Hf[(CpMeEt)(CH2)3NMe](NMe2)2, Hf[(CpMeEt)(CH2)4NMe](NMe2)2, Hf[(CpMeEt)(CH2)5NMe](NMe2)2, Hf[CpCH2NMe](CpMeEt)(NMe2), Hf[Cp(CH2)2NMe](CpMeEt)(NMe2), Hf[Cp(CH2)3NMe](CpMeEt)(NMe2), Hf[Cp(CH2)4NMe](CpMeEt)(NMe2), Hf[Cp(CH2)5NMe](CpMeEt)(NMe2), Hf[(CpMePr)CH2NMe](NMe2)2, Hf[(CpMePr)(CH2)2NMe](NMe2)2, Hf[(CpMePr)(CH2)3NMe](NMe2)2, Hf[(CpMePr)(CH2)4NMe](NMe2)2, Hf[(CpMePr)(CH2)5NMe](NMe2)2, Hf[(CpiPr)CH2NMe](NMe2)2, Hf[(Cpi-PrEt)(CH2)2NMe](NMe2)2, Hf[(CpiPrEt)(CH2)3NMe](NMe2)2, Hf[(CpiPrEt)(CH2)4NMe](NMe2)2 및 Hf[(CpiPrEt)(CH2)5NMe](NMe2)2 로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물인 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
Compounds represented by Formula 1 are Hf[CpCH 2 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[Cp(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[CpCH 2 NMe](Cp)(NMe 2 ), Hf[ Cp(CH 2 ) 2 NMe](Cp)(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[(CpMe)CH 2 NMe](NMe 2 ) 2 , Hf[(CpMe)(CH 2 ) 2 NMe](NMe 2 ) ) 2 , Hf[(CpMe)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMe)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMe)(CH 2 ) 5 NMe ](NMe 2 ) 2 , Hf[(CpMe)CH 2 NMe](Cp)(NMe 2 ), Hf[(CpMe)(CH 2 ) 2 NMe](Cp)(NMe 2 ), Hf[(CpMe)( CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[(CpMe)(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[(CpMe)(CH 2 ) 5 NMe](Cp)( NMe 2 ), Hf[CpCH 2 NMe](CpMe)(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](CpMe)(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe)( NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMe)(NMe 2 ), Hf[(CpMe 2 )CH 2 NMe] (NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMe 2 ) (CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMe 2 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(CpMe 2 )CH 2 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 2 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[(CpMe 2 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[CpCH 2 NMe](CpMe 2 )(NMe 2 ), Hf [Cp(CH 2 ) 2 NMe](CpMe 2 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 2 )(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe 2 )(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMe 2 )(NMe 2 ), Hf[(CpMe 3 )CH 2 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) ) 2 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[ (CpMe 3 )(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(CpMe 3 )CH 2 NMe](Cp)(NMe 2 ), Hf[(CpMe 3 )(CH 2 ) 2 NMe](Cp )(NMe 2 ), Hf[(CpMe 3 )(CH 2 ) 3 NMe](Cp)(NMe 2 ), Hf[(CpMe 3 )(CH 2 ) 4 NMe](Cp)(NMe 2 ), Hf[ (CpMe 3 )(CH 2 ) 5 NMe](Cp)(NMe 2 ), Hf[CpCH 2 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe 3 )(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe ](CpMe 3 )(NMe 2 ), Hf[CpCH 2 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) ) 3 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMe 4 )(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMe 4 )(NMe 2 ) Hf[(CpEt)CH 2 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpEt)(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[CpCH 2 NMe](CpEt)(NMe 2 ) , Hf[Cp(CH 2 ) 2 NMe](CpEt)(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpEt)(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpEt) (NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpEt)(NMe 2 ), Hf[(CpMeEt)CH 2 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 2 NMe] (NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMeEt)(CH 2 ) ) 5 NMe](NMe 2 ) 2 , Hf[CpCH 2 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 2 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 3 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 4 NMe](CpMeEt)(NMe 2 ), Hf[Cp(CH 2 ) 5 NMe](CpMeEt)(NMe 2 ), Hf[(CpMePr )CH 2 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[( CpMePr)(CH 2 ) 4 NMe](NMe 2 ) 2 , Hf[(CpMePr)(CH 2 ) 5 NMe](NMe 2 ) 2 , Hf[(Cp i Pr)CH 2 NMe](NMe 2 ) 2 , Hf[(Cpi-PrEt)(CH 2 ) 2 NMe](NMe 2 ) 2 , Hf[(Cp i PrEt)(CH 2 ) 3 NMe](NMe 2 ) 2 , Hf[(Cp i PrEt)(CH 2 ) ) 4 NMe] (NMe 2 ) 2 And Hf [(Cp i PrEt) (CH 2 ) 5 NMe] (NMe 2 ) 2 The precursor composition for forming a metal film that is at least one compound selected from the group consisting of.
청구항 1에 있어서,
상기 화학식 2로 표시되는 화합물은 Zr(Cp)(NMe2)3, Zr(MeCp)(NMe2)3, Zr(Me2Cp)(NMe2)3, Zr(Me3Cp)(NMe2)3, Zr(Me4Cp)(NMe2)3, Zr(Me5Cp)(NMe2)3, Zr(EtCp)(NMe2)3, Zr(Et2Cp)(NMe2)3, Zr(Et3Cp)(NMe2)3, Zr(Et4Cp)(NMe2)3, Zr(Et5Cp)(NMe2)3, Zr(MeEtCp)(NMe2)3, Zr(Me2EtCp)(NMe2)3, Zr(Me3EtCp)(NMe2)3, Zr(Me4EtCp)(NMe2)3, Zr(MePrCp)(NMe2)3, Zr(Me2PrCp)(NMe2)3, Zr(Me3PrCp)(NMe2)3, Zr(Me4PrCp)(NMe2)3, Zr(MeiPrCp)(NMe2)3, Zr(Me2 iPrCp)(NMe2)3, Zr(Me3 iPrCp)(NMe2)3, Zr(Me4 iPrCp)(NMe2)3, Zr(Cp)2(NMe2)2, Zr(MeCp)(Cp)(NMe2)2, Zr(EtCp)(Cp)(NMe2)2, Zr(PrCp)(Cp)(NMe2)2, Zr(iPrCp)(Cp)(NMe2)2, Zr(MeCp)2(NMe2)2, Zr(EtCp)2(NMe2)2, Zr(PrCp)2(NMe2)2, Zr(iPrCp)2(NMe2)2, Zr(EtCp)(MeCp)(NMe2)2, Zr(PrCp)(MeCp)(NMe2)2, 및 Zr(iPrCp)(MeCp)(NMe2)2 로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물인 것인 금속막 형성용 전구체 조성물..
The method of claim 1,
Compounds represented by Formula 2 are Zr(Cp)(NMe 2 ) 3 , Zr(MeCp)(NMe 2 ) 3 , Zr(Me 2 Cp)(NMe 2 ) 3 , Zr(Me 3 Cp)(NMe 2 ) 3 , Zr(Me 4 Cp)(NMe 2 ) 3 , Zr(Me 5 Cp)(NMe 2 ) 3 , Zr(EtCp)(NMe 2 ) 3 , Zr(Et 2 Cp)(NMe 2 ) 3 , Zr( Et 3 Cp)(NMe 2 ) 3 , Zr(Et 4 Cp)(NMe 2 ) 3 , Zr(Et 5 Cp)(NMe 2 ) 3 , Zr(MeEtCp)(NMe 2 ) 3 , Zr(Me 2 EtCp) (NMe 2 ) 3 , Zr(Me 3 EtCp)(NMe 2 ) 3 , Zr(Me 4 EtCp)(NMe 2 ) 3 , Zr(MePrCp)(NMe 2 ) 3 , Zr(Me 2 PrCp)(NMe 2 ) 3 , Zr(Me 3 PrCp)(NMe 2 ) 3 , Zr(Me 4 PrCp)(NMe 2 ) 3 , Zr(Me i PrCp)(NMe 2 ) 3 , Zr(Me 2 i PrCp)(NMe 2 ) 3 , Zr(Me 3 i PrCp)(NMe 2 ) 3 , Zr(Me 4 i PrCp)(NMe 2 ) 3 , Zr(Cp) 2 (NMe 2 ) 2 , Zr(MeCp)(Cp)(NMe 2 ) 2 , Zr(EtCp)(Cp)(NMe 2 ) 2 , Zr(PrCp)(Cp)(NMe 2 ) 2 , Zr( i PrCp)(Cp)(NMe 2 ) 2 , Zr(MeCp) 2 (NMe 2 ) 2 , Zr(EtCp) 2 (NMe 2 ) 2 , Zr(PrCp) 2 (NMe 2 ) 2 , Zr( i PrCp) 2 (NMe 2 ) 2 , Zr(EtCp)(MeCp)(NMe 2 ) 2 , Zr A precursor composition for forming a metal film comprising at least one compound selected from the group consisting of (PrCp)(MeCp)(NMe 2 ) 2 , and Zr( i PrCp)(MeCp)(NMe 2 ) 2 .
청구항 1에 있어서,
상기 화학식 2로 표시되는 화합물은 Ti(Cp)(NMe2)3, Ti(MeCp)(NMe2)3, Ti(Me2Cp)(NMe2)3, Ti(Me3Cp)(NMe2)3, Ti(Me4Cp)(NMe2)3, Ti(Me5Cp)(NMe2)3, Ti(EtCp)(NMe2)3, Ti(Et2Cp)(NMe2)3, Ti(Et3Cp)(NMe2)3, Ti(Et4Cp)(NMe2)3, Ti(Et5Cp)(NMe2)3, Ti(MeEtCp)(NMe2)3, Ti(Me2EtCp)(NMe2)3, Ti(Me3EtCp)(NMe2)3, Ti(Me4EtCp)(NMe2)3, Ti(MePrCp)(NMe2)3, Ti(Me2PrCp)(NMe2)3, Ti(Me3PrCp)(NMe2)3, Ti(Me4PrCp)(NMe2)3, Ti(MeiPrCp)(NMe2)3, Ti(Me2 iPrCp)(NMe2)3, Ti(Me3 iPrCp)(NMe2)3, Ti(Me4 iPrCp)(NMe2)3, Ti(Cp)2(NMe2)2, Ti(MeCp)(Cp)(NMe2)2, Ti(EtCp)(Cp)(NMe2)2, Ti(PrCp)(Cp)(NMe2)2, Ti(iPrCp)(Cp)(NMe2)2, Ti(MeCp)2(NMe2)2, Ti(EtCp)2(NMe2)2, Ti(PrCp)2(NMe2)2, Ti(iPrCp)2(NMe2)2, Ti(EtCp)(MeCp)(NMe2)2, Ti(PrCp)(MeCp)(NMe2)2 및 Ti(iPrCp)(MeCp)(NMe2)2 로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물인 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
The compound represented by Chemical Formula 2 is Ti(Cp)(NMe 2 ) 3 , Ti(MeCp)(NMe 2 ) 3 , Ti(Me 2 Cp)(NMe 2 ) 3 , Ti(Me 3 Cp)(NMe 2 ) 3 , Ti(Me 4 Cp)(NMe 2 ) 3 , Ti(Me 5 Cp)(NMe 2 ) 3 , Ti(EtCp)(NMe 2 ) 3 , Ti(Et 2 Cp)(NMe 2 ) 3 , Ti( Et 3 Cp)(NMe 2 ) 3 , Ti(Et 4 Cp)(NMe 2 ) 3 , Ti(Et 5 Cp)(NMe 2 ) 3 , Ti(MeEtCp)(NMe 2 ) 3 , Ti(Me 2 EtCp) (NMe 2 ) 3 , Ti(Me 3 EtCp)(NMe 2 ) 3 , Ti(Me 4 EtCp)(NMe 2 ) 3 , Ti(MePrCp)(NMe 2 ) 3 , Ti(Me 2 PrCp)(NMe 2 ) 3 , Ti(Me 3 PrCp)(NMe 2 ) 3 , Ti(Me 4 PrCp)(NMe 2 ) 3 , Ti(Me i PrCp)(NMe 2 ) 3 , Ti(Me 2 i PrCp)(NMe 2 ) 3 , Ti(Me 3 i PrCp)(NMe 2 ) 3 , Ti(Me 4 i PrCp)(NMe 2 ) 3 , Ti(Cp) 2 (NMe 2 ) 2 , Ti(MeCp)(Cp)(NMe 2 ) 2 , Ti(EtCp)(Cp)(NMe 2 ) 2 , Ti(PrCp)(Cp)(NMe 2 ) 2 , Ti( i PrCp)(Cp)(NMe 2 ) 2 , Ti(MeCp) 2 (NMe 2 ) 2 , Ti(EtCp) 2 (NMe 2 ) 2 , Ti(PrCp) 2 (NMe 2 ) 2 , Ti( i PrCp) 2 (NMe 2 ) 2 , Ti(EtCp)(MeCp)(NMe 2 ) 2 , Ti (PrCp)(MeCp)(NMe 2 ) 2 and Ti( i PrCp)(MeCp)(NMe 2 ) 2 A precursor composition for forming a metal film that is at least one compound selected from the group consisting of.
청구항 1에 있어서,
상기 화학식 2로 표시되는 화합물은 Hf(Cp)(NMe2)3, Hf(MeCp)(NMe2)3, Hf(Me2Cp)(NMe2)3, Hf(Me3Cp)(NMe2)3, Hf(Me4Cp)(NMe2)3, Hf(Me5Cp)(NMe2)3, Hf(EtCp)(NMe2)3, Hf(Et2Cp)(NMe2)3, Hf(Et3Cp)(NMe2)3, Hf(Et4Cp)(NMe2)3, Hf(Et5Cp)(NMe2)3, Hf(MeEtCp)(NMe2)3, Hf(Me2EtCp)(NMe2)3, Hf(Me3EtCp)(NMe2)3, Hf(Me4EtCp)(NMe2)3, Hf(MePrCp)(NMe2)3, Hf(Me2PrCp)(NMe2)3, Hf(Me3PrCp)(NMe2)3, Hf(Me4PrCp)(NMe2)3, Hf(MeiPrCp)(NMe2)3, Hf(Me2 iPrCp)(NMe2)3, Hf(Me3 iPrCp)(NMe2)3, Hf(Me4 iPrCp)(NMe2)3, Hf(Cp)2(NMe2)2, Hf(MeCp)(Cp)(NMe2)2, Hf(EtCp)(Cp)(NMe2)2, Hf(PrCp)(Cp)(NMe2)2, Hf(iPrCp)(Cp)(NMe2)2, Hf(MeCp)2(NMe2)2, Hf(EtCp)2(NMe2)2, Hf(PrCp)2(NMe2)2, Hf(iPrCp)2(NMe2)2, Hf(EtCp)(MeCp)(NMe2)2, Hf(PrCp)(MeCp)(NMe2)2 및 Hf(iPrCp)(MeCp)(NMe2)2 로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물인 것인 금속막 형성용 전구체 조성물..
The method of claim 1,
Compounds represented by Chemical Formula 2 are Hf(Cp)(NMe 2 ) 3 , Hf(MeCp)(NMe 2 ) 3 , Hf(Me 2 Cp)(NMe 2 ) 3 , Hf(Me 3 Cp)(NMe 2 ) 3 , Hf(Me 4 Cp)(NMe 2 ) 3 , Hf(Me 5 Cp)(NMe 2 ) 3 , Hf(EtCp)(NMe 2 ) 3 , Hf(Et 2 Cp)(NMe 2 ) 3 , Hf( Et 3 Cp)(NMe 2 ) 3 , Hf(Et 4 Cp)(NMe 2 ) 3 , Hf(Et 5 Cp)(NMe 2 ) 3 , Hf(MeEtCp)(NMe 2 ) 3 , Hf(Me 2 EtCp) (NMe 2 ) 3 , Hf(Me 3 EtCp)(NMe 2 ) 3 , Hf(Me 4 EtCp)(NMe 2 ) 3 , Hf(MePrCp)(NMe 2 ) 3 , Hf(Me 2 PrCp)(NMe 2 ) 3 , Hf(Me 3 PrCp)(NMe 2 ) 3 , Hf(Me 4 PrCp)(NMe 2 ) 3 , Hf(Me i PrCp)(NMe 2 ) 3 , Hf(Me 2 i PrCp)(NMe 2 ) 3 , Hf(Me 3 i PrCp)(NMe 2 ) 3 , Hf(Me 4 i PrCp)(NMe 2 ) 3 , Hf(Cp) 2 (NMe 2 ) 2 , Hf(MeCp)(Cp)(NMe 2 ) 2 , Hf(EtCp)(Cp)(NMe 2 ) 2 , Hf(PrCp)(Cp)(NMe 2 ) 2 , Hf( i PrCp)(Cp)(NMe 2 ) 2 , Hf(MeCp) 2 (NMe 2 ) 2 , Hf(EtCp) 2 (NMe 2 ) 2 , Hf(PrCp) 2 (NMe 2 ) 2 , Hf( i PrCp) 2 (NMe 2 ) 2 , Hf(EtCp)(MeCp)(NMe 2 ) 2 , Hf (PrCp) (MeCp) (NMe 2 ) 2 And Hf ( i PrCp) (MeCp) (NMe 2 ) 2 A precursor composition for forming a metal film, which is at least one compound selected from the group consisting of..
청구항 1에 있어서,
상기 화학식 3에서, R16 내지 R20은 각각 독립적으로 수소 원자 또는 치환 또는 비치환된 탄소수 1 내지 5의 알킬기이고, X7은 치환 또는 비치환된 탄소수 1 내지 6의 알킬기, 치환 또는 비치환된 탄소수 1 내지 8의 알케닐기, 탄소수 1 내지 10의 알콕시기, 탄소수 1 내지 20의 아민기, 탄소수 1 내지 20의 아미노기, 탄소수 1 내지 10의 아미디네이트기 (amidinate), 탄소수 1 내지 10의 구아니디네이트기 (guanidinate), 탄소수 1 내지 20의 아미노아민기, 탄소수 1 내지 20의 알콕시아민기, 탄소수 3 내지 20의 β-디케토네이트기, -OR21 기 (이때, R21는 치환 또는 비치환된 탄소수 1 내지 5의 알킬기이다) 및 치환 또는 비치환된 탄소수 5 내지 7의 사이클로알케닐기 중 어느 하나인 것인 금속 형성용 전구체 조성물.
The method of claim 1,
In Formula 3, R 16 to R 20 are each independently a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 5 carbon atoms, and X 7 is a substituted or unsubstituted alkyl group having 1 to 6 carbon atoms, substituted or unsubstituted Alkenyl group having 1 to 8 carbon atoms, alkoxy group having 1 to 10 carbon atoms, amine group having 1 to 20 carbon atoms, amino group having 1 to 20 carbon atoms, amidinate group having 1 to 10 carbon atoms, sphere having 1 to 10 carbon atoms Anidinate group (guanidinate), C1-C20 aminoamine group, C1-C20 alkoxyamine group, C3-C20 β-diketonate group, -OR 21 group (wherein R 21 is substituted or An unsubstituted alkyl group having 1 to 5 carbon atoms) and a substituted or unsubstituted cycloalkenyl group having 5 to 7 carbon atoms.
청구항 1에 있어서,
상기 화학식 3으로 표시되는 화합물은 Y(Cp)3, Y(Cp)2[N(Me)2], Y(Cp)[N(Me)2]2, Y(Cp)2[N(MeEt)], Y(Cp)[N(MeEt)]2, Y(Cp)2[N(Et)2], Y(Cp)[N(Et)2]2, Y(iPrCp)3, Y(iPrCp)2[N(Me)2], Y(iPrCp)[N(Me)2]2, Y(iPrCp)2[N(MeEt)], Y(iPrCp)[N(MeEt)]2, Y(iPrCp)2[N(MeEt)], Y(iPrCp)[N(Et)2]2, Y(nBuCp)3, Y(nBuCp)2[N(Me)2], Y(nBuCp)[N(Me)2]2, Y(nBuCp)2[N(MeEt)], Y(nBuCp)[N(MeEt)]2, Y(nBuCp)2[N(Et)2], Y(nBuCp)[N(Et)2]2, Y(Cp)2(OMe), Y(Cp)(OMe)2, Y(Cp)2(OEt), Y(Cp)(OEt)2, Y(Cp)2(OiPr), Y(Cp)(OiPr)2, Y(Cp)(OtBu)2, Y(Cp)2(OtBu), Y(iPrCp)2(OMe), Y(iPrCp)(OMe)2, Y(iPrCp)2(OEt), Y(iPrCp)(OEt)2, Y(iPrCp)2(OiPr), Y(iPrCp)(OiPr)2, Y(iPrCp)2(OtBu), Y(iPrCp)(OtBu)2, Y(nBuCp)2(OMe), Y(nBuCp)(OMe)2, Y(nBuCp)2(OEt), Y(nBuCp)(OEt)2, Y(nBuCp)2(OiPr), Y(nBuCp)(OiPr)2, Y(nBuCp)(OtBu)2, Y(nBuCp)2(OtBu), Y(iPrCp)2(Me), Y(iPrCp)(Me)2, Y(iPrCp)2(Et), Y(iPrCp)(Et)2, Y(iPrCp)2(iPr), Y(iPrCp)(iPr)2, Y(iPrCp)2(tBu), Y(iPrCp)(tBu)2, Y(nBuCp)2(Me), Y(nBuCp)(Me)2, Y(nBuCp)2(Et), Y(nBuCp)(Et)2, Y(nBuCp)2(iPr), Y(nBuCp)(iPr)2, Y(nBuCp)2(tBu), Y(nBuCp)(tBu)2, Y(Cp)2(dipma), Y(Cp)(dipma)2, Y(iPrCp)2(dipma), Y(iPrCp)(dipma)2, Y(nBuCp)2(dipma), Y(nBuCp)(dipma)2, Y(Cp)2(dipdmg), Y(Cp)(dipdmg)2, Y(Cp)2(dipdeg), Y(Cp)2(dipdmg), Y(Cp)2(dipemg), Y(MeCp)2(dipma), Y(MeCp)2(dipdeg), Y(MeCp)2(dipdmg), Y(MeCp)(dipdmg)2, Y(MeCp)2(dipemg), Y(EtCp)2(dipma), Y(EtCp)2(dipdeg), Y(EtCp)2(dipdmg), Y(EtCp)(dipdmg)2, Y(EtCp)2(dipemg), Y(nBuCp)2(dipma), Y(nBuCp)2(dipdeg), Y(nBuCp)2(dipdmg), Y(nBuCp)(dipdmg)2, Y(nBuCp)2(dipemg), Y(iPrCp)2(dipma), Y(iPrCp)2(dipdeg), Y(iPrCp)2(dipdmg), Y(iPrCp)(dipdmg)2, Y(iPrCp)2(dipemg), Y(iPrCp)2(dipma), Y(nPrCp)2(dipma), Y(nPrCp)2(dipdeg), Y(nPrCp)2(dipdmg), Y(nPrCp)(dipdmg)2, Y(nPrCp)2(dipemg), Y(nPrCp)2(dipma), Y(nBuCp)2(dipdmg), Y(nBuCp)(dipdmg)2, Y(Cp)2[CH2CH2N(Me)2], Y(Cp)2[CH2CH2CH2N(Me)2], Y(iPrCp)2[CH2CH2N(Me)2], Y(iPrCp)2[CH2CH2CH2N(Me)2], Y(nBuCp)2[CH2CH2N(Me)2], Y(nBuCp)2[CH2CH2CH2N(Me)2], Y(Cp)2[NMeCH2CH2N(Me)2], Y(Cp)2[OCH2CH2N(Me)2], Y(iPrCp)2[NMeCH2CH2N(Me)2], Y(iPrCp)2[OCH2CH2N(Me)2], Y(nBuCp)2[NMeCH2CH2N(Me)2], Y(nBuCp)2[OCH2CH2N(Me)2], Y(Cp)2(acac), Y(Cp)2(tmhd), Y(iPrCp)2(acac), Y(iPrCp)2(tmhd), Y(nBuCp)2(acac) 및 Y(nBuCp)2(tmhd) (단, Cp는 사이클로펜타디에닐기이고, Me는 메틸기이고, Et는 에틸기이며, Pr은 프로필기이고, iPr은 이소프로필기이고, nBu은 노말부틸기이고, tBu은 t-부틸기이며, dipma는 디이소프로필메틸아미디네이트기이고, dipdeg는 디이소프로필디에틸구아니디네이트이며, dipdmg는 디이소프로필디메틸구아니디네이트기이고, dipemg: 디이소프로필에틸메틸구아니디네이트이며, acac는 아세틸아세테이트이고, tmhd는 테트라메틸헥사디온기이다.)로 이루어진 군으로부터 선택된 적어도 하나 이상의 화합물을 포함하는 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
Compounds represented by Chemical Formula 3 are Y(Cp) 3 , Y(Cp) 2 [N(Me) 2 ], Y(Cp)[N(Me) 2 ] 2 , Y(Cp) 2 [N(MeEt) ], Y(Cp)[N(MeEt)] 2 , Y(Cp) 2 [N(Et) 2 ], Y(Cp)[N(Et) 2 ] 2 , Y( i PrCp) 3 , Y( i PrCp) 2 [N(Me) 2 ], Y( i PrCp)[N(Me) 2 ] 2 , Y( i PrCp) 2 [N(MeEt)], Y( i PrCp)[N(MeEt)] 2 , Y( i PrCp) 2 [N(MeEt)], Y( i PrCp)[N(Et) 2 ] 2 , Y( n BuCp) 3 , Y( n BuCp) 2 [N(Me) 2 ], Y ( n BuCp)[N(Me) 2 ] 2 , Y( n BuCp) 2 [N(MeEt)], Y( n BuCp)[N(MeEt)] 2 , Y( n BuCp) 2 [N(Et) 2 ], Y( n BuCp)[N(Et) 2 ] 2 , Y(Cp) 2 (OMe), Y(Cp)(OMe) 2 , Y(Cp) 2 (OEt), Y(Cp)(OEt ) 2 , Y(Cp) 2 (O i Pr), Y(Cp)(O i Pr) 2 , Y(Cp)(O t Bu) 2 , Y(Cp) 2 (O t Bu), Y( i PrCp) 2 (OMe), Y( i PrCp)(OMe) 2 , Y( i PrCp) 2 (OEt), Y( i PrCp)(OEt) 2 , Y( i PrCp) 2 (O i Pr), Y ( i PrCp)(O i Pr) 2 , Y( i PrCp) 2 (O t Bu), Y( i PrCp)(O t Bu) 2 , Y( n BuCp) 2 (OMe), Y( n BuCp) (OMe) 2 , Y( n BuCp) 2 (OEt), Y( n BuCp)(OEt) 2 , Y( n BuCp) 2 (O i Pr), Y( n BuCp)(O i Pr) 2 , Y ( n BuCp)(O t Bu) 2 , Y( n BuCp) 2 (O t Bu), Y( i PrCp) 2 (Me), Y( i PrCp)(Me) 2 , Y( i PrCp) 2 ( Et), Y( i PrCp)(Et) 2 , Y( i PrCp) 2 ( i Pr), Y( i PrCp)( i Pr) 2 , Y( i PrCp) 2 ( t Bu), Y( i PrCp )( t Bu) 2 , Y( n BuCp) 2 (Me), Y( n BuCp)(Me) 2 , Y( n BuCp) 2 (Et), Y( n BuCp)(Et) 2 , Y( n BuCp) 2 ( i Pr), Y( n BuCp)( i Pr) 2 , Y( n BuCp) 2 ( t Bu), Y( n BuCp)( t Bu) 2 , Y(Cp) 2 (dipma), Y(Cp)(dipma) 2 , Y( i PrCp) 2 (dipma), Y( i PrCp)(dipma) 2 , Y( n BuCp) 2 (dipma), Y( n BuCp)(dipma) 2 , Y (Cp) 2 (dipdmg), Y(Cp)(dipdmg) 2 , Y(Cp) 2 (dipdeg), Y(Cp) 2 (dipdmg), Y(Cp) 2 (dipemg), Y(MeCp) 2 ( dipma), Y(MeCp) 2 (dipdeg), Y(MeCp) 2 (dipdmg), Y(MeCp)(dipdmg) 2 , Y(MeCp) 2 (dipemg), Y(EtCp) 2 (dipma), Y( EtCp) 2 (dipdeg), Y(EtCp) 2 (dipdmg), Y(EtCp)(dipdmg) 2 , Y(EtCp) 2 (dipemg), Y( n BuCp) 2 (dipma), Y( n BuCp) 2 (dipdeg), Y( n BuCp) 2 (dipdmg), Y( n BuCp)(dipdmg) 2 , Y( n BuCp) 2 (dipemg), Y( i PrCp) 2 (dipma), Y( i PrCp) 2 (dipdeg), Y( i PrCp) 2 (dipdmg), Y( i PrCp)(dipdmg) 2 , Y( i PrCp) 2 (dipemg), Y( i PrCp) 2 (dipma), Y( n PrCp) 2 (dipma), Y( n PrCp) 2 (dipdeg), Y( n PrCp) 2 (dipdmg), Y( n PrCp)(dipdmg) 2 , Y( n PrCp) 2 (dipemg), Y( n PrCp) 2 (dipma), Y( n BuCp) 2 (dipdmg), Y( n BuCp)(dipdmg) 2 , Y(Cp) 2 [CH 2 CH 2 N(Me) 2 ], Y(Cp) 2 [CH 2 CH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [CH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [CH 2 CH 2 CH 2 N(Me) 2 ], Y( n BuCp) 2 [CH 2 CH 2 N(Me) 2 ], Y( n BuCp) 2 [CH 2 CH 2 CH 2 N(Me) 2 ], Y(Cp) 2 [NMeCH 2 CH 2 N(Me) 2 ], Y(Cp) 2 [OCH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [NMeCH 2 CH 2 N(Me) 2 ], Y( i PrCp) 2 [OCH 2 CH 2 N (Me) 2 ], Y( n BuCp) 2 [NMeCH 2 CH 2 N(Me) 2 ], Y( n BuCp) 2 [OCH 2 CH 2 N(Me) 2 ], Y(Cp) 2 (acac) , Y(Cp) 2 (tmhd), Y( i PrCp) 2 (acac), Y( i PrCp) 2 (tmhd), Y( n BuCp) 2 (acac) and Y( n BuCp) 2 (tmhd) ( Provided, Cp is a cyclopentadienyl group, Me is a methyl group, Et is an ethyl group, Pr is a propyl group, i Pr is an isopropyl group, n Bu is a normal butyl group, t Bu is a t-butyl group, , dipma is a diisopropylmethylamidinate group, dipdeg is a diisopropyldiethylguanidinate group, dipdmg is a diisopropyldimethylguanidinate group, and dipemg is a diisopropylethylmethylguanidinate group. A precursor composition for forming a metal film comprising at least one compound selected from the group consisting of acac is acetyl acetate and tmhd is tetramethylhexadione.
청구항 1에 있어서,
상기 (a) 제1 금속 화합물 : (b) 제2 금속 화합물의 몰비는 70:30 내지 99:1인 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
The precursor composition for forming a metal film, wherein the molar ratio of (a) the first metal compound: (b) the second metal compound is 70:30 to 99:1.
청구항 11에 있어서,
상기 (a) 제1 금속 화합물 : (b) 제2 금속 화합물의 몰비는 85:15 내지 99:1인 것인 금속막 형성용 전구체 조성물.
The method of claim 11,
The precursor composition for forming a metal film, wherein the molar ratio of (a) the first metal compound: (b) the second metal compound is 85:15 to 99:1.
청구항 1에 있어서,
상기 금속막 형성용 전구체 조성물은 (c) 용매를 추가로 포함하는 것인 금속막 형성용 전구체 조성물.
The method of claim 1,
The precursor composition for forming a metal film is (c) a precursor composition for forming a metal film that further comprises a solvent.
청구항 13에 있어서,
상기 용매는 탄소수 1 내지 16의 포화 또는 불포화 탄화수소 용매, 환형 에테르 용매, 선형 에테르 용매, 글라임 용매, 에스테르 용매 및 아민 용매 중 적어도 하나 이상의 용매를 포함하는 것인 금속막 형성용 전구체 조성물.
The method of claim 13,
The solvent is a precursor composition for forming a metal film comprising at least one of a saturated or unsaturated hydrocarbon solvent having 1 to 16 carbon atoms, a cyclic ether solvent, a linear ether solvent, a glyme solvent, an ester solvent, and an amine solvent.
청구항 13에 있어서,
상기 용매는 펜탄, 옥탄, 3차 아민인 디메틸에틸아민, 트리에틸아민, N,N,N',N'-테트라메틸에틸렌다이아민, 테트라하이드로푸란(THF), 다이부틸에테르 및 4-메틸몰폴린 중 적어도 하나 이상의 용매를 포함하는 것인 금속막 형성용 전구체 조성물.
The method of claim 13,
The solvent is pentane, octane, tertiary amine dimethylethylamine, triethylamine, N,N,N',N'-tetramethylethylenediamine, tetrahydrofuran (THF), dibutyl ether and 4-methylmol A precursor composition for forming a metal film comprising at least one solvent among polynes.
청구항 13에 있어서,
상기 용매는 금속막 형성용 전구체 조성물 전체 중량을 기준으로 0.1 중량% 내지 99 중량%로 포함되는 것인 금속막 형성용 전구체 조성물.
The method of claim 13,
The solvent is a precursor composition for forming a metal film that is included in 0.1% to 99% by weight based on the total weight of the precursor composition for forming a metal film.
챔버 내에 기판을 위치하는 단계; 및
상기 챔버 내에 청구항 1의 금속막 형성용 전구체 조성물을 공급하여 기판 상에 금속 박막을 증착하는 단계;를 포함하는 것인 박막 형성 방법.
positioning a substrate within the chamber; and
A method of forming a thin film comprising: depositing a metal thin film on a substrate by supplying the precursor composition for forming a metal film of claim 1 into the chamber.
청구항 17에 있어서,
상기 금속 박막 증착 단계는 250℃ 내지 400℃ 온도 조건하에서 수행되는 것인 박막 형성 방법.
The method of claim 17
Wherein the metal thin film deposition step is performed under a temperature condition of 250 ° C to 400 ° C.
청구항 17에 있어서,
상기 금속막 형성용 전구체 조성물은 (i) 직접 액체 주입 방법 또는 (ii) 액체 이송 방법으로 공급되는 것인 박막 형성 방법.
The method of claim 17
The precursor composition for forming the metal film is supplied by (i) a direct liquid injection method or (ii) a liquid transfer method.
청구항 17에 있어서,
상기 금속막 형성용 전구체 조성물은 (ii) 액체 이송 방법으로 공급되는 것인 박막 형성 방법.
The method of claim 17
The precursor composition for forming a metal film is supplied by (ii) a liquid transfer method.
청구항 17에 있어서,
상기 금속막 형성용 전구체 조성물을 공급하는 단계는, (i) 금속막 형성용 전구체 조성물과 함께 반응성 가스 또는 반응성 가스의 플라즈마를 동시에 공급하는 단계, 또는 (ii) 금속막 형성용 전구체 조성물을 공급한 후 반응성 가스 또는 반응성 가스의 플라즈마를 순차적으로 공급하는 단계를 추가로 포함하는 것인 금속막 형성 방법.
The method of claim 17
In the step of supplying the precursor composition for forming a metal film, (i) simultaneously supplying a reactive gas or plasma of a reactive gas together with the precursor composition for forming a metal film, or (ii) supplying a precursor composition for forming a metal film The method of forming a metal film further comprising the step of sequentially supplying a reactive gas or a plasma of the reactive gas after.
청구항 21에 있어서,
상기 반응성 가스는 수증기(H2O), 산소(O2), 오존(O3), 과산화수소(H2O2), 수소(H2), 암모니아(NH3), 일산화질소(NO), 아산화질소(N2O), 이산화질소(NO2), 히드라진(N2H4) 및 실란(SiH4) 중 적어도 어느 하나 이상을 포함하는 것인 박막 형성 방법.
The method of claim 21,
The reactive gas is water vapor (H 2 O), oxygen (O 2 ), ozone (O 3 ), hydrogen peroxide (H 2 O 2 ), hydrogen (H 2 ), ammonia (NH 3 ), nitrogen monoxide (NO), suboxides A thin film forming method comprising at least one of nitrogen (N 2 O), nitrogen dioxide (NO 2 ), hydrazine (N 2 H 4 ) and silane (SiH 4 ).
청구항 17에 있어서,
상기 금속막 형성용 전구체 조성물을 공급하는 단계에서, 제2 금속 전구체를 추가로 공급하는 단계를 더 포함하는 것인 박막 형성 방법.
The method of claim 17
In the step of supplying the precursor composition for forming a metal film, the method of forming a thin film further comprising the step of additionally supplying a second metal precursor.
청구항 17에 있어서,
상기 금속 박막 증착 단계 후에, 금속 박막이 증착된 기판을 표면 처리하는 단계를 추가로 포함하는 것인 박막 형성 방법.
The method of claim 17
After the metal thin film depositing step, the thin film forming method further comprising the step of surface treating the substrate on which the metal thin film is deposited.
청구항 1의 금속막 형성용 전구체 조성물을 이용하여 형성되는 금속 박막.
A metal thin film formed using the precursor composition for forming a metal film of claim 1.
청구항 25에 있어서,
상기 금속 박막은 0.1 at%(atomic percent) 내지 50 at% 의 이트륨 성분을 함유하는 금속 박막.
The method of claim 25
The metal thin film contains a yttrium component of 0.1 at% (atomic percent) to 50 at%.
청구항 25에 있어서,
상기 금속 박막은 YxOy (이때, 1≤x≤2, 1≤y≤4) 막과,
AlxOy (이때, 1≤x≤2, 1≤y≤4) 막, ZrxOy 막 (이때, 0.5≤x≤1.5, 1≤y≤3) 및 ZrOx (이때, 1≤x≤3) 중 하나 이상의 금속 산화물막의 혼합막으로 이루어진 것인 금속 박막.
The method of claim 25
The metal thin film is a Y x O y (where 1≤x≤2, 1≤y≤4) film,
Al x O y (where 1 ≤ x ≤ 2, 1 ≤ y ≤ 4) film, Zr x O y film (where 0.5 ≤ x ≤ 1.5, 1 ≤ y ≤ 3) and ZrO x (where 1 ≤ x ≤3) of a metal thin film made of a mixed film of one or more metal oxide films.
청구항 25에 있어서,
상기 금속 박막은 YxOy (이때, 1≤x≤2, 1≤y≤4) 막과,
AlxOy (이때, 1≤x≤2, 1≤y≤4) 막, ZrxOy 막 (이때, 0.5≤x≤1.5, 1≤y≤3) 및 ZrOx (이때, 1≤x≤3) 중 하나 이상의 금속 산화물 막이 순차적으로 적층되어 이루어진 것인 금속 박막.
The method of claim 25
The metal thin film is a Y x O y (where 1≤x≤2, 1≤y≤4) film,
Al x O y (where 1 ≤ x ≤ 2, 1 ≤ y ≤ 4) film, Zr x O y film (where 0.5 ≤ x ≤ 1.5, 1 ≤ y ≤ 3) and ZrO x (where 1 ≤ x ≤3) of one or more metal oxide films are sequentially stacked.
청구항 25의 금속 박막을 포함하는 커패시터 유전막.
A capacitor dielectric film comprising the metal thin film of claim 25.
청구항 25의 금속 박막을 포함하는 게이트 절연막.


A gate insulating film comprising the metal thin film of claim 25.


KR1020210031804A 2020-03-11 2021-03-11 Precursor composition for film deposition and methods of forming a film using the same KR102544077B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020200030223 2020-03-11
KR20200030223 2020-03-11

Publications (2)

Publication Number Publication Date
KR20210114890A KR20210114890A (en) 2021-09-24
KR102544077B1 true KR102544077B1 (en) 2023-06-16

Family

ID=77914705

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210031804A KR102544077B1 (en) 2020-03-11 2021-03-11 Precursor composition for film deposition and methods of forming a film using the same

Country Status (1)

Country Link
KR (1) KR102544077B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220158601A (en) * 2021-05-24 2022-12-01 에스케이트리켐 주식회사 Metal precursor compound for forming semiconductor film and metal-containing film prepared by using the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US9045509B2 (en) 2009-08-14 2015-06-02 American Air Liquide, Inc. Hafnium- and zirconium-containing precursors and methods of using the same
KR102008445B1 (en) 2014-02-26 2019-08-08 주식회사 유진테크 머티리얼즈 Precursor compositions for forming zirconium-containing film and method of forming zirconium-containing film using them as precursors
KR102147190B1 (en) * 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 Film-forming composition and method for fabricating film by using the same
KR20190108281A (en) * 2018-03-14 2019-09-24 에스케이트리켐 주식회사 Precursor composition for film deposition, deposition method of film and semiconductor device of the same
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition

Also Published As

Publication number Publication date
KR20210114890A (en) 2021-09-24

Similar Documents

Publication Publication Date Title
US10217629B2 (en) Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
EP2644741B1 (en) Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
US11972941B2 (en) Precursor solution for thin film deposition and thin film forming method using same
KR102147190B1 (en) Film-forming composition and method for fabricating film by using the same
US8765220B2 (en) Methods of making and deposition methods using hafnium- or zirconium-containing compounds
KR102635125B1 (en) Depotisition inhibitor and method for forming dielectric layer using the same
KR102008445B1 (en) Precursor compositions for forming zirconium-containing film and method of forming zirconium-containing film using them as precursors
KR102544077B1 (en) Precursor composition for film deposition and methods of forming a film using the same
US20110020547A1 (en) High dielectric constant films deposited at high temperature by atomic layer deposition
KR20210155106A (en) Lanthanide precursor and lanthanide-containing film using the same and deposition method of the same and semiconductor device comprising the same
US6774038B2 (en) Organometal complex and method of depositing a metal silicate thin layer using same
KR102622013B1 (en) Precursor for film deposition, deposition method of film and semiconductor device of the same
JP7262912B2 (en) Precursor composition for forming a metal film, method for forming a metal film using the same, and semiconductor device including the metal film
KR20220158601A (en) Metal precursor compound for forming semiconductor film and metal-containing film prepared by using the same
KR102661498B1 (en) Method for preparing thin film using organometallic compound and the thin film prepared therefrom
KR102472597B1 (en) Group 4 organometallic precursor compound into which η6 borata benzene ligand is introduced, a method for manufacturing the same, and a method for forming a thin film using the same
KR102666160B1 (en) Precursor comprisi ng for yttrium or actinoid containg thin film, deposition method of film and semiconductor device of the same
EP4249629A1 (en) Method for manufacturing thin film
EP4249631A1 (en) Method for manufacturing thin film
KR101021875B1 (en) Method of manufacturing Hf films with improved uniformity in metal organic chemical vapor deposition
KR20240038627A (en) Precursor comprising for lanthanide containg thin film, deposition method of film and semiconductor device of the same
US20120065420A1 (en) Deposition of ta- or nb-doped high-k films
TW202413380A (en) Precursor comprisi ng for yttrium or actinoid containg thin film, deposition method of film and semiconductor device of the same
KR20240038327A (en) Precursor comprisi ng for yttrium or actinoid containg thin film, deposition method of film and semiconductor device of the same

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant