KR102542281B1 - Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions - Google Patents

Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions Download PDF

Info

Publication number
KR102542281B1
KR102542281B1 KR1020217005460A KR20217005460A KR102542281B1 KR 102542281 B1 KR102542281 B1 KR 102542281B1 KR 1020217005460 A KR1020217005460 A KR 1020217005460A KR 20217005460 A KR20217005460 A KR 20217005460A KR 102542281 B1 KR102542281 B1 KR 102542281B1
Authority
KR
South Korea
Prior art keywords
silicon
bonds
silicon carbide
precursor
substrate
Prior art date
Application number
KR1020217005460A
Other languages
Korean (ko)
Other versions
KR20210024673A (en
Inventor
매튜 스캇 웨이머
브하드리 엔. 바라다라잔
보 공
쩌 구이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/044,357 external-priority patent/US20180347035A1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237019109A priority Critical patent/KR20230088843A/en
Publication of KR20210024673A publication Critical patent/KR20210024673A/en
Application granted granted Critical
Publication of KR102542281B1 publication Critical patent/KR102542281B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

도핑되거나 도핑되지 않은 탄화 실리콘 막이 리모트 플라즈마 CVD (chemical vapor deposition) 기법을 사용하여 증착될 수 있다. 하나 이상의 실리콘-함유 전구체들이 반응 챔버에 제공된다. 수소 라디칼 종과 같은 라디칼 종은 실질적으로 저 에너지 상태 또는 바닥 상태로 제공되고 탄화 실리콘 막을 증착하기 위해 하나 이상의 실리콘-함유 전구체들과 상호 작용한다. 공-반응물질이 하나 이상의 실리콘-함유 전구체들과 함께 흐를 수도 있고, 공-반응물질은 탄화 실리콘 막의 단차 커버리지를 증가시키기 위한 증착 첨가제 또는 비증착 첨가제일 수 있다.Doped or undoped silicon carbide films may be deposited using remote plasma chemical vapor deposition (CVD) techniques. One or more silicon-containing precursors are provided to the reaction chamber. Radical species, such as hydrogen radical species, are provided in a substantially low energy or ground state and interact with one or more silicon-containing precursors to deposit a silicon carbide film. A co-reactant may be flowed with one or more silicon-containing precursors, and the co-reactant may be a deposited or non-deposited additive to increase the step coverage of the silicon carbide film.

Description

이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions

참조로서 인용cited as reference

PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권의 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용되었다.A PCT application form is filed concurrently with this specification as part of this application. Each application claiming the benefit of priority as identified in the concurrently filed PCT application form is incorporated herein by reference in its entirety for all purposes.

탄화 실리콘 (SiC) 부류의 박막들은 다양한 적용 예들, 특히 집적 회로 적용 예들에서 사용되고, 물리적, 화학적, 전기적 및 기계적 특성들을 갖는다. SiC 박막들의 부류들은 산화탄화 실리콘으로 또한 공지된 산소 도핑된 탄화 실리콘, 질화탄화 실리콘으로 또한 공지된 질소 도핑된 탄화 실리콘, 및 산화질화탄화 실리콘으로 또한 공지된 산소 및 질소 도핑된 탄화 실리콘, 및 도핑되지 않은 탄화 실리콘을 포함한다.BACKGROUND OF THE INVENTION Thin films of the silicon carbide (SiC) class are used in a variety of applications, particularly integrated circuit applications, and have physical, chemical, electrical and mechanical properties. Classes of SiC thin films include oxygen doped silicon carbide, also known as silicon oxycarbide, nitrogen doped silicon carbide, also known as silicon nitride carbide, and oxygen and nitrogen doped silicon carbide, also known as silicon oxynitride carbide, and doped Contains untreated silicon carbide.

본 명세서에 제공된 배경기술은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술에 기술되는 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술 (description) 의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.The background provided herein is for purposes of generally presenting the context of the present disclosure. The work of the inventors named herein to the extent set forth in this background, as well as aspects of this description that may not otherwise be recognized as prior-art at the time of filing, are expressly or implicitly regarded as prior-art to the present disclosure. not recognized as

기판 상에 탄화 실리콘 막을 증착하는 방법이 본 명세서에 제공된다. 방법은 반응 챔버 내에 기판을 제공하는 단계, 실리콘-함유 전구체를 반응 챔버 내로 기판을 향해 흘리는 단계, 및 공-반응물질을 실리콘-함유 전구체와 함께 반응 챔버 내로 흘리는 단계를 포함한다. 실리콘-함유 전구체는 (i) 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들, (ii) 하나 이상의 Si-C 결합들, Si-N 결합, 및/또는 Si-O 결합들을 갖고, (iii) C-O 결합이 없고 (iv) C-N 결합이 없다. 공-반응물질은 탄화수소 분자이다. 방법은 실리콘-함유 전구체 및 공-반응물질의 업스트림에서 생성되는 리모트 플라즈마 소스에서 수소 라디칼들을 수소 소스 가스로부터 생성하는 단계, 및 수소 라디칼들을 반응 챔버 내로 그리고 기판을 향해 도입하는 단계를 더 포함하고, 수소의 라디칼들은 기판 상에 도핑되거나 도핑되지 않은 탄화 실리콘 막을 형성하기 위해 실리콘-함유 전구체 및 공-반응물질과 반응하도록 바닥 상태에 있고, 여기서 도핑되거나 도핑되지 않은 실리콘 카바이드 막은 적어도 90 %의 컨포멀성 (conformality) 을 갖는다.A method of depositing a silicon carbide film on a substrate is provided herein. The method includes providing a substrate within a reaction chamber, flowing a silicon-containing precursor into the reaction chamber towards the substrate, and flowing a co-reactant together with the silicon-containing precursor into the reaction chamber. The silicon-containing precursor has (i) one or more Si-H bonds and/or Si-Si bonds, (ii) one or more Si-C bonds, Si-N bonds, and/or Si-O bonds; (iii) no C-O bonds and (iv) no C-N bonds. The co-reactant is a hydrocarbon molecule. The method further comprises generating hydrogen radicals from the hydrogen source gas in a remote plasma source generated upstream of the silicon-containing precursor and co-reactant, and introducing the hydrogen radicals into the reaction chamber and toward the substrate; Radicals of hydrogen are in the ground state to react with a silicon-containing precursor and a co-reactant to form a doped or undoped silicon carbide film on a substrate, wherein the doped or undoped silicon carbide film is at least 90% conformal. have conformity.

일부 구현 예들에서, 기판에 인접한 환경의 수소의 라디칼들 모두 또는 실질적으로 모두는 바닥 상태의 수소 라디칼들이다. 일부 구현 예들에서, 도핑되거나 도핑되지 않은 탄화 실리콘 막은 산화탄화 실리콘 (SiCO), 탄화질화 실리콘 (SiCN), 또는 산화탄화질화 실리콘 (SiOCN) 의 도핑된 탄화 실리콘 막이다. 일부 구현 예들에서, 탄화수소 분자는 하나 이상의 탄소-탄소 이중 결합 또는 삼중 결합을 갖는다. 탄화수소 분자는 프로필렌, 에틸렌, 부텐, 펜텐, 부타디엔, 펜타디엔, 헥사디엔, 헵타디엔, 톨루엔, 벤젠, 아세틸렌, 프로핀, 부틴, 펜틴, 또는 헥신을 포함할 수 있다. 일부 구현 예들에서, 실리콘-함유 전구체 및 공-반응물질은 동일한 플로우 경로를 따라 반응 챔버 내로 동시에 흐른다. 일부 구현 예들에서, 실리콘-함유 전구체는 알킬카보실란, 실록산, 또는 실라잔을 포함한다. In some implementations, all or substantially all of the radicals of hydrogen in the environment adjacent to the substrate are ground state hydrogen radicals. In some implementations, the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxide carbide (SiCO), silicon carbide nitride (SiCN), or silicon oxycarbonitride (SiOCN). In some embodiments, the hydrocarbon molecule has one or more carbon-carbon double or triple bonds. The hydrocarbon molecule may include propylene, ethylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, acetylene, propyne, butyne, pentene, or hexyne. In some implementations, the silicon-containing precursor and co-reactant flow simultaneously into the reaction chamber along the same flow path. In some embodiments, the silicon-containing precursor includes an alkylcarbosilane, siloxane, or silazane.

또 다른 양태는 기판 상에 탄화 실리콘 막을 증착하는 방법을 수반한다. 방법은 반응 챔버 내에 기판을 제공하는 단계, 제 1 유기 실리콘 전구체를 반응 챔버 내로 흘리는 단계, 및 제 2 유기 실리콘 전구체를 반응 챔버 내로 흘리는 단계를 포함한다. 제 1 유기 실리콘 전구체는 (i) 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들, 및 (ii) 하나 이상의 Si-C 결합들, Si-N 결합들, 및/또는 Si-O 결합들을 갖고, 제 2 유기 실리콘 전구체는 (i) Si-H 결합들을 포함하지 않고 (ii) Si-Si 결합들을 포함하지 않는다. 방법은 수소 소스 가스로부터, 제 1 유기 실리콘 전구체 및 제 2 유기 실리콘 전구체의 업스트림에서 생성되는 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계, 및 수소 라디칼들을 반응 챔버 내로 그리고 기판을 향해 도입하는 단계를 더 포함하고, 수소 라디칼들은 기판 상에 도핑되거나 도핑되지 않은 탄화 실리콘 막을 형성하기 위해 제 1 유기 실리콘 전구체 및 제 2 유기 실리콘 전구체와 반응하도록 바닥 상태에 있다. Another aspect involves a method of depositing a silicon carbide film on a substrate. The method includes providing a substrate within a reaction chamber, flowing a first organosilicon precursor into the reaction chamber, and flowing a second organosilicon precursor into the reaction chamber. The first organosilicon precursor comprises (i) one or more Si-H bonds and/or Si-Si bonds, and (ii) one or more Si-C bonds, Si-N bonds, and/or Si-O bonds. , and the second organosilicon precursor (i) does not contain Si-H bonds and (ii) does not contain Si-Si bonds. The method further comprises generating hydrogen radicals in a remote plasma source generated from a hydrogen source gas, upstream of the first organosilicon precursor and the second organosilicon precursor, and introducing the hydrogen radicals into the reaction chamber and towards the substrate. wherein the hydrogen radicals are in the ground state to react with the first organosilicon precursor and the second organosilicon precursor to form a doped or undoped silicon carbide film on the substrate.

일부 구현 예들에서, 수소의 라디칼들 모두 또는 실질적으로 모두는 바닥 상태의 수소 라디칼들이다. 일부 구현 예들에서, 도핑되거나 도핑되지 않은 탄화 실리콘 막은 산화탄화 실리콘 (SiCO), 탄화질화 실리콘 (SiCN), 또는 산화탄화질화 실리콘 (SiOCN) 의 도핑된 탄화 실리콘 막이다. 일부 구현 예들에서, 제 2 유기 실리콘 전구체의 플로우 레이트는 제 1 유기 실리콘 전구체의 플로우 레이트보다 적어도 2 배 크다. 일부 구현 예들에서, 도핑되거나 도핑되지 않은 탄화 실리콘 막은 적어도 95 %의 컨포멀성을 갖는다. 일부 구현 예들에서, 제 2 유기 실리콘 전구체는 4MS (tetramethylsilane) 를 포함한다. 일부 구현 예들에서, 제 1 유기 실리콘 전구체 및 제 2 유기 실리콘 전구체는 동일한 플로우 경로를 따라 반응 챔버 내로 동시에 흐른다. In some embodiments, all or substantially all of the radicals of hydrogen are ground state hydrogen radicals. In some implementations, the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxide carbide (SiCO), silicon carbide nitride (SiCN), or silicon oxycarbonitride (SiOCN). In some implementations, the flow rate of the second organosilicon precursor is at least twice greater than the flow rate of the first organosilicon precursor. In some implementations, the doped or undoped silicon carbide film has a conformality of at least 95%. In some implementations, the second organosilicon precursor includes tetramethylsilane (4MS). In some implementations, the first organosilicon precursor and the second organosilicon precursor are simultaneously flowed into the reaction chamber along the same flow path.

이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.These and other aspects are further described below with reference to the drawings.

도 1a는 기판 위에 증착된 예시적인 도핑되거나 도핑되지 않은 탄화 실리콘 막의 단면 개략도를 예시한다.
도 1b는 기판의 피처들 상에 컨포멀하게 증착된 예시적인 도핑되거나 도핑되지 않은 탄화 실리콘 막의 단면 개략도를 예시한다.
도 1c는 트랜지스터의 게이트 전극의 측벽들 상의 예시적인 도핑되거나 도핑되지 않은 탄화 실리콘 수직 구조체들의 단면 개략도를 예시한다.
도 1d는 에어 갭 타입 금속화 층의 구리 라인들의 노출된 측벽들 상의 예시적인 도핑되거나 도핑되지 않은 탄화 실리콘 구조체들의 단면 개략도를 예시한다.
도 1e는 다공성 유전체 재료들에 대한 예시적인 도핑되거나 도핑되지 않은 탄화 실리콘 기공 시일링제의 단면 개략도를 예시한다.
도 2는 대표적인 케이지된 (caged) 실록산 전구체들의 예들의 화학적 구조들을 예시한다.
도 3은 일부 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다.
도 4는 일부 다른 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다.
도 5a는 탄소-함유 비증착 첨가제를 사용하지 않고 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다.
도 5b는 탄소-함유 비증착 첨가제를 사용하여 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다.
도 6a는 실리콘-함유 증착 첨가제를 사용하지 않고 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다.
도 6b는 실리콘-함유 증착 첨가제를 사용하여 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다.
1A illustrates a cross-sectional schematic of an exemplary doped or undoped silicon carbide film deposited over a substrate.
1B illustrates a cross-sectional schematic of an example doped or undoped silicon carbide film conformally deposited over features of a substrate.
1C illustrates a cross-sectional schematic of exemplary doped or undoped silicon carbide vertical structures on the sidewalls of a gate electrode of a transistor.
1D illustrates a cross-sectional schematic of exemplary doped or undoped silicon carbide structures on exposed sidewalls of copper lines of an air gap type metallization layer.
1E illustrates a cross-sectional schematic of an exemplary doped or undoped silicon carbide pore sealing agent for porous dielectric materials.
2 illustrates chemical structures of examples of representative caged siloxane precursors.
3 illustrates a schematic diagram of an example plasma processing apparatus having a remote plasma source in accordance with some implementations.
4 illustrates a schematic diagram of an example plasma processing apparatus having a remote plasma source in accordance with some other implementations.
5A shows a TEM image of a silicon carbide thin film deposited on substrate features without the use of a carbon-containing non-deposition additive.
5B shows a TEM image of a silicon carbide thin film deposited on substrate features using a carbon-containing non-deposition additive.
6A shows a TEM image of a silicon carbide thin film deposited on substrate features without the use of a silicon-containing deposition additive.
6B shows a TEM image of a silicon carbide thin film deposited on substrate features using a silicon-containing deposition additive.

본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.In this disclosure, the terms "semiconductor wafer", "wafer", "substrate", "wafer substrate", and "partially fabricated integrated circuit" are used interchangeably. Those skilled in the art will understand that the term "partially fabricated integrated circuit" can refer to a silicon wafer during any of the many stages of integrated circuit fabrication. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. The detailed description below assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. A workpiece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may benefit from the present disclosure include various articles such as printed circuit boards and the like.

도입introduction

반도체 디바이스들의 제작은 통상적으로 통합된 제조 프로세스에서 기판 상에 하나 이상의 박막들을 증착하는 것을 수반한다. 제조 프로세스의 일부 양태들에서, 탄화 실리콘, 산화탄화 실리콘, 질화탄화 실리콘, 및 산화질화탄화 실리콘과 같은 부류의 박막들은 ALD (atomic layer deposition), CVD (chemical vapor deposition), 플라즈마-강화된 화학적 기상 증착 (plasma-enhanced chemical vapor deposition; PECVD) 또는 임의의 다른 적합한 증착 방법을 사용하여 증착된다. 본 명세서에 사용된 바와 같이, 용어 탄화 실리콘은, 산소 도핑된 탄화 실리콘 (SiCO), 질소 도핑된 탄화 실리콘 (SiCN), 및 질소 및 산소 도핑된 탄화 실리콘 (SiOCN) 과 같은, 도핑되거나 도핑되지 않은 탄화 실리콘들을 포함한다. 많은 경우에, 도핑된 탄화 실리콘들은, 산소, 질소, 또는 또 다른 원소의 원자들이든 상관없이 최대 약 50 원자% (%atomic) 의 도펀트 원자들을 갖는다. 도핑 레벨은 목표된 막 특성들을 제공한다.Fabrication of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated manufacturing process. In some aspects of the fabrication process, thin films of classes such as silicon carbide, silicon oxycarbide, silicon nitride carbide, and silicon oxynitride carbide can be formed by atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposited using plasma-enhanced chemical vapor deposition (PECVD) or any other suitable deposition method. As used herein, the term silicon carbide refers to doped or undoped silicon carbide, such as oxygen doped silicon carbide (SiCO), nitrogen doped silicon carbide (SiCN), and nitrogen and oxygen doped silicon carbide (SiOCN). Contains silicon carbide. In many cases, doped silicon carbide has up to about 50 atomic percent dopant atoms, whether atoms of oxygen, nitrogen, or another element. The doping level provides the desired film properties.

탄화 실리콘들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함할 수 있다. 산화탄화 실리콘들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-산소 (Si-O) 결합들 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 실리콘 질화물들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-질소 (Si-N) 결합들 및/또는 실리콘-탄소 (Si-C) 결합들을 포함하는 실리콘-함유 분자들을 포함한다. 산화질화탄화 실리콘들을 증착하기 위한 전구체 분자들은 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들, 및 실리콘-질소 (Si-N) 결합들, 실리콘-산소 (Si-O) 결합들, 및/또는 실리콘-탄소 (Si-C) 결합들을 갖는 실리콘-함유 분자들을 포함한다. 현재 PECVD 프로세스들은 플라즈마가 기판에 바로 인접하게 제공되는 인시츄 플라즈마 프로세싱을 사용할 수도 있다.Precursor molecules for depositing silicon carbide may include silicon-containing molecules having silicon-hydrogen (Si-H) and/or silicon-silicon (Si-Si) bonds, and silicon-carbon (Si-C) bonds. can Precursor molecules for depositing silicon oxide carbides may be silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-oxygen (Si-O) bonds and/or silicon- Contains silicon-containing molecules with carbon (Si-C) bonds. Precursor molecules for depositing silicon nitrides are silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds and/or silicon-carbon (Si-C) includes silicon-containing molecules that contain bonds. Precursor molecules for depositing silicon oxynitridation carbons are silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, and silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-O) bonds, and/or silicon-containing molecules having silicon-carbon (Si-C) bonds. Current PECVD processes may use in situ plasma processing where plasma is provided immediately adjacent to the substrate.

고품질 탄화 실리콘 박막들을 증착하는 것은 우수한 단차 커버리지, 저 유전 상수들, 고 파괴 전압들, 저 누설 전류들, 고 다공성, 및/또는 금속 표면을 산화시키지 않고 노출된 금속 표면들에 대한 커버리지를 갖는 막들을 제공하는 것과 같은 특정한 과제들을 가질 수 있다는 것을 알게 되었다.Depositing high quality silicon carbide thin films is a film with excellent step coverage, low dielectric constants, high breakdown voltages, low leakage currents, high porosity, and/or coverage to exposed metal surfaces without oxidizing the metal surface. found that it can have specific tasks, such as providing

이 개시는 어떠한 특정한 이론으로 제한되지 않지만, 통상적인 PECVD 프로세스들에서 플라즈마 조건들은 원치 않은 효과들을 생성하는 방식으로 실리콘-함유 전구체 분자들을 단편화한다고 여겨진다. 예를 들어, PECVD는 고 반응성 라디칼들 또는 고 부착 계수들을 갖는 다른 단편 타입들을 생성하도록 전구체 분자들의 Si-O 및/또는 Si-C 결합들을 파괴할 수도 있다. 발생되는 도핑된 탄화 실리콘 막의 단편들은 실리콘, 탄소, 및/또는 산소 원자들이 반응성의 짝을 이루지 않은 원자가 전자들을 갖는다는 것을 의미하는, "댕글링 (dangling)" 결합들을 갖는 실리콘, 탄소, 및/또는 산소 원자들을 포함할 수 있다. 반응성 전구체 단편들이 리세스된 피처들의 측벽들 및 다른 구조체들의 상부 영역들에 불균형하게 부착될 수도 있기 때문에, 고 부착 계수들의 전구체 분자들 및 이들의 단편들은 불량한 단차 커버리지를 갖는 탄화 실리콘 막들을 증착할 수 있다.While this disclosure is not limited to any particular theory, it is believed that plasma conditions in typical PECVD processes fragment the silicon-containing precursor molecules in a manner that creates undesirable effects. For example, PECVD may break Si-O and/or Si-C bonds of precursor molecules to create highly reactive radicals or other fragment types with high sticking coefficients. Fragments of the resulting doped silicon carbide film have "dangling" bonds, meaning that the silicon, carbon, and/or oxygen atoms have reactive unpaired valence electrons. or oxygen atoms. Because the reactive precursor fragments may adhere disproportionately to the sidewalls of recessed features and to the upper regions of other structures, the precursor molecules of high adhesion coefficients and their fragments may deposit silicon carbide films with poor step coverage. can

댕글링 결합들은 증착된 산화탄화 실리콘 막 또는 산화질화탄화 실리콘 막에서 실라놀기들 (Si-OH) 을 생성할 수 있다. 그 결과, 막은 유해하게 높은 유전 상수들을 가질 수도 있다. 막 품질은 또한 직접 플라즈마 조건들이 증착된 막으로부터 탄소를 추출하는 경향이 있기 때문에 악화될 수도 있다.Dangling bonds can create silanol groups (Si—OH) in the deposited silicon oxycarbide film or silicon oxynitride carbide film. As a result, the film may have detrimentally high dielectric constants. Film quality may also deteriorate because direct plasma conditions tend to extract carbon from the deposited film.

게다가, 댕글링 결합들은 증착된 탄화 실리콘 막들에서 증가된 실리콘-수소 결합 (Si-H) 을 생성할 수 있다. Si-C의 파괴된 결합들은 직접 플라즈마 증착 조건들에서 Si-H로 대체될 수 있다. 탄화 실리콘 막들 내 Si-H 결합들의 존재는 불량한 전기적 특성들을 갖는 막들을 생성할 수 있다. 예를 들어, Si-H 결합들의 존재는 Si-H 결합들이 전자들에 누설 경로를 제공하기 때문에 파괴 전압들을 감소시킬 수 있고 누설 전류들을 증가시킬 수 있다.Additionally, dangling bonds can create increased silicon-hydrogen bonds (Si-H) in the deposited silicon carbide films. Broken bonds of Si-C can be replaced with Si-H in direct plasma deposition conditions. The presence of Si-H bonds in silicon carbide films can create films with poor electrical properties. For example, the presence of Si-H bonds can reduce breakdown voltages and increase leakage currents because Si-H bonds provide a leakage path for electrons.

또한, 댕글링 결합들은 탄화 실리콘 막들에서 제어되지 않은 화학적 또는 형태적 구조들을 야기할 수 있다. 일부 경우들에서, 이러한 구조체들은 막이 용인할 수 없을 정도로 높은 유전 상수를 갖도록, 다공성이 낮거나 없는 치밀한 필라멘트들이다. 다공성의 결여는 고리형 실록산들에서 Si-C 결합 및/또는 Si-O 결합을 파괴하는 직접 플라즈마 조건들의 결과일 수 있고, 그렇지 않으면 ULK (ultralow-k) 유전체 재료에 다공성을 제공할 것이다.Also, dangling bonds can lead to uncontrolled chemical or morphological structures in silicon carbide films. In some cases, these structures are dense filaments with low or no porosity, such that the film has an unacceptably high dielectric constant. The lack of porosity may be a result of direct plasma conditions that break Si-C bonds and/or Si-O bonds in cyclic siloxanes, which would otherwise provide porosity to the ultralow-k (ULK) dielectric material.

PECVD에서 때때로 채용된 직접 플라즈마 조건들은 전구체 분자들을 분해하기 위한 에너지가 표면에서 많은 이온 충격을 생성하는 저 주파수일 수 있기 때문에 증착시 방향성을 야기할 수 있다. 방향성 증착은 또한 불량한 단차 커버리지를 갖는 탄화 실리콘 막들의 증착을 야기할 수 있다. 직접 플라즈마는 플라즈마 (적절한 농도의 전자들 및 양이온들) 가 증착 동안 기판 표면에 매우 근접하게 존재하고, 때때로 플라즈마 시스에 의해서만 기판 표면으로부터 분리되는 플라즈마이다.Direct plasma conditions sometimes employed in PECVD can cause directionality in the deposition because the energy to break down the precursor molecules can be at a low frequency that creates many ion bombardments at the surface. Directional deposition can also result in the deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma in which the plasma (electrons and positive ions in moderate concentration) is in close proximity to the substrate surface during deposition, and is sometimes separated from the substrate surface only by a plasma sheath.

통상적인 PECVD 프로세스들은, 이러한 프로세스들이 금속을 산화시킬 수 있기 때문에, 때때로 노출된 구리 또는 다른 금속 표면들 위에 탄화 실리콘 막들을 증착하는데 부적절하다. PECVD 프로세스는 산화탄화 실리콘 막을 형성하기 위해 산소 (O2), 오존 (O3), 이산화탄소 (CO2), 또는 다른 산화 종과 같은 산화제들을 사용할 수도 있다.Conventional PECVD processes are sometimes inadequate for depositing silicon carbide films over exposed copper or other metal surfaces because these processes can oxidize the metal. The PECVD process may use oxidizing agents such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), or other oxidizing species to form an oxidized silicon carbide film.

증착 동안 기판 표면의 분위기Atmosphere of the substrate surface during deposition

도 1a는 기판 위에 증착된 예시적인 탄화 실리콘 막의 단면을 예시한다. 탄화 실리콘 막 (101) 은 기판 (100) 에 인접한 상대적으로 약한 분위기를 생성하는 프로세스 조건들 하에서 형성될 수 있다. 기판 (100) 은 임의의 웨이퍼, 반도체 웨이퍼, 부분적으로 제조된 집적 회로, 인쇄 회로 기판, 디스플레이 스크린, 또는 다른 적절한 워크피스일 수 있다. 탄화 실리콘 막 (101) 을 증착하기 위한 프로세스는 생성될 도핑된 구조체의 타입에 따라, Si-C 결합들, Si-O 결합들, 및/또는 Si-N 결합들과 같은 다른 결합들과 함께, 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 갖는 하나 이상의 실리콘-함유 전구체들을 수반한다.1A illustrates a cross-section of an exemplary silicon carbide film deposited over a substrate. Silicon carbide film 101 can be formed under process conditions that create a relatively weak atmosphere adjacent to substrate 100 . Substrate 100 can be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process for depositing the silicon carbide film 101 may, depending on the type of doped structure to be created, with other bonds such as Si-C bonds, Si-O bonds, and/or Si-N bonds, one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds.

탄화 실리콘 막들을 채용하는 특정한 적용 예들이 도 1b 내지 도 1e에 도시된다. 일부 실시 예들에서, 실리콘-함유 전구체들은 실리콘-산소 함유 전구체들, 실리콘-질소 함유 전구체들, 및/또는 실리콘-탄소 함유 전구체들을 포함할 수 있다. 실리콘-산소 함유 전구체들은 하나 이상의 Si-O 결합들을 포함할 수 있고, 실리콘-질소 함유 전구체들은 하나 이상의 Si-N 결합들을 포함할 수 있고, 실리콘-탄소 함유 전구체들은 하나 이상의 Si-C 결합들을 포함할 수 있다. 일부 실시 예들에서, 예를 들어, 실리콘-함유 전구체들은 Si-O 결합 및 Si-C 결합, 또는 Si-N 결합 및 Si-C 결합을 갖는 단일 반응물질 A를 포함할 수 있다. 일부 실시 예들에서, 실리콘-함유 전구체들은 Si-O 결합들 또는 Si-N 결합들을 갖는 반응물질 B, 및 Si-C 결합들을 갖는 반응물질 C를 포함할 수 있다. 임의의 수의 적합한 반응물질들이 본 개시의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 예시적인 실리콘-함유 전구체들의 화학적 구조들은 이하에 더 상세히 논의된다.Specific application examples employing silicon carbide films are shown in FIGS. 1B-1E. In some embodiments, silicon-containing precursors may include silicon-oxygen-containing precursors, silicon-nitrogen-containing precursors, and/or silicon-carbon-containing precursors. Silicon-oxygen containing precursors can include one or more Si-O bonds, silicon-nitrogen containing precursors can include one or more Si-N bonds, and silicon-carbon containing precursors can include one or more Si-C bonds. can do. In some embodiments, for example, silicon-containing precursors can include a single reactant A having Si-O bonds and Si-C bonds, or Si-N bonds and Si-C bonds. In some embodiments, silicon-containing precursors can include reactant B having Si-O bonds or Si-N bonds, and reactant C having Si-C bonds. It will be appreciated that any number of suitable reactants may be employed within the scope of this disclosure. The chemical structures of exemplary silicon-containing precursors are discussed in more detail below.

실리콘-함유 전구체는 하나 이상의 Si-H 결합들 및/또는 하나 이상의 Si-Si 결합들을 포함한다. 그러나, 부가적인 실리콘-함유 전구체들은 Si-H 결합들 또는 Si-Si 결합들을 반드시 포함하지 않을 수도 있다는 것이 이해될 것이다. 이들 부가적인 실리콘-함유 전구체들은 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들을 갖는 실리콘-함유 전구체와 동시에 제공될 수도 있다. 증착 프로세스 동안, Si-H 결합들 및/또는 Si-Si 결합들은 파괴되고 증착된 탄화 실리콘 막 (101) 내 실리콘-함유 전구체들 사이에 결합들을 형성하기 위한 반응성 사이트들로서 기능한다. 파괴된 결합들은 또한 증착 동안 또는 증착 후에 수행된 열적 프로세싱 동안 교차-결합을 위한 사이트들로 기능할 수 있다. 반응성 사이트들에서의 결합 및 교차-결합은 발생되는 탄화 실리콘 막 (101) 내에 집합적으로 1 차 백본 또는 매트릭스를 형성할 수 있다.The silicon-containing precursor includes one or more Si-H bonds and/or one or more Si-Si bonds. However, it will be appreciated that the additional silicon-containing precursors may not necessarily include Si-H bonds or Si-Si bonds. These additional silicon-containing precursors may be provided simultaneously with a silicon-containing precursor having one or more Si-H bonds and/or Si-Si bonds. During the deposition process, Si-H bonds and/or Si-Si bonds are broken and serve as reactive sites to form bonds between silicon-containing precursors in the deposited silicon carbide film 101 . Broken bonds may also serve as sites for cross-linking during thermal processing performed during or after deposition. Bonding and cross-linking at the reactive sites can collectively form a primary backbone or matrix within the resulting silicon carbide film 101 .

일부 실시 예들에서, 프로세스 조건들은 탄화 실리콘 막 (101) 의 증착된 층의 Si-C 결합들 및 존재한다면, Si-O 결합들 및 Si-N 결합들을 보존하거나 실질적으로 보존할 수 있다. 따라서, 기판 (100) 에 인접한 반응 조건들은 Si-H 결합들 및/또는 Si-Si 결합들의 선택적인 파괴, 예를 들어, 파괴된 Si-H 결합들로부터 수소를 추출하지만, 반응 조건들은 Si-O 결합들로부터 산소, Si-N 결합들로부터 질소, 또는 Si-C 결합들로부터 탄소를 추출을 제공하지 않는다. 그러나, 산소와 같은 공-반응물질의 도입은 Si-C 결합들로부터 탄소를 추출할 수도 있다. 치환 반응들과 같이 동역학적으로 보다 덜 유리한 반응 메커니즘들을 포함하는, 다른 반응 메커니즘들이 기판 표면에 인접한 분위기에서 일어날 수도 있다는 것이 이해될 것이다. 일반적으로, 기술된 반응 조건들은 기판 (100) 의 노출된 면 (탄화 실리콘 막 (101) 이 증착되는 면) 에 존재한다. 이들은 기판 (100) 위로 약간의 거리, 예를 들어, 기판 (100) 위로 약 0.5 마이크로미터 내지 약 150 ㎜에 더 존재할 수도 있다. 사실상, 전구체의 활성화는 기판 (100) 위의 상당한 거리에서 가스상 (gas phase) 으로 일어날 수 있다. 통상적으로, 적절한 반응 조건들은 기판 (100) 의 전체 노출된 면에 걸쳐 균일하거나 실질적으로 균일할 것이지만, 특정한 적용 예들은 일부 변동을 허용할 수도 있다.In some embodiments, the process conditions may preserve or substantially preserve Si-C bonds and, if present, Si-O bonds and Si-N bonds of the deposited layer of silicon carbide film 101 . Thus, reaction conditions adjacent to substrate 100 yield selective breakage of Si-H bonds and/or Si-Si bonds, eg, extraction of hydrogen from broken Si-H bonds, while reaction conditions are Si-H bonds. It does not provide extraction of oxygen from O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. However, introduction of a co-reactant such as oxygen may extract carbon from the Si-C bonds. It will be appreciated that other reaction mechanisms may occur in an atmosphere adjacent to the substrate surface, including reaction mechanisms that are less kinetically favorable, such as displacement reactions. In general, the reaction conditions described exist on the exposed side of the substrate 100 (the side on which the silicon carbide film 101 is deposited). They may further exist at some distance above the substrate 100, for example from about 0.5 microns to about 150 mm above the substrate 100. In fact, activation of the precursor may occur in the gas phase over a significant distance above the substrate 100 . Typically, suitable reaction conditions will be uniform or substantially uniform across the entire exposed surface of the substrate 100, but specific applications may tolerate some variation.

실리콘-함유 전구체들에 더하여, 워크피스 (예를 들어, 기판 (100)) 에 인접한 분위기는 바람직하게 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종을 포함할 수 있다. 이러한 종의 예는 수소 라디칼들 (즉, 수소 원자 라디칼들) 을 포함한다. 일부 실시 예들에서, 수소 원자 라디칼들의 전부, 또는 실질적으로 전부, 또는 상당한 분획은 바닥 상태에 있을 수 있고, 예를 들어, 워크피스에 인접한 수소 원자 라디칼들의 적어도 약 90 % 또는 95 %는 바닥 상태에 있다. 특정한 실시 예들에서, 소스 가스는 헬륨과 같은 캐리어 가스에 제공된다. 예로서, 수소 가스는 약 1 내지 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다. 압력, 헬륨과 같은 캐리어 가스의 분획, 및 다른 프로세스 조건들은 수소 원자들이 재결합하지 않고 저 에너지 상태의 라디칼들로서 기판 (100) 과 직면하도록 선택된다.In addition to the silicon-containing precursors, the atmosphere adjacent to the workpiece (eg, substrate 100) can preferably contain one or more radical species in a substantially low energy state. Examples of such species include hydrogen radicals (ie, hydrogen atom radicals). In some embodiments, all, substantially all, or a substantial fraction of the hydrogen atom radicals can be in the ground state, for example, at least about 90% or 95% of the hydrogen atom radicals adjacent to the workpiece are in the ground state. there is. In certain embodiments, the source gas is provided in a carrier gas such as helium. As an example, hydrogen gas may be provided to the helium carrier at a concentration of about 1 to 10% hydrogen. The pressure, fraction of the carrier gas such as helium, and other process conditions are selected such that the hydrogen atoms do not recombine and encounter the substrate 100 as radicals in a lower energy state.

다른 곳에 설명된 바와 같이, 수소 가스는 수소 원자 라디칼들을 생성하도록 리모트 플라즈마 소스 내로 공급될 수도 있다. 리모트 플라즈마 소스는 기판 표면에 인접한 분위기 및 기판 표면으로부터 업스트림에 위치될 수도 있다. 일단 생성되면, 수소 원자 라디칼들은 여기된 에너지 상태일 수도 있다. 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 원자 라디칼들은 실리콘-함유 전구체의 비선택적인 분해를 유발할 수도 있다. 예를 들어, 여기된 상태의 수소 원자 라디칼들은 탄화 실리콘 막 (101) 의 조성 또는 물리적 또는 전기적 특성을 변경할 수 있는 Si-H 결합, Si-Si 결합, Si-N 결합, Si-O 결합, 및 Si-C 결합을 쉽게 파괴할 수 있다. 일부 구현 예들에서, 여기된 수소 원자 라디칼들이 에너지를 잃거나 방출할 때, 여기된 수소 원자 라디칼은 실질적으로 저 에너지 상태 수소 원자 라디칼 또는 바닥 상태 수소 원자 라디칼이 될 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 원자 라디칼들은 일반적으로 Si-O, Si-N, 및 Si-C 결합들을 보존하면서 Si-H 및 Si-Si 결합들을 선택적으로 파괴할 수 있다. 일부 구현 예들에서, 프로세스 조건들은 여기된 수소 원자 라디칼들이 실질적으로 저 에너지 상태 또는 바닥 상태 수소 원자 라디칼들을 형성하도록 에너지를 잃거나 방출하도록 제공될 수도 있다. 예를 들어, 리모트 플라즈마 소스 또는 연관된 컴포넌트들은 리모트 플라즈마 소스로부터 기판 (100) 으로 확산하는 수소 원자 라디칼들의 체류 시간이 여기된 수소 원자 라디칼의 에너제틱 완화 시간 (energetic relaxation time) 보다 길도록 설계될 수도 있다. 여기된 수소 원자 라디칼에 대한 에너제틱 완화 시간은 약 1x10-3초 이하일 수 있다.As described elsewhere, hydrogen gas may be supplied into the remote plasma source to generate atomic hydrogen radicals. The remote plasma source may be located in an atmosphere adjacent to the substrate surface and upstream from the substrate surface. Once created, hydrogen atom radicals may be in an excited energy state. For example, hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state). Excited hydrogen atom radicals may cause non-selective decomposition of the silicon-containing precursor. For example, hydrogen atom radicals in an excited state can change the composition or physical or electrical properties of the silicon carbide film 101 Si-H bond, Si-Si bond, Si-N bond, Si-O bond, and Si-C bonds can be easily broken. In some implementations, when the excited hydrogen atom radicals lose or release energy, the excited hydrogen atom radical may substantially become a low energy state hydrogen atom radical or a ground state hydrogen atom radical. Substantially lower energy or ground state hydrogen atom radicals can selectively break Si-H and Si-Si bonds while generally preserving Si-O, Si-N, and Si-C bonds. In some implementations, process conditions may be provided such that the excited hydrogen atomic radicals lose or release energy to form substantially lower energy state or ground state hydrogen atomic radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen atom radicals diffusing from the remote plasma source to the substrate 100 is longer than the energetic relaxation time of the excited hydrogen atom radical. there is. Energetic relaxation times for excited hydrogen atom radicals may be on the order of 1×10 −3 seconds or less.

수소 원자 라디칼들의 상당한 분획이 바닥 상태에 있는 상태는 다양한 기법들에 의해 달성될 수 있다. 이하에 기술된 바와 같은 일부 장치들은 이 상태를 달성하도록 설계된다. 장치 피처들 및 프로세스 제어 피처들은 수소 원자 라디칼들의 상당한 분획이 바닥 상태에 있는 약한 상태를 생성하도록 테스트되고 튜닝될 수 있다. 예를 들어, 장치는 플라즈마 소스의 다운스트림 즉, 기판 (100) 근방에서 대전된 입자들에 대해 동작되고 테스트될 수도 있다. 프로세스 및 장치는 기판 (100) 근방에 대전된 종이 실질적으로 존재하지 않을 때까지 튜닝될 수도 있다. 부가적으로, 장치 및 프로세스 피처들은 표준 실리콘-함유 전구체로부터 탄화 실리콘 막 (101) 을 생성하기 시작하는 구성으로 튜닝될 수도 있다. 이러한 막 증착을 지지하는 상대적으로 약한 조건들이 선택된다.A state in which a significant fraction of the hydrogen atom radicals are in the ground state can be achieved by a variety of techniques. Some devices, as described below, are designed to achieve this condition. Device features and process control features can be tested and tuned to produce a weak state in which a significant fraction of hydrogen atomic radicals are in the ground state. For example, the device may be operated and tested on charged particles downstream of the plasma source, i.e., near the substrate 100. The process and apparatus may be tuned until substantially no charged species are present in the vicinity of the substrate 100 . Additionally, the apparatus and process features may be tuned into a configuration that starts producing a silicon carbide film 101 from a standard silicon-containing precursor. Relatively weak conditions supporting such film deposition are selected.

라디칼 종의 다른 예들은 원소 산소 라디칼들 (원자 또는 이원자) 과 같은 산소-함유 종, 원소 질소 라디칼들 (원자 또는 이원자) 과 같은 질소-함유 종, 및 암모니아 라디칼들과 같은 N-H 함유 라디칼들을 포함하고, 질소는 막 내에 선택가능하게 혼입된다. N-H 함유 라디칼들의 예들은 메틸아민, 디메틸아민, 및 아닐린의 라디칼들을 포함하지만 이로 제한되지 않는다. 전술한 라디칼 종은 수소, 질소, N-H 함유 종, 또는 이들의 혼합물들을 포함하는 소스 가스로부터 생성될 수도 있다. 일부 실시 예들에서, 증착된 막의 실질적으로 모든 또는 상당한 분획의 원자들이 전구체 분자들에 의해 제공된다. 이러한 경우들에서, 증착 반응을 구동하도록 사용된 저 에너지 라디칼들은 배타적으로 수소일 수도 있고 또는 증착된 층의 질량에 실질적으로 기여하지 않는, 다른 종일 수도 있다. 일부 실시 예들에서, 이하에 더 상세히 논의된 바와 같이, 라디칼 종은 리모트 플라즈마 소스에 의해 생성될 수 있다. 일부 실시 예들에서, 보다 고 에너지 상태의 일부 라디칼들 또는 심지어 이온들이 잠재적으로 웨이퍼 평면 근방에 존재할 수 있다.Other examples of radical species include oxygen-containing species such as elemental oxygen radicals (atomic or diatomic), nitrogen-containing species such as elemental nitrogen radicals (atomic or diatomic), and N-H containing radicals such as ammonia radicals; , nitrogen is selectively incorporated into the membrane. Examples of N-H containing radicals include, but are not limited to, radicals of methylamine, dimethylamine, and aniline. The aforementioned radical species may be generated from a source gas containing hydrogen, nitrogen, N-H containing species, or mixtures thereof. In some embodiments, substantially all or a significant fraction of the atoms of the deposited film are provided by precursor molecules. In such cases, the low energy radicals used to drive the deposition reaction may be exclusively hydrogen or other species, which do not substantially contribute to the mass of the deposited layer. In some embodiments, as discussed in more detail below, the radical species may be generated by a remote plasma source. In some embodiments, some radicals or even ions in a higher energy state could potentially exist near the wafer plane.

일부 실시 예들에서, 프로세스 조건들은 Si-H 결합들 및/또는 Si-Si 결합들을 파괴하기에 충분한 실질적으로 저 에너지 상태의 라디칼 종을 채용하는 한편, Si-O, Si-N, 및 Si-C 결합들을 실질적으로 보존한다. 이러한 프로세스 조건들은 바닥 상태 위의 상태들과 같은 고 에너지 상태들의 상당한 양의 이온들, 전자들, 또는 라디칼 종들을 갖지 않을 수도 있다. 일부 실시 예들에서, 막에 인접한 영역에서의 이온들의 농도는 약 107/㎤보다 크지 않다. 상당한 양의 이온들 또는 고 에너지 라디칼들의 존재는 Si-O, Si-N, 및 Si-C 결합들을 파괴하는 경향이 있을 수도 있고, 이는 원치 않은 전기적 특성들 (예를 들어, 고 유전 상수들 및/또는 저 파괴 전압들), 및 불량한 컨포멀성을 갖는 막들을 생성할 수 있다. 과도하게 반응성인 분위기는 (워크피스 측벽들에 화학적으로 또는 물리적으로 부착되는 경향을 나타내는) 고 부착 계수들을 갖는 반응성 전구체 단편들을 생성하여, 불량한 컨포멀성을 발생시킨다고 여겨진다.In some embodiments, the process conditions employ a radical species of a substantially low energy state sufficient to break Si-H bonds and/or Si-Si bonds, while Si-O, Si-N, and Si-C Substantial preservation of bonds. These process conditions may not have significant amounts of ions, electrons, or radical species in high energy states, such as those above the ground state. In some embodiments, the concentration of ions in the region adjacent to the membrane is no greater than about 10 7 /cm 3 . The presence of significant amounts of ions or high-energy radicals may tend to break Si-O, Si-N, and Si-C bonds, resulting in undesirable electrical properties (e.g., high dielectric constants and /or low breakdown voltages), and films with poor conformality. It is believed that an overly reactive atmosphere produces reactive precursor fragments with high adhesion coefficients (which tend to adhere chemically or physically to the workpiece sidewalls), resulting in poor conformability.

실리콘-함유 전구체들은 통상적으로 기판 (100) 에 인접한 분위기에서 다른 종, 특히 캐리어 가스와 함께 전달된다. 일부 구현 예들에서, 실리콘-함유 전구체들은 라디칼 종 및 다른 반응성 종 및/또는 캐리어 가스들을 포함하는 다른 종과 함께 존재한다. 일부 실시 예들에서, 실리콘-함유 전구체들은 혼합물로서 도입될 수도 있다. 증착 반응 표면으로부터 업스트림에서, 실리콘-함유 전구체들은 불활성 캐리어 가스와 혼합될 수 있다. 예시적인 불활성 캐리어 가스들은 아르곤 (Ar) 및 헬륨 (He) 을 포함하지만 이로 제한되지 않는다. 이에 더하여, 실리콘-함유 전구체들은 주 종 (major species) 및 부 종 (minor species) 을 갖는 혼합물로 도입될 수 있고, 부 종은 상대적으로 낮은 농도로 탄화 실리콘 막 (101) 내에 존재하는, 일부 원소 또는 구조적 특징 (예를 들어, 고리 구조, 케이지 구조, 불포화 결합, 등) 을 포함한다. 그러나, 부 종은 탄화 실리콘 막 (101) 의 조성 또는 구조적 특징에 상당히 기여하지 않을 수도 있다는 것이 이해될 것이다. 복수의 전구체들은 발생되는 탄화 실리콘 막 (101) 내에 1 차 백본 또는 매트릭스를 형성하기에 적절하게 등몰 또는 상대적으로 유사한 비율로 존재할 수도 있다. 다른 실시 예들에서, 상이한 전구체들의 상대적인 양들은 등몰성으로부터 실질적으로 치우친다 (skew).Silicon-containing precursors are typically delivered along with other species, particularly a carrier gas, in an atmosphere adjacent to the substrate 100 . In some implementations, silicon-containing precursors are present with radical species and other reactive species and/or other species including carrier gases. In some embodiments, silicon-containing precursors may be introduced as a mixture. Upstream from the deposition reaction surface, silicon-containing precursors may be mixed with an inert carrier gas. Exemplary inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursors may be introduced as a mixture having a major species and a minor species, the minor species being some element, which is present in the silicon carbide film 101 at a relatively low concentration. or structural features (eg, ring structure, cage structure, unsaturated bonds, etc.). However, it will be appreciated that the edema species may not contribute significantly to the composition or structural characteristics of the silicon carbide film 101. The plurality of precursors may be present in equimolar or relatively similar proportions as appropriate to form a primary backbone or matrix in the resulting silicon carbide film 101 . In other embodiments, the relative amounts of the different precursors skew substantially from equimolarity.

일부 실시 예들에서, 하나 이상의 실리콘-함유 전구체들은 본질적으로 막 질량의 약 5 원자% 미만 또는 약 2 원자% 미만을 제공하는 리모트 플라즈마로부터의 소량의 수소 또는 다른 원소와 함께 증착된 탄화 실리콘 막 (101) 의 모든 질량을 제공한다. 일부 실시 예들에서, 라디칼 종 및 하나 이상의 실리콘-함유 전구체들만이 증착된 탄화 실리콘 막 (101) 의 조성에 기여한다. 다른 실시 예들에서, 증착 반응은 증착된 탄화 실리콘 막 (101) 의 조성에 기여할 수도 있고 기여하지 않을 수도 있는, 하나 이상의 실리콘-함유 전구체들 및 라디칼 종 이외의 공-반응물질을 포함한다. 이러한 공-반응물질들의 예들은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화 질소 (N2O), 암모니아 (NH3), 다이아젠 (diazene) (N2H2), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 디보란 (B2H6), 및 이들의 조합을 포함한다. 이러한 재료들은 질화제, 산화제, 환원제, 등으로 사용될 수도 있다. 일부 경우들에서, 이들은 실리콘-함유 전구체와 함께 제공된 탄소의 일부를 제거하거나 첨가함으로써 증착된 막 내의 탄소의 양을 튜닝하도록 사용될 수 있다. 비-수소 공-반응물질을 채용하는 일부 구현 예들에서, 공-반응물질은 실리콘-함유 전구체와 동일한 플로우 경로 예를 들어, 통상적으로 플라즈마에 직접 노출없이, 가스 유출구 또는 샤워헤드를 포함하는 경로를 통해 반응 챔버로 도입된다. 일부 실시 예들에서, 산소 및/또는 이산화탄소는 증착 동안 막 또는 전구체로부터 탄소를 제거함으로써 탄화 실리콘 막 (101) 의 조성을 변경하도록 전구체와 함께 도입된다. 비-수소 공-반응물질을 채용하는 일부 구현 예들에서, 공-반응물질이 적어도 부분적으로 라디칼들 및/또는 이온들로 변환되도록, 공-반응물질은 수소와 동일한 플로우 경로를 통해 반응 챔버로 도입된다. 이러한 구현 예들에서, 수소 라디칼들 및 공-반응물질 라디칼들 모두는 증착된 탄화 실리콘 막 (101) 을 생성하도록 실리콘-함유 전구체(들)와 반응한다.In some embodiments, the one or more silicon-containing precursors are a deposited silicon carbide film (101 ) gives all the masses of In some embodiments, only the radical species and one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101 . In other embodiments, the deposition reaction includes a co-reactant other than one or more silicon-containing precursors and a radical species, which may or may not contribute to the composition of the deposited silicon carbide film 101 . Examples of these co-reactants are carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ) , nitrous oxide (N 2 O), ammonia (NH 3 ), diazene (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane (B 2 H 6 ), and combinations thereof. These materials may be used as nitriding agents, oxidizing agents, reducing agents, and the like. In some cases, they can be used to tune the amount of carbon in the deposited film by removing or adding some of the carbon provided with the silicon-containing precursor. In some implementations employing a non-hydrogen co-reactant, the co-reactant can flow through the same flow path as the silicon-containing precursor, for example, a path that typically includes a gas outlet or showerhead, without direct exposure to a plasma. into the reaction chamber through In some embodiments, oxygen and/or carbon dioxide is introduced along with the precursor to change the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber through the same flow path as the hydrogen, such that the co-reactant is at least partially converted to radicals and/or ions. do. In these implementations, both hydrogen radicals and co-reactant radicals react with the silicon-containing precursor(s) to produce the deposited silicon carbide film 101 .

공-반응물질들이 사용되고 이들이 라디칼들 (예를 들어, 수소) 로 변환되는 종과 함께 챔버로 도입되는 특정한 실시 예들에서, 이들은 라디칼들 (예를 들어, 수소) 및 헬륨과 같은 임의의 캐리어 가스(들)의 소스를 포함하는 반응 챔버의 다른 가스들과 비교하여 상대적으로 소량으로 반응 챔버에 제공될 수도 있다. 예를 들어, 공-반응물질은 약 0.05 중량% 이하, 또는 약 0.01 중량% 이하, 또는 약 0.001 중량% 이하로 프로세스 가스들에 존재할 수도 있다. 예를 들어, (플라즈마 소스로 들어가는) 반응물질 혼합물은 약 10 내지 20 리터/분 (L/m) He, 약 200 내지 500 sccm (standard cubic centimeters per minute) H2, 및 약 1 내지 10 sccm 산소일 수도 있다. 그러나, 일부 구현 예들에서, 공-반응물질은 약 0.05 중량% 이상, 또는 약 1 중량% 이상, 또는 약 20 중량% 이상으로 프로세스 가스들에 존재할 수도 있다는 것이 이해될 것이다. 공-반응물질들이 실리콘-함유 전구체와 함께 (예를 들어, 가스 유출구 또는 샤워헤드를 통해) 반응 챔버로 도입될 때, 이들은 예를 들어 약 2 중량% 이하 또는 약 0.1 중량% 이하의 보다 높은 농도로 존재할 수도 있다. 공-반응물질이 상대적으로 약한 반응물질 (예를 들어, 이산화탄소와 같은 약한 산화제) 일 때, 약 10 중량% 이하 또는 약 4 중량% 이하와 같은 훨씬 더 높은 농도로 존재할 수도 있다. 공-반응물질이 첨가제일 때, 약 10 중량% 이상 또는 20 중량% 이상과 같은 훨씬 보다 높은 농도로 존재할 수도 있다.In certain embodiments where co-reactants are used and they are introduced into the chamber along with a species that is converted to radicals (eg hydrogen), they are free from radicals (eg hydrogen) and any carrier gas such as helium ( s) may be provided to the reaction chamber in relatively small amounts compared to other gases in the reaction chamber comprising the source. For example, the co-reactant may be present in the process gases at about 0.05 wt% or less, or about 0.01 wt% or less, or about 0.001 wt% or less. For example, the reactant mixture (entering the plasma source) contains about 10 to 20 liters per minute (L/m) He, about 200 to 500 standard cubic centimeters per minute (sccm) H 2 , and about 1 to 10 sccm oxygen. It could be. However, it will be appreciated that in some implementations, the co-reactant may be present in the process gases at greater than about 0.05% by weight, or greater than about 1% by weight, or greater than about 20% by weight. When the co-reactants are introduced into the reaction chamber along with the silicon-containing precursor (eg, via a gas outlet or showerhead), they are at higher concentrations, such as about 2% by weight or less, or about 0.1% by weight or less. may exist as When the co-reactant is a relatively weak reactant (eg, a weak oxidizer such as carbon dioxide), it may be present in even higher concentrations, such as about 10% by weight or less or about 4% by weight or less. When the co-reactant is an additive, it may be present in even higher concentrations, such as about 10% by weight or greater or 20% by weight or greater.

기판 (100) 에 인접한 분위기의 온도는 증착 반응을 용이하게 하는 임의의 적합한 온도일 수 있지만, 때때로 탄화 실리콘 막 (101) 을 포함하는 디바이스의 적용에 의해 제한된다. 일부 실시 예들에서, 기판 (100) 에 인접한 분위기의 온도는 탄화 실리콘 막 (101) 의 증착 동안 기판 (100) 이 지지되는 페데스탈의 온도에 의해 대체로 제어될 수 있다. 일부 실시 예들에서, 동작 온도는 약 50 ℃ 내지 약 500 ℃일 수 있다. 예를 들어, 동작 온도는 많은 집적 회로 적용 예들에서 약 250 ℃ 내지 약 400 ℃일 수 있다. 일부 실시 예들에서, 온도를 상승시키는 것은 기판 표면 상에서 증가된 교차-결합을 야기할 수 있다.The temperature of the atmosphere adjacent to the substrate 100 can be any suitable temperature that facilitates the deposition reaction, but is sometimes limited by the application of a device comprising a silicon carbide film 101 . In some embodiments, the temperature of the atmosphere adjacent to the substrate 100 can be generally controlled by the temperature of the pedestal on which the substrate 100 is supported during deposition of the silicon carbide film 101 . In some embodiments, the operating temperature may be between about 50 °C and about 500 °C. For example, the operating temperature may be between about 250 °C and about 400 °C for many integrated circuit applications. In some embodiments, raising the temperature may cause increased cross-linking on the substrate surface.

기판 (100) 에 인접한 분위기의 압력은 반응 챔버 내에서 반응성 라디칼들을 생성하기 위한 임의의 적합한 압력일 수 있다. 일부 실시 예들에서, 압력은 약 35 Torr 이하일 수 있다. 예를 들어, 압력은 마이크로파 생성된 플라즈마를 구현하는 실시 예들에서와 같이, 약 10 Torr 내지 약 20 Torr일 수 있다. 다른 예들에서, 압력은 RF (radio-frequency) 생성된 플라즈마를 구현하는 실시 예들에서와 같이, 약 5 Torr 미만, 또는 약 0.2 Torr 내지 약 5 Torr일 수 있다.The pressure of the atmosphere adjacent to the substrate 100 can be any suitable pressure to generate reactive radicals within the reaction chamber. In some embodiments, the pressure may be less than or equal to about 35 Torr. For example, the pressure may be between about 10 Torr and about 20 Torr, as in embodiments implementing microwave-generated plasma. In other examples, the pressure may be less than about 5 Torr, or between about 0.2 Torr and about 5 Torr, as in embodiments implementing radio-frequency (RF) generated plasma.

기판 (100) 에 인접한 분위기는 리모트 플라즈마 CVD에 의해 기판 (100) 상에 탄화 실리콘 막 (101) 의 증착을 제공한다. 소스 가스는 리모트 플라즈마 소스에 공급되고, 전력은 소스 가스로 하여금 해리되게 하고 여기된 에너지 상태의 이온들 및 라디칼들을 생성하게 할 수도 있는 리모트 플라즈마 소스로 제공된다. 여기 후, 여기된 에너지 상태의 라디칼들은 실질적으로 저 에너지 상태 라디칼들 또는 바닥 상태 라디칼들, 예컨대 바닥 상태 수소 라디칼들로 완화된다. 실리콘-함유 전구체의 결합들은 완화된 에너지 상태의 수소 라디칼들에 의해 선택적으로 파괴될 수도 있다. 공-반응물질 또는 부가적인 전구체의 결합들은 공-반응물질 또는 부가적인 전구체를 활성화시키기 위해 완화된 에너지 상태의 수소 라디칼들에 의해 선택적으로 파괴될 수도 있다.An atmosphere adjacent to the substrate 100 provides for the deposition of a silicon carbide film 101 on the substrate 100 by remote plasma CVD. A source gas is supplied to the remote plasma source and power is provided to the remote plasma source which may cause the source gas to dissociate and generate ions and radicals in an excited energy state. After excitation, the excited energy state radicals are substantially relaxed to lower energy state radicals or ground state radicals, such as ground state hydrogen radicals. Bonds in the silicon-containing precursor may be selectively broken by hydrogen radicals in a relaxed energy state. Bonds of the co-reactant or additional precursor may be selectively broken by hydrogen radicals in a relaxed energy state to activate the co-reactant or additional precursor.

탄화 실리콘 막들은 반도체 디바이스들에서 빈번하게 사용된다. 예를 들어, 다른 적용 예들보다도, 도핑되거나 도핑되지 않은 탄화 실리콘 막들은 금속 확산 배리어들, 에칭 정지 층들, 하드 마스크 층들, 소스 주입 및 드레인 주입을 위한 게이트 스페이서들, MRAM (magnetoresistive random-access memory) 또는 RRAM (resistive random-access memory) 을 위한 캡슐화 배리어, 및 에어 갭들에서 기밀 확산 배리어로서 채용될 수도 있다. 도 1b 내지 도 1e는 다양한 적용 예들에서 탄화 실리콘 막들을 포함하는 구조체들의 단면들을 예시한다. 도 1b는 기판의 피처들 상에 컨포멀하게 증착된 탄화 실리콘 박막을 예시한다. 도 1c는 트랜지스터의 게이트 전극 구조체의 측벽들 상의 탄화 실리콘 수직 구조체들을 예시한다. 도 1d는 에어 갭 타입 금속 화 층의 구리 라인들의 노출된 측벽들 상의 탄화 실리콘 수직 구조체들을 예시한다. 도 1e는 다공성 유전체 재료들에 대한 탄화 실리콘 기공 시일링제들을 예시한다. 이들 적용 예들 각각은 이하에 더 상세히 논의된다.Silicon carbide films are frequently used in semiconductor devices. For example, doped or undoped silicon carbide films can be used as metal diffusion barriers, etch stop layers, hard mask layers, gate spacers for source and drain implants, magnetoresistive random-access memory (MRAM), among other applications. or as an encapsulation barrier for resistive random-access memory (RRAM), and as an airtight diffusion barrier in air gaps. 1B-1E illustrate cross-sections of structures comprising silicon carbide films in various applications. 1B illustrates a silicon carbide thin film conformally deposited over features of a substrate. 1C illustrates silicon carbide vertical structures on sidewalls of a gate electrode structure of a transistor. 1D illustrates silicon carbide vertical structures on exposed sidewalls of copper lines of an air gap type metallization layer. 1E illustrates silicon carbide pore sealing agents for porous dielectric materials. Each of these applications are discussed in more detail below.

전구체들의 화학적 구조Chemical structure of precursors

논의된 바와 같이, 탄화 실리콘 막들을 형성하는데 채용된 전구체들은 실리콘-함유 전구체들을 포함할 수 있고, 실리콘-함유 전구체들 중 적어도 일부는 적어도 하나의 Si-H 및/또는 적어도 하나의 Si-Si 결합을 갖는다. 특정한 실시 예들에서, 실리콘-함유 전구체는 모든 실리콘 원자 상에 최대 하나의 수소 원자를 갖는다. 따라서, 예를 들어, 하나의 실리콘 원자를 갖는 전구체는 실리콘 원자에 결합된 최대 1 개의 수소 원자를 갖고; 2 개의 실리콘 원자를 갖는 전구체는 일 실리콘 원자에 결합된 일 수소 원자 및 선택가능하게 두번째 실리콘 원자에 결합된 또 다른 수소 원자를 갖고; 3 개의 실리콘 원자들을 갖는 전구체는 일 실리콘 원자에 결합된 적어도 하나의 수소 원자 및 선택 가능하게 1 또는 2 개의 남아있는 실리콘 원자들에 결합된 1 또는 2 개 이상의 수소 원자들을 갖는, 등을 한다. 이에 더하여, 실리콘-함유 전구체들은 적어도 하나의 Si-O 결합, 적어도 하나의 Si-N 결합, 및/또는 적어도 하나의 Si-C 결합을 포함할 수도 있다. 임의의 수의 적절한 전구체들이 탄화 실리콘 막들을 형성하는데 사용될 수 있지만, 전구체들 중 적어도 일부는 적어도 하나의 Si-H 결합 또는 Si-Si 결합, 및 선택가능하게 적어도 하나의 Si-O 결합, Si-N 결합, 및/또는 Si-C 결합을 갖는 실리콘-함유 전구체들을 포함할 것이다. 다양한 구현 예들에서, 실리콘-함유 전구체(들)는 O-C 또는 N-C 결합들을 포함하지 않는다; 예를 들어, 전구체(들)는 알콕시 (-O-R) 를 함유하지 않고, 여기서 R은 탄화수소기와 같은 유기기, 또는 아민 (-NR1R2) 기이고, 여기서 R1 및 R2는 독립적으로 수소기 또는 유기기이다. 이러한 기들은 이들이 상주하는 전구체들 또는 단편들에 고 부착 계수들을 부여할 수도 있다고 여겨진다. As discussed, precursors employed to form silicon carbide films may include silicon-containing precursors, at least some of which contain at least one Si-H and/or at least one Si-Si bond. have In certain embodiments, a silicon-containing precursor has at most one hydrogen atom on every silicon atom. Thus, for example, a precursor having one silicon atom has at most one hydrogen atom bonded to the silicon atom; A precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to a second silicon atom; A precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or two or more hydrogen atoms bonded to one or two remaining silicon atoms, and so forth. In addition, the silicon-containing precursors may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Any number of suitable precursors may be used to form the silicon carbide films, but at least some of the precursors contain at least one Si-H bond or Si-Si bond, and optionally at least one Si-O bond, Si- silicon-containing precursors having N bonds, and/or Si-C bonds. In various implementations, the silicon-containing precursor(s) do not contain OC or NC bonds; For example, the precursor(s) do not contain an alkoxy (-OR), where R is an organic group, such as a hydrocarbon group, or an amine (-NR 1 R 2 ) group, where R 1 and R 2 are independently hydrogen group or organic group. It is believed that such groups may impart high sticking coefficients to the precursors or fragments in which they reside.

특정한 실시 예들에서, 탄화 실리콘 막에 제공된 일부 탄소는 실리콘-함유 전구체 상의 하나 이상의 탄화수소 모이어티들 (moieties) 에 의해 제공될 수도 있다. 이러한 모이어티들은 알킬기들, 알켄기들, 알킨기들, 아릴기들, 등으로부터 일 수도 있다. 특정한 실시 예들에서, 탄화수소기는 증착 동안 Si-H 및/또는 Si-Si 결합 파괴 반응의 입체 장애 (steric hindrance) 를 최소화하도록 단일 탄소 원자를 갖는다. 그러나, 전구체들은 단일-탄소기들로 제한되지 않는다; 2, 3, 4, 5, 또는 6 개의 탄소 원자들과 같은 보다 많은 수의 탄소 원자들이 사용될 수도 있다. 특정한 실시 예들에서, 탄화수소기는 선형이다. 특정한 실시 예들에서, 탄화수소기는 고리형이다.In certain embodiments, some of the carbon provided to the silicon carbide film may be provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be from alkyl groups, alkene groups, alkyne groups, aryl groups, and the like. In certain embodiments, the hydrocarbon group has a single carbon atom to minimize steric hindrance of Si-H and/or Si-Si bond breaking reactions during deposition. However, precursors are not limited to single-carbon groups; Higher numbers of carbon atoms may be used, such as 2, 3, 4, 5, or 6 carbon atoms. In certain embodiments, the hydrocarbon group is linear. In certain embodiments, the hydrocarbon group is cyclic.

특정한 실시 예들에서, 탄화 실리콘 막에 제공된 탄소의 일부는 탄소-함유 전구체 내의 하나 이상의 탄화수소 분자들에 의해 제공될 수도 있다. 이러한 탄화수소 분자들은 2, 3, 4, 5, 6, 또는 7 개의 탄소 원자들과 같은 다수의 탄소 원자들이 사용될 수도 있는, 탄소-대-탄소 사슬들을 포함할 수도 있다. 일부 구현 예들에서, 탄화수소 분자들은 하나 이상의 탄소 이중 결합들 및/또는 탄소 삼중 결합들을 포함한다.In certain embodiments, some of the carbon provided to the silicon carbide film may be provided by one or more hydrocarbon molecules in the carbon-containing precursor. These hydrocarbon molecules may include carbon-to-carbon chains, in which multiple carbon atoms may be used, such as 2, 3, 4, 5, 6, or 7 carbon atoms. In some embodiments, hydrocarbon molecules contain one or more carbon double bonds and/or carbon triple bonds.

일부 실시 예들에서, 실리콘-함유 전구체는 화학적 분류에 속한다. 다른 화학적 부류들의 실리콘-함유 전구체들이 채용될 수도 있고 실리콘-함유 전구체들은 이하에 논의된 화학적 부류들로 제한되지 않는다는 것이 이해될 것이다.In some embodiments, a silicon-containing precursor belongs to a chemical class. It will be appreciated that other chemical classes of silicon-containing precursors may be employed and that silicon-containing precursors are not limited to the chemical classes discussed below.

일부 실시 예들에서, 실리콘-함유 전구체는 실록산일 수 있다. 일부 실시 예들에서, 실록산은 고리형일 수도 있다. 고리형 실록산들은 2,4,6,8-테트라메틸사이클로테트라실록산 (TMCTS), 옥타메틸사이클로테트라실록산 (OMCTS), 및 헵타메틸사이클로테트라실록산 (HMCTS) 과 같은 사이클로테트라실록산들을 포함할 수도 있다. 다른 고리형 실록산들은 또한 이로 제한되는 것은 아니지만 사이클로트리실록산들 및 사이클로펜타실록산들을 포함할 수 있다. 고리형 실록산들을 사용하는 실시 예들은 고리의 반경에 대응하는 기공들의 사이즈를 가진 탄화 실리콘 막 내로 다공성을 도입할 수 있는 고리 구조들이다. 예를 들어, 사이클로테트라실록산 고리는 약 6.7 Å의 반경을 가질 수 있다.In some embodiments, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. Cyclic siloxanes may include cyclotetrasiloxanes such as 2,4,6,8-tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), and heptamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are ring structures that can introduce porosity into the silicon carbide film with the size of the pores corresponding to the radius of the ring. For example, a cyclotetrasiloxane ring may have a radius of about 6.7 Å.

일부 실시 예들에서, 실록산은 3 차원 구조 또는 케이지된 구조를 가질 수도 있다. 도 2는 대표적인 케이지된 실록산 전구체들의 예들을 예시한다. 케이지된 실록산들은 다면체 또는 임의의 3-D 구조를 형성하도록 산소 원자들을 통해 서로 브리지된 실리콘 원자들을 갖는다. 케이지된 실록산 전구체 분자의 예는 실세스퀴옥산이다. 케이지된 실록산 구조체들은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, Cleemput 등에 의해 공동으로 소유된 미국 특허 번호 제 6,576,345 호에 더 상세히 기술된다. 고리형 실록산들과 같이, 케이지된 실록산은 탄화 실리콘 막 내로 다공성을 도입할 수 있다. 일부 실시 예들에서, 다공성 스케일은 메조포러스 (mesoporous) 이다.In some embodiments, siloxane may have a three-dimensional structure or a caged structure. 2 illustrates examples of representative caged siloxane precursors. Caged siloxanes have silicon atoms bridged to each other via oxygen atoms to form a polyhedron or any 3-D structure. An example of a caged siloxane precursor molecule is silsesquioxane. Caged siloxane structures are described in more detail in commonly owned U.S. Patent No. 6,576,345 by Cleemput et al., which is incorporated herein by reference in its entirety for all purposes. Like cyclic siloxanes, caged siloxanes can introduce porosity into silicon carbide films. In some embodiments, the porous scale is mesoporous.

일부 실시 예들에서, 실록산은 선형일 수도 있다. 적합한 선형 실록산들의 예들은 이로 제한되는 것은 아니지만 펜타메틸디실록산 (PMDSO) 및 테트라메틸디실록산 (TMDSO) 과 같은 디실록산들, 및 헥사메틸트리실록산, 헵타메틸트리실록산과 같은 트리실록산들을 포함한다.In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to, disiloxanes such as pentamethyldisiloxane (PMDSO) and tetramethyldisiloxane (TMDSO), and trisiloxanes such as hexamethyltrisiloxane, heptamethyltrisiloxane.

일부 실시 예들에서, 실리콘-함유 전구체는 알킬실란 또는 다른 탄화수소-치환된 실란일 수 있다. 알킬실란들은 하나 이상의 알킬기들이 결합될 뿐만 아니라 하나 이상의 수소 원자들이 결합된 중심 실리콘 원자를 포함한다. 특정한 실시 예들에서, 임의의 하나 이상의 알킬기들은 1 내지 5 개의 탄소 원자들을 함유한다. 탄화수소기들은 포화되거나 불포화될 수도 있다 (예를 들어, 알켄 (예를 들어, 비닐), 알킨, 및 방향족기들). 예들은 트리메틸실란 (3MS), 트리에틸실란, 펜타메틸 디실라메탄 ((CH3)2Si-CH2-Si(CH3)3), 및 디메틸실란 (2MS) 을 포함하지만 이로 제한되지 않는다.In some embodiments, the silicon-containing precursor may be an alkylsilane or other hydrocarbon-substituted silane. Alkylsilanes contain a central silicon atom to which one or more hydrogen atoms are attached as well as one or more alkyl groups attached. In certain embodiments, any one or more alkyl groups contain 1 to 5 carbon atoms. Hydrocarbon groups may be saturated or unsaturated (eg, alkene (eg, vinyl), alkyne, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyl disilamethane ((CH 3 ) 2 Si-CH 2 -Si(CH 3 ) 3 ), and dimethylsilane (2MS).

일부 실시 예들에서, 실리콘-함유 전구체는 알콕시실란일 수 있다. 그러나, 일부 실시 예들에서, 실리콘-함유 전구체는 알콕시기들의 존재를 방지하기 위해 알콕시실란이 아니라는 것이 이해될 수도 있다. 알콕시실란은 하나 이상의 알콕시기가 결합되고 하나 이상의 수소 원자가 결합된 중심 실리콘 원자를 포함한다. 예들은 트리메톡시실란 (TMOS), 디메톡시실란 (DMOS), 메톡시실란 (MOS), 메틸디메톡시실란 (MDMOS), 디에티옥시메틸실란 (DEMS), 디메틸에톡시실란 (DMES), 및 디메틸메톡시실란 (DMMOS) 을 포함하지만, 이로 제한되지 않는다.In some embodiments, the silicon-containing precursor may be an alkoxysilane. However, it may be appreciated that in some embodiments, the silicon-containing precursor is not an alkoxysilane to prevent the presence of alkoxy groups. An alkoxysilane contains a central silicon atom to which one or more alkoxy groups are bonded and to which one or more hydrogen atoms are bonded. Examples are trimethoxysilane (TMOS), dimethoxysilane (DMOS), methoxysilane (MOS), methyldimethoxysilane (MDMOS), diethoxymethylsilane (DEMS), dimethylethoxysilane (DMES), and dimethylmethoxysilane (DMMOS), but is not limited thereto.

디실란, 트리실란, 또는 다른 고차 실란이 모노실란 대신 사용될 수도 있다. 알킬실란 부류로부터 이러한 디실란의 일 예는 헥사메틸디실란 (HMDS) 이다. 알킬실란 부류로부터 디실란의 또 다른 예는 펜타메틸디실란 (PMDS) 을 포함할 수 있다. 다른 타입들의 알킬실란들은 실리콘 원자에 결합된 탄소뿐만 아니라 실리콘 원자에 결합된 알킬기를 갖는 분기된 폴리머 구조를 가질 수 있는 알킬카보실란들을 포함할 수 있다. 예들은 디메틸 트리메틸실릴 메탄 (DTMSM) 및 비스-디메틸실릴 에탄 (BDMSE) 을 포함한다. 일부 실시 예들에서, 실리콘 원자들 중 하나는 부착된 탄소-함유 또는 탄화수소-함유기를 가질 수 있고, 실리콘 원자들 중 하나는 부착된 수소 원자를 가질 수 있다.Disilane, trisilane, or other higher order silanes may be used instead of monosilane. One example of such a disilane from the class of alkylsilanes is hexamethyldisilane (HMDS). Another example of a disilane from the class of alkylsilanes may include pentamethyldisilane (PMDS). Other types of alkylsilanes may include alkylcarbosilanes, which may have a branched polymer structure having an alkyl group bonded to a silicon atom as well as a carbon bonded to a silicon atom. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE). In some embodiments, one of the silicon atoms may have a carbon-containing or hydrocarbon-containing group attached, and one of the silicon atoms may have a hydrogen atom attached.

일부 실시 예들에서, 실리콘-함유 전구체는 실리콘-질소 하이드라이드 (예를 들어, 실라잔) 와 같은 질소-함유 화합물일 수 있다. 일반적으로, 이러한 화합물들은 탄소를 함유하지만, 실리콘 원자들에만 결합되고 질소 원자들에는 결합되지 않는다. 특정한 실시 예들에서, 질소-함유 화합물은 어떠한 탄소-질소 결합도 갖지 않는다. 특정한 실시 예들에서, 질소-함유 화합물은 어떠한 아민 모이어티들 (-C-NR1R2) 도 갖지 않고, 여기서 R1 및 R2는 수소 원자들 및 알킬기들, 알켄기들, 또는 알킨기들과 같은 탄화수소기들과 같은 동일하거나 상이한 기들이다. 적합한 실리콘-질소 전구체들의 예들은 하나 이상의 실리콘 원자들에 결합된 하나 이상의 탄화수소 모이어티들 및 하나 이상의 실리콘 원자들에 결합된 하나 이상의 수소 원자들을 함유하는 고리형 실라잔 및 선형 실라잔과 같은 다양한 실라잔들을 포함한다. 실라잔들의 예들은 메틸-치환된 디실라잔들 및 트리실라잔들, 예컨대 테트라메틸디실라잔 및 헥사메틸트리실라잔을 포함한다.In some embodiments, the silicon-containing precursor may be a nitrogen-containing compound such as a silicon-nitrogen hydride (eg, silazanes). Generally, these compounds contain carbon, but are bonded only to silicon atoms and not to nitrogen atoms. In certain embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moieties (-C-NR 1 R 2 ), where R 1 and R 2 are hydrogen atoms and alkyl groups, alkene groups, or alkyne groups and identical or different groups, such as hydrocarbon groups. Examples of suitable silicon-nitrogen precursors are various silazanes such as cyclic silazanes and linear silazanes containing one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Includes cups. Examples of silazanes include methyl-substituted disilazanes and trisilazanes such as tetramethyldisilazane and hexamethyltrisilazane.

탄화 실리콘을 증착할 때, 복수의 실리콘-함유 전구체들이 프로세스 가스에 존재할 수 있다. 예를 들어, 실록산 및 알킬실란이 함께 사용될 수도 있고, 또는 실록산 및 알콕시실란이 함께 사용될 수도 있다. 개별 전구체들의 상대적인 비율은 선택된 전구체들의 화학적 구조들 및 발생되는 탄화 실리콘 막의 적용에 기초하여 선택될 수 있다. 예를 들어, 실록산의 양은 이하에 보다 상세히 논의된 바와 같이 다공성 막을 생성하기 위해 몰 백분율의 실란의 양보다 많을 수 있다.When depositing silicon carbide, a plurality of silicon-containing precursors may be present in the process gas. For example, a siloxane and an alkylsilane may be used together, or a siloxane and an alkoxysilane may be used together. The relative proportions of the individual precursors may be selected based on the chemical structures of the selected precursors and the application of the resulting silicon carbide film. For example, the amount of siloxane can be greater than the amount of mole percent silane to create a porous membrane, as discussed in more detail below.

산소 도핑된 탄화 실리콘 막들을 증착하기 위해, 적합한 전구체들의 예들은 헵타메틸사이클로테트라실록산 (HMCTS) 및 테트라메틸사이클로테트라실록산과 같은 사이클로테트라실록산들과 같은 고리형 실록산들을 포함할 수 있다. 다른 고리형 실록산들은 또한 이로 제한되는 것은 아니지만 사이클로트리실록산들 및 사이클로펜타실록산들을 포함할 수 있다. 산소 도핑된 탄화 실리콘 막들을 증착하기 위해, 적합한 전구체들의 다른 예들은, 이로 제한되는 것은 아니지만, 디실록산들, 예컨대 펜타메틸디실록산 (PMDSO), 테트라메틸디실록산 (TMDSO), 헥사메틸트리실록산, 및 헵타메틸트리실록산과 같은 선형 실록산들을 포함한다.For depositing oxygen doped silicon carbide films, examples of suitable precursors may include cyclic siloxanes such as heptamethylcyclotetrasiloxane (HMCTS) and cyclotetrasiloxanes such as tetramethylcyclotetrasiloxane. Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Other examples of suitable precursors for depositing oxygen doped silicon carbide films include, but are not limited to, disiloxanes such as pentamethyldisiloxane (PMDSO), tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and linear siloxanes such as heptamethyltrisiloxane.

도핑되지 않은 탄화 실리콘 막들을 증착하기 위해, 적합한 전구체들의 예들은 예를 들어, 1 내지 5 개의 탄소 원자들을 함유하는 하나 이상의 알킬기, 알켄기, 및/또는 알킨기로 치환된 모노실란들을 포함할 수 있다. 예들은 트리메틸실란 (3MS), 디메틸실란 (2MS), 트리에틸실란 (TES), 및 펜타메틸디실라메탄을 포함하지만 이로 제한되지 않는다. 부가적으로, 디실란들, 트리실란들, 또는 다른 고급실란들이 모노실란들 대신 사용될 수도 있다. 디실란들의 예들은 헥사메틸디실란 (HMDS) 및 펜타메틸디실란 (PMDS) 을 포함할 수 있다. 다른 타입들의 알킬실란들은 알킬카보실란들을 포함할 수 있다. 예들은 디메틸 트리메틸실릴 메탄 (DTMSM) 및 비스-디메틸실릴 에탄 (BDMSE) 을 포함한다.For depositing undoped silicon carbide films, examples of suitable precursors may include, for example, monosilanes substituted with one or more alkyl groups containing 1 to 5 carbon atoms, alkene groups, and/or alkyne groups. . Examples include, but are not limited to, trimethylsilane (3MS), dimethylsilane (2MS), triethylsilane (TES), and pentamethyldisilamethane. Additionally, disilanes, trisilanes, or other higher silanes may be used instead of monosilanes. Examples of disilanes may include hexamethyldisilane (HMDS) and pentamethyldisilane (PMDS). Other types of alkylsilanes may include alkylcarbosilanes. Examples include dimethyl trimethylsilyl methane (DTMSM) and bis-dimethylsilyl ethane (BDMSE).

질소 도핑된 탄화 실리콘 막들을 증착하기 위해, 적합한 전구체들의 예들은 실라잔들, 예를 들어, 알킬디실라잔들 및 아마도 하나 이상의 실리콘 원자들에 개별적으로 결합된 아미노기 (-N-H2) 및 알킬기를 포함하는 화합물들을 포함할 수 있다. 알킬디실라잔은 2 개의 실리콘 원자들에 결합된 실리잔들 (silizanes) 과 알킬기들을 포함한다. 예는 1,1,3,3-테트라메틸디실라잔 (TMDSN) 을 포함한다.For depositing nitrogen-doped silicon carbide films, examples of suitable precursors include silazanes such as alkyldisilazanes and possibly amino groups (-N-H2) and alkyl groups individually bonded to one or more silicon atoms. It may contain compounds containing Alkyldisilazanes contain silizanes and alkyl groups bonded to two silicon atoms. Examples include 1,1,3,3-tetramethyldisilazane (TMDSN).

설명된 바와 같이, 실리콘-함유 전구체들은 매우 컨포멀한 탄화 실리콘 막들을 제공하도록 선택된다. 저 부착 계수들을 갖는 실리콘-함유 전구체들은 매우 컨포멀한 (conformal) 막들을 생성할 수 있다고 여겨진다. "부착 계수"는 동일한 시간 기간 동안 표면에 충돌하는 종의 총 수와 비교하여 표면에 흡착/부착하는 흡착제 종 (예를 들어, 단편들 또는 분자들) 의 수의 비를 기술하도록 사용된 용어이다. 기호 Sc는 때때로 부착 계수를 지칭하기 위해 사용된다. Sc의 값은 0 (어떤 종도 부착되지 않는다는 것을 의미) 과 1 (충돌하는 모든 종이 부착되는 것을 의미) 사이이다. 충돌하는 종의 타입, 표면 온도, 표면 커버리지, 표면의 구조적 세부 사항, 및 충돌하는 종의 운동 에너지를 포함하는 다양한 인자들이 부착 계수에 영향을 준다. 특정한 종은 본질적으로 다른 종들보다 "끈적 끈적 (sticky)"하여, 종이 표면에 충돌할 때마다 표면에 흡착하기 쉽게 한다. 이들 보다 끈적한 종들은 보다 큰 부착 계수들을 갖고 (다른 모든 인자들은 동일함), 보다 낮은 부착 계수들을 갖는 보다 덜 끈적한 종과 비교하여 리세스된 피처의 입구 근방에 흡착하기 쉽다. 일부 경우들에서, (관련된 증착 조건들에서) 전구체들의 부착 계수는 약 0.05 이하, 예를 들어 약 0.001 이하일 수도 있다.As explained, the silicon-containing precursors are selected to provide highly conformal silicon carbide films. It is believed that silicon-containing precursors with low sticking coefficients can produce very conformal films. “Adhesion coefficient” is a term used to describe the ratio of the number of adsorbent species (e.g., fragments or molecules) that adsorb/attach to a surface compared to the total number of species that impinge on the surface during the same period of time. . The symbol S c is sometimes used to designate the sticking factor. The value of S c is between 0 (meaning no species are attached) and 1 (meaning all colliding species are attached). Various factors affect the adhesion coefficient, including the type of colliding species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the colliding species. Certain species are inherently "stickier" than others, making them more likely to adsorb to a surface whenever it strikes it. These more tacky species have higher stickiness coefficients (all other factors being equal) and are more likely to adsorb near the mouth of a recessed feature compared to less tacky species having lower stickiness coefficients. In some cases, the sticking coefficient of the precursors (at relevant deposition conditions) may be about 0.05 or less, such as about 0.001 or less.

장치Device

본 개시의 일 양태는 본 명세서에 기술된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시 예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치는 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 직접 플라즈마와 비교하여 약한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예는 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, 2013 년 10 월 24일 출원된 미국 특허 출원 번호 제 14/062,648 호에 기술된다.One aspect of the present disclosure is an apparatus configured to accomplish the methods described herein. A suitable apparatus includes a system controller having hardware for accomplishing process operations and instructions for controlling process operations according to the present disclosure. In some embodiments, an apparatus for performing the process operations described above may include a remote plasma source. A remote plasma source provides weak reaction conditions compared to a direct plasma. An example of a suitable remote plasma device is described in US Patent Application Serial No. 14/062,648, filed on October 24, 2013, which is incorporated herein by reference in its entirety for all purposes.

도 3은 특정한 실시 예들에 따른 리모트 플라즈마 장치의 개략도를 제공한다. 디바이스 (300) 는 샤워헤드 (320) 를 갖는 반응 챔버 (310) 를 포함한다. 반응 챔버 (310) 내부에서, 기판 (330) 이 스테이지 또는 페데스탈 (335) 상에 놓인다. 일부 실시 예들에서, 페데스탈 (335) 은 가열/냉각 엘리먼트와 피팅될 수 있다. 제어기 (340) 는 디바이스 (300) 의 동작을 제어하도록 디바이스 (300) 의 컴포넌트들에 연결될 수도 있다. 예를 들어, 제어기 (340) 는 온도 프로세스 조건들 및/또는 압력 프로세스 조건들과 같은, 디바이스 (300) 의 동작들을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (340) 는 전구체 가스, 공-반응물질 가스, 소스 가스, 및 캐리어 가스의 플로우 레이트들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (340) 는 시간에 따라 공-반응물질 가스의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다. 이에 더하여 또는 대안적으로, 제어기 (340) 는 시간에 따라 전구체 가스의 플로우 레이트를 변화시키기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (340) 의 보다 상세한 기술이 이하에 제공된다.3 provides a schematic diagram of a remote plasma device according to certain embodiments. Device 300 includes a reaction chamber 310 having a showerhead 320 . Inside the reaction chamber 310 , a substrate 330 is placed on a stage or pedestal 335 . In some embodiments, pedestal 335 can be fitted with a heating/cooling element. A controller 340 may be coupled to components of device 300 to control operation of device 300 . For example, controller 340 may include instructions for controlling process conditions for operations of device 300 , such as temperature process conditions and/or pressure process conditions. In some embodiments, controller 340 may include instructions for controlling flow rates of precursor gas, co-reactant gas, source gas, and carrier gas. Controller 340 may include instructions for varying the flow rate of the co-reactant gas over time. In addition or alternatively, the controller 340 may include instructions for varying the flow rate of the precursor gas over time. A more detailed description of controller 340 is provided below.

동작 동안, 가스들 또는 가스 혼합물들은 반응 챔버 (310) 에 커플링된 하나 이상의 가스 유입구들을 통해 반응 챔버 (310) 내로 도입된다. 일부 실시 예들에서, 2 개 이상의 가스 유입구들이 반응 챔버 (310) 에 커플링된다. 제 1 가스 유입구 (355) 는 반응 챔버 (310) 에 커플링되고 용기 (350) 에 연결될 수 있고, 제 2 가스 유입구 (365) 는 반응 챔버 (310) 에 커플링되고 리모트 플라즈마 소스 (360) 에 연결될 수 있다. 리모트 플라즈마 구성들을 포함하는 실시 예들에서, 리모트 플라즈마 소스에서 생성된 전구체들 및 라디칼 종들을 위한 전달 라인들은 분리된다. 따라서, 전구체들 및 라디칼 종은 기판 (330) 에 도달하기 전에 실질적으로 상호 작용하지 않는다. 일부 구현 예들에서, 용기 (350) 가 제 2 가스 유입구 (365) 를 통해 전구체 가스 플로우를 제공할 수도 있고 리모트 플라즈마 소스 (360) 가 제 1 가스 유입구 (355) 를 통해 이온들 및 라디칼들을 제공할 수도 있도록 가스 라인들이 반전될 수도 있다는 것이 이해될 것이다.During operation, gases or gas mixtures are introduced into the reaction chamber 310 through one or more gas inlets coupled to the reaction chamber 310 . In some embodiments, two or more gas inlets are coupled to the reaction chamber 310 . A first gas inlet 355 is coupled to the reaction chamber 310 and can be connected to the vessel 350, and a second gas inlet 365 is coupled to the reaction chamber 310 and to the remote plasma source 360. can be connected In embodiments comprising remote plasma configurations, delivery lines for precursors and radical species generated in the remote plasma source are separate. Thus, the precursors and radical species do not substantially interact prior to reaching the substrate 330 . In some implementations, vessel 350 may provide precursor gas flow through second gas inlet 365 and remote plasma source 360 may provide ions and radicals through first gas inlet 355. It will be appreciated that the gas lines may be inverted so that the

하나 이상의 라디칼 종은 리모트 플라즈마 소스 (360) 에서 생성될 수도 있고 제 2 가스 유입구 (365) 를 통해 반응 챔버 (310) 로 들어가도록 구성될 수도 있다. 임의의 타입의 플라즈마 소스가 라디칼 종을 생성하도록 리모트 플라즈마 소스 (360) 에서 사용될 수도 있다. 이는 용량 결합 플라즈마들, 유도 결합 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들, 및 레이저-생성된 플라즈마들을 포함하지만, 이로 제한되지 않는다. 용량 결합 플라즈마의 예는 RF (radio frequency) 플라즈마일 수 있다. 고 주파수 플라즈마는 13.56 ㎒ 이상에서 동작하도록 구성될 수 있다. 이러한 리모트 플라즈마 소스 (360) 의 예는 캘리포니아 프리몬트 소재의 Lam Research Corporation에 의해 제조된 GAMMA®일 수 있다. 이러한 RF 리모트 플라즈마 소스 (360) 의 또 다른 예는 440 ㎑에서 동작될 수 있고 하나 이상의 기판들을 병렬로 프로세싱하기 위해 보다 큰 장치 상에 볼트로 고정된 서브 유닛으로서 제공될 수 있는, 매사추세츠 윌밍턴 소재의 MKS Instruments에 의해 제조된 Astron®일 수 있다. 일부 실시 예들에서, 마이크로파 플라즈마는 또한 MKS Instruments에 의해 제조된 Astex®와 같은 리모트 플라즈마 소스 (360) 로서 사용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수에서 동작하도록 구성될 수 있다. 리모트 플라즈마 소스에 제공된 가스는 수소, 질소, 산소, 및 본 명세서의 다른 곳에 언급된 다른 가스들을 포함할 수도 있다. 특정한 실시 예들에서, 수소는 헬륨과 같은 캐리어에 제공된다. 예로서, 수소 가스는 약 1 내지 10 % 수소의 농도로 헬륨 캐리어에 제공될 수도 있다.One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 through the second gas inlet 365 . Any type of plasma source may be used in remote plasma source 360 to generate radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-generated plasmas. An example of a capacitively coupled plasma may be a radio frequency (RF) plasma. The high frequency plasma may be configured to operate above 13.56 MHz. An example of such a remote plasma source 360 can be a GAMMA® manufactured by Lam Research Corporation of Fremont, Calif. Another example of such an RF remote plasma source 360 is of Wilmington, MA, which can be operated at 440 kHz and can be provided as a bolted sub-unit on a larger apparatus for processing one or more substrates in parallel. Astron® manufactured by MKS Instruments of In some embodiments, a microwave plasma can also be used as a remote plasma source 360 such as Astex® manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases mentioned elsewhere herein. In certain embodiments, hydrogen is provided to a carrier such as helium. As an example, hydrogen gas may be provided to the helium carrier at a concentration of about 1 to 10% hydrogen.

전구체들은 용기 (350) 내에 제공될 수 있고 제 1 가스 유입구 (355) 를 통해 샤워헤드 (320) 로 공급될 수 있다. 샤워헤드 (320) 는 기판 (330) 을 향해 반응 챔버 (310) 내로 전구체들을 분배한다. 기판 (330) 은 샤워헤드 (320) 밑에 위치될 수 있다. 샤워헤드 (320) 는 임의의 적합한 형상을 가질 수 있고, 기판 (330) 으로 가스들을 분배하기 위한 임의의 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다. 전구체들은 제어된 플로우 레이트로 샤워헤드 (320) 로 그리고 궁극적으로 기판 (330) 으로 공급될 수 있다.Precursors may be provided in vessel 350 and supplied to showerhead 320 via first gas inlet 355 . The showerhead 320 dispenses the precursors into the reaction chamber 310 toward the substrate 330 . Substrate 330 can be positioned below showerhead 320 . It will be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrate 330 . Precursors can be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.

리모트 플라즈마 소스 (360) 에서 형성된 하나 이상의 라디칼 종은 기판 (330) 을 향해 가스상으로 반송될 수 있다. 하나 이상의 라디칼 종은 제 2 가스 유입구 (365) 를 통해 반응 챔버 (310) 내로 흐를 수 있다. 제 2 가스 유입구 (365) 가 도 3에 예시된 바와 같이 기판 (330) 의 표면을 횡단할 필요가 없다는 것이 이해될 것이다. 특정한 실시 예들에서, 제 2 가스 유입구 (365) 는 기판 (330) 바로 위 또는 다른 위치들에 있을 수 있다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 리모트 플라즈마 소스 (360) 에서 생성된 이온화된 종들이 실질적으로 중화되지만, 실질적으로 저 에너지 상태들의 적어도 일부 라디칼 종은 기판 (330) 에 인접한 분위기에 남아 있도록 약한 반응성 조건들을 제공하도록 구성될 수 있다. 이러한 저 에너지 상태 라디칼 종들은 안정한 화합물들을 형성하도록 재조합되지 않는다. 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 (예를 들어, 소스 RF 전력 레벨에 의해 부분적으로 결정된) 플라즈마의 공격성, (예를 들어, 고 농도의 수소 원자들이 있다면, 이들 중 상당 분획이 반응 챔버 (310) 에 도달하기 전에 H2를 형성하도록 재결합할 수도 있는) 플라즈마 내 가스의 밀도, 및 다른 인자들의 함수일 수 있다. 일부 실시 예들에서, 리모트 플라즈마 소스 (360) 와 반응 챔버 (310) 사이의 거리는 약 1 ㎝ 내지 30 ㎝, 예컨대 약 5 ㎝ 또는 약 15 ㎝일 수 있다.One or more radical species formed in the remote plasma source 360 can be transported in the gas phase toward the substrate 330 . One or more radical species can flow into the reaction chamber 310 through the second gas inlet 365 . It will be appreciated that the second gas inlet 365 need not traverse the surface of the substrate 330 as illustrated in FIG. 3 . In certain embodiments, the second gas inlet 365 can be directly above the substrate 330 or at other locations. The distance between the remote plasma source 360 and the reaction chamber 310 is such that ionized species generated in the remote plasma source 360 are substantially neutralized, but at least some radical species in substantially lower energy states proximate the substrate 330. It can be configured to provide mildly reactive conditions to remain in the atmosphere. These lower energy state radical species do not recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 is dependent on the aggressiveness of the plasma (eg, determined in part by the source RF power level), of which if there is a high concentration of hydrogen atoms, the density of gases in the plasma, which fractions may recombine to form H 2 before reaching the reaction chamber 310 , and other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 can be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.

일부 실시 예들에서, 1 차 실리콘-함유 전구체 또는 수소 라디칼이 아닌, 공-반응물질이 증착 반응 동안 도입된다. 일부 구현 예들에서, 장치는 제 2 가스 유입구 (365) 를 통해 공-반응물질을 도입하도록 구성되고, 이 경우 공-반응물질은 플라즈마로 적어도 부분적으로 변환된다. 일부 구현 예들에서, 장치는 제 1 가스 유입구 (355) 를 통해 샤워헤드 (320) 를 통해 공-반응물질을 도입하도록 구성된다. 공-반응물질의 예들은 산소, 질소, 암모니아, 이산화탄소, 일산화탄소 등을 포함한다. 공-반응물질의 플로우 레이트는 등급화된 막에서 조성 구배를 생성하도록 시간에 따라 가변할 수 있다.In some embodiments, a co-reactant, other than the primary silicon-containing precursor or hydrogen radical, is introduced during the deposition reaction. In some implementations, the apparatus is configured to introduce a co-reactant through the second gas inlet 365, where the co-reactant is at least partially converted to a plasma. In some implementations, the apparatus is configured to introduce a co-reactant through the showerhead 320 through the first gas inlet 355 . Examples of co-reactants include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like. The flow rate of the co-reactant can be varied over time to create a compositional gradient in the graded membrane.

도 4는 일부 다른 구현 예들에 따른 리모트 플라즈마 소스를 갖는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다. 플라즈마 프로세싱 장치 (400) 는 반응 챔버 (404) 로부터 분리된 리모트 플라즈마 소스 (402) 를 포함한다. 리모트 플라즈마 소스 (402) 는 또한 샤워헤드로 지칭될 수도 있는 멀티포트 가스 분배기 (406) 를 통해 반응 챔버 (404) 와 유체로 커플링된다. 라디칼 종은 리모트 플라즈마 소스 (402) 에서 생성되고 반응 챔버 (404) 에 공급된다. 하나 이상의 실리콘-함유 전구체들은 리모트 플라즈마 소스 (402) 로부터 그리고 멀티포트 가스 분배기 (406) 로부터 다운스트림으로 반응 챔버 (404) 로 공급된다. 하나 이상의 실리콘-함유 전구체들은 기판 (412) 의 표면 상에 탄화 실리콘 막을 증착하도록 반응 챔버 (404) 의 화학적 기상 증착 존 (408) 에서 라디칼 종과 반응한다. 화학적 기상 증착 존 (408) 은 기판 (412) 의 표면에 인접한 분위기를 포함한다.4 illustrates a schematic diagram of an example plasma processing apparatus having a remote plasma source in accordance with some other implementations. The plasma processing apparatus 400 includes a remote plasma source 402 separate from the reaction chamber 404 . The remote plasma source 402 is fluidly coupled with the reaction chamber 404 through a multiport gas distributor 406, which may also be referred to as a showerhead. Radical species are generated in remote plasma source 402 and supplied to reaction chamber 404 . One or more silicon-containing precursors are supplied to reaction chamber 404 from remote plasma source 402 and downstream from multiport gas distributor 406 . One or more silicon-containing precursors react with radical species in chemical vapor deposition zone 408 of reaction chamber 404 to deposit a silicon carbide film on the surface of substrate 412 . Chemical vapor deposition zone 408 includes an atmosphere adjacent to the surface of substrate 412 .

기판 (412) 은 기판 지지부 또는 페데스탈 (414) 상에 지지된다. 페데스탈 (414) 은 화학적 기상 증착 존 (408) 내에 기판 (412) 을 위치 시키도록 반응 챔버 (404) 내에서 이동할 수도 있다. 도 4에 도시된 실시 예에서, 화학적 기상 증착 존 (408) 내에서 상승된 기판 (410) 을 갖는 페데스탈 (414) 이 도시된다. 페데스탈 (414) 은 또한 일부 실시 예들에서 기판 (412) 의 온도를 조정할 수도 있고, 이는 기판 (412) 상의 열적으로 활성화된 표면 반응들에 대한 일부 선택적인 제어를 제공할 수 있다.A substrate 412 is supported on a substrate support or pedestal 414 . The pedestal 414 may move within the reaction chamber 404 to position the substrate 412 within the chemical vapor deposition zone 408 . In the embodiment shown in FIG. 4 , a pedestal 414 with a raised substrate 410 within a chemical vapor deposition zone 408 is shown. Pedestal 414 may also adjust the temperature of substrate 412 in some embodiments, which may provide some selective control over thermally activated surface reactions on substrate 412 .

도 4는 리모트 플라즈마 소스 (402) 주위에 배치된 코일 (418) 을 도시하고, 리모트 플라즈마 소스 (402) 는 외측 벽 (예를 들어, 석영 돔) 을 포함한다. 코일 (418) 은 유도 결합 플라즈마 생성을 통해 플라즈마 영역 (424) 내에서 플라즈마를 형성하고 지속시키도록 사용될 수도 있는 플라즈마 생성기 제어기 (422) 에 전기적으로 커플링된다. 일부 구현 예들에서, 플라즈마 생성기 제어기 (422) 는 코일 (418) 에 전력을 공급하기 위한 전력 공급부를 포함할 수도 있고, 전력은 플라즈마 생성 동안 약 1 내지 6 kW (kilowatts) 의 범위일 수 있다. 일부 구현 예들에서, 병렬 플레이트 또는 용량 결합 플라즈마 생성을 위한 전극들 또는 안테나는 유도 결합 플라즈마 생성보다는 플라즈마 여기를 통해 라디칼들의 연속적인 공급을 생성하도록 사용될 수도 있다. 플라즈마 영역 (424) 에서 플라즈마를 점화하고 지속시키기 위해 사용된 메커니즘과 무관하게, 라디칼 종은 막 증착 동안 플라즈마 여기를 사용하여 연속적으로 생성될 수도 있다. 일부 구현 예들에서, 수소 라디칼들은 정상-상태 막 증착 동안 대략 정상-상태 조건들 하에서 생성되지만, 과도 현상들은 막 증착의 시작 및 끝에서 발생할 수도 있다.4 shows a coil 418 disposed around a remote plasma source 402, which includes an outer wall (eg, a quartz dome). The coil 418 is electrically coupled to a plasma generator controller 422 which may be used to form and sustain a plasma within the plasma region 424 via inductively coupled plasma generation. In some implementations, the plasma generator controller 422 may include a power supply to power the coil 418, and the power can range from about 1 to 6 kilowatts (kW) during plasma generation. In some implementations, a parallel plate or electrodes or antenna for capacitively coupled plasma generation may be used to generate a continuous supply of radicals via plasma excitation rather than inductively coupled plasma generation. Regardless of the mechanism used to ignite and sustain the plasma in the plasma region 424, radical species may be continuously generated using plasma excitation during film deposition. In some implementations, hydrogen radicals are generated under approximately steady-state conditions during steady-state film deposition, but transients may occur at the beginning and end of film deposition.

수소 라디칼들의 공급은 수소 가스 또는 다른 소스 가스가 리모트 플라즈마 소스 (402) 에 공급되는 동안 플라즈마 영역 (424) 내에서 연속적으로 생성될 수도 있다. 여기된 수소 라디칼들은 리모트 플라즈마 소스 (402) 에서 생성될 수도 있다. 재여기되지 않거나 에너지가 재공급되지 않거나 다른 라디칼들과 재결합되지 않으면, 여기된 수소 라디칼들은 에너지를 잃거나 방출한다. 따라서, 여기된 수소 라디칼들은 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들을 형성하도록 완화될 수도 있다.A supply of hydrogen radicals may be continuously generated within the plasma region 424 while hydrogen gas or other source gas is supplied to the remote plasma source 402 . Excited hydrogen radicals may be generated in the remote plasma source 402 . Excited hydrogen radicals lose or release energy unless re-energized or re-combined with other radicals. Thus, excited hydrogen radicals may relax to form hydrogen radicals in a substantially lower energy state or ground state.

수소 가스 또는 다른 소스 가스는 하나 이상의 부가적인 가스들로 희석될 수도 있다. 이들 하나 이상의 부가적인 가스들은 리모트 플라즈마 소스 (402) 에 공급될 수도 있다. 일부 구현 예들에서, 수소 가스 또는 다른 소스 가스는 가스 혼합물을 형성하도록 하나 이상의 부가적인 가스들과 혼합되고, 여기서 하나 이상의 부가적인 가스들은 캐리어 가스를 포함할 수 있다. 부가적인 가스들의 비 제한적인 예들은 헬륨 (He), 네온 (Ne), 아르곤 (Ar), 크립톤 (Kr), 제논 (Xe), 및 질소 (N2) 를 포함할 수 있다. 하나 이상의 부가적인 가스들은 리모트 플라즈마 소스 (402) 내에서 정상 상태 플라즈마 조건들을 지지하거나 안정화시킬 수도 있고 또는 일시적인 플라즈마 점화 또는 소멸 프로세스들을 보조할 수도 있다. 일부 구현 예들에서, 예를 들어, 수소 가스 또는 다른 소스 가스를 헬륨으로 희석하는 것은 수반되는 플라즈마 파괴없이 보다 높은 총 압력들을 허용할 수도 있다. 달리 말하면, 수소 가스와 헬륨의 희석된 가스 혼합물은 리모트 플라즈마 소스 (402) 로의 플라즈마 전력을 증가시키지 않고 보다 높은 총 가스 압력을 허용할 수도 있다. 도 4에 도시된 바와 같이, 소스 가스 공급부 (426) 는 수소 가스 또는 소스 가스를 공급하기 위해 리모트 플라즈마 소스 (402) 와 유체적으로 커플링된다. 이에 더하여, 부가적인 가스 공급부 (428) 는 하나 이상의 부가적인 가스들을 공급하기 위해 리모트 플라즈마 소스 (402) 와 유체적으로 커플링된다. 하나 이상의 부가적인 가스들은 또한 상기 기술된 바와 같이 공-반응물질 가스를 포함할 수도 있다. 도 4의 실시 예는 별개의 가스 유출구들을 통해 도입되는 소스 가스와 하나 이상의 부가적인 가스들의 가스 혼합물을 도시하지만, 가스 혼합물은 리모트 플라즈마 소스 (402) 내로 직접 도입될 수도 있다는 것이 이해될 것이다. 즉, 미리 혼합된 희석된 가스 혼합물은 단일 가스 유출구를 통해 리모트 플라즈마 소스 (402) 에 공급될 수도 있다.The hydrogen gas or other source gas may be diluted with one or more additional gases. These one or more additional gases may be supplied to the remote plasma source 402 . In some implementations, hydrogen gas or other source gas is mixed with one or more additional gases to form a gas mixture, where the one or more additional gases can include a carrier gas. Non-limiting examples of additional gases may include helium (He), neon (Ne), argon (Ar), krypton (Kr), xenon (Xe), and nitrogen (N 2 ). One or more additional gases may support or stabilize steady state plasma conditions within the remote plasma source 402 or may assist in transient plasma ignition or quenching processes. In some implementations, for example, diluting hydrogen gas or other source gas with helium may allow for higher total pressures without concomitant plasma breakdown. In other words, the dilute gas mixture of hydrogen gas and helium may allow for higher total gas pressure without increasing the plasma power to the remote plasma source 402 . As shown in FIG. 4, a source gas supply 426 is fluidly coupled with the remote plasma source 402 to supply hydrogen gas or source gas. In addition, an additional gas supply 428 is fluidly coupled with the remote plasma source 402 to supply one or more additional gases. The one or more additional gases may also include a co-reactant gas as described above. 4 depicts a gas mixture of a source gas and one or more additional gases introduced through separate gas outlets, it will be appreciated that the gas mixture may be introduced directly into the remote plasma source 402 . That is, the pre-mixed diluted gas mixture may be supplied to the remote plasma source 402 through a single gas outlet.

여기된 수소 및 헬륨 라디칼들 및 완화된 가스들/라디칼들과 같은 가스들은 리모트 플라즈마 소스 (402) 로부터 그리고 멀티포트 가스 분배기 (406) 를 통해 반응 챔버 (404) 내로 흐른다. 멀티포트 가스 분배기 (406) 내 및 반응 챔버 (404) 내 가스들은 일반적으로 내부에서 계속된 플라즈마 여기를 겪지 않는다. 일부 구현 예들에서, 멀티포트 가스 분배기 (406) 는 이온 필터 및/또는 광자 필터를 포함한다. 이온들 및/또는 광자들을 필터링하는 것은 기판 손상, 분자들의 원치 않은 재 여기, 및/또는 반응 챔버 (404) 내 실리콘-함유 전구체들의 선택적인 파괴 또는 분해를 감소시킬 수도 있다. 멀티포트 가스 분배기 (406) 는 반응 챔버 (404) 내로 가스들의 플로우를 확산시키기 위해 복수의 가스 포트들 (434) 을 가질 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (434) 은 상호 이격될 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (434) 은 리모트 플라즈마 소스 (402) 와 반응 챔버 (404) 를 분리하는 플레이트를 통해 연장하는 규칙적으로 이격된 채널들 또는 쓰루-홀들의 어레이로서 배치될 수도 있다. 복수의 가스 포트들 (434) 은 리모트 플라즈마 소스 (402) 로부터 나가는 라디칼들을 반응 챔버 (404) 내로 원활하게 분산시키고 확산시킬 수도 있다.Gases such as excited hydrogen and helium radicals and relaxed gases/radicals flow from the remote plasma source 402 and through a multiport gas distributor 406 into the reaction chamber 404 . Gases in the multiport gas distributor 406 and in the reaction chamber 404 generally do not undergo internally sustained plasma excitation. In some implementations, the multiport gas distributor 406 includes an ion filter and/or a photon filter. Filtering ions and/or photons may reduce substrate damage, unwanted re-excitation of molecules, and/or selective destruction or decomposition of silicon-containing precursors within reaction chamber 404 . The multiport gas distributor 406 may have a plurality of gas ports 434 to diffuse the flow of gases into the reaction chamber 404 . In some implementations, the plurality of gas ports 434 may be spaced apart from each other. In some implementations, the plurality of gas ports 434 may be arranged as an array of regularly spaced channels or through-holes extending through a plate separating the remote plasma source 402 and the reaction chamber 404. there is. The plurality of gas ports 434 may smoothly disperse and diffuse the radicals exiting the remote plasma source 402 into the reaction chamber 404 .

통상적인 리모트 플라즈마 소스들은 반응 용기들로부터 멀리 떨어져 있다. 결과적으로, 라디칼 소멸 및 재조합, 예를 들어 벽 충돌 이벤트들을 통해, 활성 종을 실질적으로 감소시킬 수도 있다. 반대로, 일부 구현 예들에서, 복수의 가스 포트들 (434) 에 대한 치수들은 반응 챔버 (404) 내로 라디칼들의 자유 통과를 보조하기 위해 통상적인 프로세싱 조건들 하에서 평균 자유 경로 또는 가스 플로우 체류 시간의 관점에서 구성될 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (434) 을 위한 개구부들은 멀티포트 가스 분배기 (406) 의 노출된 표면적의 약 5 % 내지 약 20 %를 점유할 수도 있다. 일부 구현 예들에서, 복수의 가스 포트들 (434) 각각은 약 3:1 내지 10:1 또는 약 6:1 내지 약 8:1의 축 방향 길이 대 직경 비를 가질 수도 있다. 이러한 종횡비들은 복수의 가스 포트들 (434) 을 통과하는 라디칼 종에 대한 벽-충돌 빈도를 감소시킬 수도 있는 한편, 대부분의 여기된 상태 라디칼 종이 바닥 상태 라디칼 종으로 완화되기에 충분한 시간을 제공한다. 일부 구현 예들에서, 복수의 가스 포트들 (434) 의 치수들은 멀티포트 가스 분배기 (406) 를 통과하는 가스들의 체류 시간이 여기된 상태 라디칼 종의 통상적인 에너제틱 완화 시간보다 크도록 구성될 수도 있다. 수소 소스 가스에 대한 여기된 상태 라디칼 종은 도 4에서

Figure 112021021922216-pct00001
H*로 표시될 수도 있고 수소 소스 가스에 대한 바닥 상태 라디칼 종은 도 4에서
Figure 112021021922216-pct00002
H로 표시될 수도 있다.Conventional remote plasma sources are remote from the reaction vessels. As a result, active species may be substantially reduced through radical annihilation and recombination, eg, wall collision events. Conversely, in some implementations, the dimensions for the plurality of gas ports 434 are in terms of mean free path or gas flow residence time under typical processing conditions to assist free passage of radicals into the reaction chamber 404. may be configured. In some implementations, openings for the plurality of gas ports 434 may occupy between about 5% and about 20% of the exposed surface area of the multiport gas distributor 406 . In some implementations, each of the plurality of gas ports 434 may have an axial length to diameter ratio of between about 3:1 and 10:1 or between about 6:1 and about 8:1. These aspect ratios may reduce the wall-collision frequency for radical species passing through the plurality of gas ports 434 while providing sufficient time for most excited state radical species to relax to ground state radical species. In some implementations, the dimensions of the plurality of gas ports 434 may be configured such that the residence time of gases passing through the multiport gas distributor 406 is greater than the typical energetic relaxation time of an excited radical species. . The excited state radical species for the hydrogen source gas are shown in FIG.
Figure 112021021922216-pct00001
The ground state radical species for the hydrogen source gas may be denoted by H * in FIG.
Figure 112021021922216-pct00002
It can also be denoted by H.

일부 구현 예들에서, 복수의 가스 포트들 (434) 을 나가는 여기된 상태 라디칼 종들은 반응 챔버 (404) 의 내부 내에 포함된 완화 존 (438) 내로 흐를 수도 있다. 완화 존 (438) 은 화학적 기상 증착 존 (408) 의 업스트림이지만 멀티포트 가스 분배기 (406) 의 다운스트림에 위치된다. 멀티포트 가스 분배기 (406) 를 나가는 실질적으로 모든 또는 적어도 90 %의 여기된 상태 라디칼 종은 완화 존 (438) 에서 완화된 상태 라디칼 종으로 전이할 것이다. 달리 말하면, 완화 존 (438) 에 들어가는 거의 모든 여기된 상태 라디칼 종 (예를 들어, 여기된 수소 라디칼들) 은 완화 존 (438) 을 나가기 전에 탈 여기되거나 완화된 상태 라디칼 종 (예를 들어, 바닥 상태 수소 라디칼들) 으로 전이된다. 일부 구현 예들에서, 완화 존 (438) 의 프로세스 조건들 또는 기하구조는 완화 존 (438) 을 통해 흐르는 라디칼 종의 체류 시간, 예를 들어, 평균 자유 경로 및 평균 분자 속도에 의해 결정된 시간이 완화 존 (438) 으로부터 흐르는 완화된 상태 라디칼 종을 발생시키도록 구성될 수도 있다.In some implementations, excited state radical species exiting the plurality of gas ports 434 may flow into a relaxation zone 438 contained within the interior of the reaction chamber 404 . A mitigation zone 438 is located upstream of the chemical vapor deposition zone 408 but downstream of the multiport gas distributor 406 . Substantially all or at least 90% of the excited state radical species exiting the multiport gas distributor 406 will transition to relaxed state radical species in the relaxation zone 438 . In other words, almost all excited radical species (e.g., excited hydrogen radicals) entering relaxation zone 438 are de-excited or relaxed radical species (e.g., excited hydrogen radicals) before exiting relaxation zone 438. ground state hydrogen radicals). In some implementations, the process conditions or geometry of relaxation zone 438 is such that the residence time of a radical species flowing through relaxation zone 438, eg, the time determined by the mean free path and average molecular velocity, is may be configured to generate relaxed state radical species flowing from (438).

멀티포트 가스 분배기 (406) 로부터 완화 존 (438) 으로의 라디칼 종의 전달과 함께, 하나 이상의 실리콘-함유 전구체들 및/또는 하나 이상의 공-반응물질들이 화학적 기상 증착 존 (408) 내로 도입될 수도 있다. 하나 이상의 실리콘-함유 전구체들은 가스 분배기 또는 가스 유출구 (442) 를 통해 도입될 수도 있고, 가스 유출구 (442) 는 전구체 공급 소스 (440) 와 유체로 커플링될 수도 있다. 완화 존 (438) 은 멀티포트 가스 분배기 (406) 와 가스 유출구 (442) 사이의 공간 내에 포함될 수도 있다. 가스 유출구 (442) 는 하나 이상의 실리콘-함유 전구체들의 플로우가 완화 존 (438) 으로부터 흐르는 가스 혼합물과 평행한 방향으로 도입될 수도 있도록 상호 이격된 개구부들을 포함할 수도 있다. 가스 유출구 (442) 는 멀티포트 가스 분배기 (406) 및 완화 존 (438) 으로부터 다운스트림에 위치될 수도 있다. 가스 유출구 (442) 는 화학적 기상 증착 존 (408) 및 기판 (412) 의 업스트림에 위치될 수도 있다. 화학적 기상 증착 존 (408) 은 반응 챔버 (404) 의 내부 내에 그리고 가스 유출구 (442) 와 기판 (412) 사이에 위치된다.Along with the delivery of radical species from the multiport gas distributor 406 to the mitigation zone 438, one or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition zone 408. there is. One or more silicon-containing precursors may be introduced through a gas distributor or gas outlet 442 , and the gas outlet 442 may be fluidly coupled with the precursor supply source 440 . A relief zone 438 may be included in the space between the multiport gas distributor 406 and the gas outlet 442 . Gas outlet 442 may include mutually spaced openings such that a flow of one or more silicon-containing precursors may be introduced in a direction parallel to the gas mixture flowing from relaxation zone 438 . A gas outlet 442 may be located downstream from the multiport gas distributor 406 and the abatement zone 438 . The gas outlet 442 may be located upstream of the chemical vapor deposition zone 408 and the substrate 412 . A chemical vapor deposition zone 408 is located within the interior of the reaction chamber 404 and between the gas outlet 442 and the substrate 412 .

하나 이상의 실리콘-함유 전구체들의 실질적으로 모든 플로우는 멀티포트 가스 분배기 (406) 에 인접한 여기된 상태 라디칼 종과 혼합되는 것이 방지될 수도 있다. 완화되거나 바닥 상태 라디칼 종은 기판 (412) 에 인접한 영역에서 하나 이상의 실리콘-함유 전구체들과 혼합된다. 화학적 기상 증착 존 (408) 은 완화되거나 바닥 상태 라디칼 종이 하나 이상의 실리콘-함유 전구체들과 혼합되는 기판 (412) 에 인접한 영역을 포함한다. 완화되거나 바닥 상태 라디칼 종은 탄화 실리콘 막의 CVD 형성 동안 가스상으로 하나 이상의 실리콘-함유 전구체들과 혼합된다.Substantially all of the flow of one or more silicon-containing precursors may be prevented from mixing with the excited state radical species adjacent to the multiport gas distributor 406 . A relaxed or ground state radical species is mixed with one or more silicon-containing precursors in a region adjacent to substrate 412 . Chemical vapor deposition zone 408 includes a region adjacent to substrate 412 where relaxed or ground state radical species are mixed with one or more silicon-containing precursors. The relaxed or ground state radical species are mixed with one or more silicon-containing precursors in the gas phase during CVD formation of the silicon carbide film.

일부 구현 예들에서, 공-반응물질은 가스 유출구 (442) 로부터 도입될 수도 있고 하나 이상의 실리콘-함유 전구체들과 함께 흐를 수도 있다. 공-반응물질은 이하에 기술된 바와 같이 증착 첨가제 또는 비증착 첨가제를 포함할 수도 있다. 공-반응물질은 리모트 플라즈마 소스 (402) 로부터 다운 스트림으로 도입될 수도 있다. 공-반응물질은 전구체 공급 소스 (440) 또는 가스 유출구 (442) 에 유체적으로 커플링된 다른 소스 (미도시) 로부터 공급될 수도 있다. 공-반응물질은 이하에 기술된 바와 같이 탄소-함유 전구체 또는 Si-H 결합 또는 Si-Si 결합이 없는 제 2 실리콘-함유 전구체일 수도 있다. 일부 구현 예들에서, 공-반응물질은 멀티포트 가스 분배기 (406) 로부터 도입될 수도 있고 리모트 플라즈마 소스 (402) 에서 생성된 라디칼 종과 함께 그리고 반응 챔버 (404) 내로 흐를 수도 있다. 이는 리모트 플라즈마 소스 (402) 에 제공된 공-반응물질 가스의 라디칼들 및/또는 이온들을 포함할 수도 있다. 공-반응물질은 부가적인 가스 공급부 (428) 로부터 공급될 수도 있다.In some implementations, a co-reactant may be introduced from gas outlet 442 and may flow with one or more silicon-containing precursors. The co-reactant may include a deposition additive or a non-deposition additive as described below. A co-reactant may be introduced downstream from remote plasma source 402 . The co-reactant may be supplied from precursor supply source 440 or another source fluidly coupled to gas outlet 442 (not shown). The co-reactant may be a carbon-containing precursor or a second silicon-containing precursor without Si-H bonds or Si-Si bonds, as described below. In some implementations, a co-reactant may be introduced from the multiport gas distributor 406 and flow together with the radical species generated in the remote plasma source 402 and into the reaction chamber 404 . This may include radicals and/or ions of the co-reactant gas provided to the remote plasma source 402 . A co-reactant may be supplied from an additional gas supply 428.

가스 유출구 (442) 는 하나 이상의 실리콘-함유 전구체들의 역 확산 또는 역 스트리밍을 방지하도록 충분한 거리만큼 멀티포트 가스 분배기 (406) 로부터 분리될 수도 있다. 일부 구현 예들에서, 가스 유출구 (442) 는 복수의 가스 포트들 (434) 로부터 약 0.5 인치 내지 약 5 인치, 또는 약 1.5 인치 내지 약 4.5 인치, 또는 약 1.5 인치 내지 약 3 인치의 거리로 분리될 수도 있다.The gas outlet 442 may be separated from the multiport gas distributor 406 by a sufficient distance to prevent back-diffusion or back-streaming of the one or more silicon-containing precursors. In some implementations, the gas outlet 442 will be separated from the plurality of gas ports 434 by a distance of about 0.5 inches to about 5 inches, or about 1.5 inches to about 4.5 inches, or about 1.5 inches to about 3 inches. may be

프로세스 가스들은 펌프 (미도시) 에 유체로 커플링되도록 구성된 유출구 (448) 를 통해 반응 챔버 (404) 로부터 제거될 수도 있다. 따라서, 과잉의 실리콘-함유 전구체들, 공-반응물질들, 라디칼 종, 및 희석제 및 치환 가스 또는 퍼지 가스가 반응 챔버 (404) 로부터 제거될 수도 있다. 일부 구현 예들에서, 시스템 제어기 (450) 는 플라즈마 프로세싱 장치 (400) 와 동작하여 통신한다. 일부 구현 예들에서, 시스템 제어기 (450) 는 데이터 시스템 (454) (예를 들어, 메모리) 내에 보유된 인스트럭션들을 실행하도록 구성된 프로세서 시스템 (452) (예를 들어, 마이크로 프로세서) 을 포함한다. 일부 구현 예들에서, 시스템 제어기 (450) 는 플라즈마 파라미터들 및/또는 조건들을 제어하기 위해 플라즈마 생성기 제어기 (422) 와 통신할 수도 있다. 일부 구현 예들에서, 시스템 제어기 (450) 는 페데스탈 상승 및 온도를 제어하기 위해 페데스탈 (414) 과 통신할 수도 있다. 일부 구현 예들에서, 시스템 제어기 (450) 는, 그 중에서도, RF 전력 설정들, 주파수 설정들, 듀티 사이클들, 펄스 시간들, 반응 챔버 (404) 내 압력, 리모트 플라즈마 소스 (402) 내 압력, 소스 가스 공급부 (426) 및 부가적인 가스 공급부 (428) 로부터의 가스 플로우 레이트들, 전구체 공급 소스 (440) 및 다른 소스들로부터의 가스 플로우 레이트들, 페데스탈 (414) 의 온도, 및 반응 챔버 (404) 의 온도와 같은 다른 프로세싱 조건들을 제어할 수도 있다.Process gases may be removed from the reaction chamber 404 via an outlet 448 configured to be fluidly coupled to a pump (not shown). Thus, excess silicon-containing precursors, co-reactants, radical species, and diluent and displacement or purge gases may be removed from the reaction chamber 404 . In some implementations, the system controller 450 is operative and communicates with the plasma processing apparatus 400 . In some implementations, system controller 450 includes a processor system 452 (eg, a microprocessor) configured to execute instructions held in data system 454 (eg, memory). In some implementations, system controller 450 may communicate with plasma generator controller 422 to control plasma parameters and/or conditions. In some implementations, system controller 450 may communicate with pedestal 414 to control pedestal elevation and temperature. In some implementations, system controller 450 controls, among other things, RF power settings, frequency settings, duty cycles, pulse times, pressure in reaction chamber 404, pressure in remote plasma source 402, source gas flow rates from gas supply 426 and additional gas supply 428, gas flow rates from precursor supply source 440 and other sources, temperature of pedestal 414, and reaction chamber 404 You can also control other processing conditions, such as the temperature of

이하에 기술된 도 4의 제어기 (450) 의 양태들은 또한 도 3의 제어기 (340) 에 적용된다. 제어기 (450) 는 플라즈마 프로세싱 장치 (400) 의 동작을 위한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (450) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행될 수도 있다. 이들 인스트럭션들은 제어기 (450) 와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다.Aspects of controller 450 of FIG. 4 described below also apply to controller 340 of FIG. 3 . Controller 450 may include instructions for controlling process conditions for operation of plasma processing apparatus 400 . Controller 450 will typically include one or more memory devices and one or more processors. A processor may include a CPU or computer, analog input/output connections and/or digital input/output connections, stepper motor controller boards, and the like. Instructions may be executed on the processor to implement the appropriate control operations. These instructions may be stored on memory devices associated with controller 450, or they may be provided over a network.

특정한 실시 예들에서, 제어기 (450) 는 본 명세서에 기술된 플라즈마 프로세싱 장치 (400) 의 모든 또는 대부분의 액티비티들을 제어한다. 예를 들어, 제어기 (450) 는 탄화 실리콘 막을 증착하는 것과 연관된 플라즈마 프로세싱 장치 (400) 의 모든 또는 대부분의 액티비티들을 제어할 수도 있고, 선택 가능하게, 탄화 실리콘 막을 포함하는 제조 플로우의 다른 동작들을 제어할 수도 있다. 제어기 (450) 는 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, RF 전력 레벨들, 기판 위치, 및/또는 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 일부 실시 예들에서 제어기 (450) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 채용될 수도 있다. 기판 (412) 에 인접한 환경에서 상대적으로 약한 반응성 조건들을 제공하기 위해, RF 전력 레벨들, 플라즈마 영역 (424) 으로의 가스 플로우 레이트들, 화학적 기상 증착 존 (408) 으로의 가스 플로우 레이트들, 및 플라즈마 점화의 타이밍과 같은 파라미터들이 제어기 (450) 에 의해 조정되고 유지될 수 있다. 부가적으로, 기판 위치를 조정하는 것은 기판 (412) 에 인접한 환경에서 고-에너지 라디칼 종의 존재를 더 감소시킬 수도 있다. 멀티-스테이션 리액터에서, 제어기 (450) 는 상이한 장치 스테이션들에 대해 상이하거나 동일한 인스트럭션들을 포함할 수도 있고, 따라서 장치 스테이션들로 하여금 독립적으로 또는 동기적으로 동작하게 한다.In certain embodiments, the controller 450 controls all or most activities of the plasma processing apparatus 400 described herein. For example, controller 450 may control all or most activities of plasma processing apparatus 400 associated with depositing a silicon carbide film and, optionally, control other operations of a fabrication flow involving a silicon carbide film. You may. Controller 450 may execute system control software that includes sets of instructions for controlling timing, gas composition, gas flow rates, chamber pressure, chamber temperature, RF power levels, substrate position, and/or other parameters. there is. Other computer programs, scripts, or routines stored on memory devices associated with controller 450 may be employed in some embodiments. RF power levels, gas flow rates into the plasma region 424, gas flow rates into the chemical vapor deposition zone 408, and Parameters such as the timing of plasma ignition can be adjusted and maintained by controller 450 . Additionally, adjusting the substrate position may further reduce the presence of high-energy radical species in the environment adjacent to the substrate 412 . In a multi-station reactor, controller 450 may include different or identical instructions for different equipment stations, thus causing the equipment stations to operate independently or synchronously.

일부 실시 예들에서, 제어기 (450) 는 하나 이상의 실리콘-함유 전구체들을 가스 유출구 (442) 를 통해 반응 챔버 (404) 내로 흘리고, 소스 가스를 리모트 플라즈마 소스 (402) 내로 제공하고, 리모트 플라즈마 소스 (402) 내의 소스 가스의 하나 이상의 라디칼 종을 생성하고, 기판 (412) 상에 탄화 실리콘 막을 증착하기 위해 하나 이상의 실리콘-함유 전구체들과 반응하도록 리모트 플라즈마 소스 (402) 로부터 반응 챔버 (404) 내로 실질적으로 저 에너지 상태의 하나 이상의 라디칼 종을 도입하는 것과 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다. 기판 (412) 에 인접한 환경의 반응 챔버 (404) 내 하나 이상의 라디칼 종은 바닥 상태의 수소 라디칼들일 수도 있다. 일부 구현 예들에서, 제어기 (450) 는 하나 이상의 실리콘-함유 전구체들과 함께 공-반응물질을 반응 챔버 (404) 내로 흘리기 위한 인스트럭션들을 포함할 수도 있다. 공-반응물질은 비증착 첨가제 또는 증착 첨가제를 포함할 수도 있다.In some embodiments, the controller 450 flows one or more silicon-containing precursors through the gas outlet 442 into the reaction chamber 404, provides a source gas into the remote plasma source 402, and ) into the reaction chamber 404 from the remote plasma source 402 to react with the one or more silicon-containing precursors to deposit a silicon carbide film on the substrate 412 . It may also include instructions for performing operations such as introducing one or more radical species in a lower energy state. One or more radical species in the reaction chamber 404 of the environment adjacent to the substrate 412 may be ground state hydrogen radicals. In some implementations, controller 450 may include instructions for flowing a co-reactant into reaction chamber 404 along with one or more silicon-containing precursors. The co-reactant may include non-deposited additives or deposited additives.

일부 실시 예들에서, 장치 (400) 는 제어기 (450) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 (400) 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.In some embodiments, apparatus 400 may include a user interface associated with controller 450 . The user interface may include user input devices such as a display screen, graphical software displays of apparatus 400 and/or process conditions, pointing devices, keyboards, touch screens, microphones, and the like.

상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C ++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.Computer program code for controlling the operations may be written in any conventional computer readable programming language: eg assembly language, C, C ++, Pascal, Fortran, etc. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 시스템의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.Signals for monitoring the process may be provided by analog input connections and/or digital input connections of the system controller. Signals for controlling the process are output on the analog and digital output connections of the process system.

일반적으로 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 과 같은, 반도체 프로세싱 장비를 포함하는 시스템들 상에서 수행될 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 일반적으로, 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 제어기로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.Generally, the methods described herein use semiconductor processing equipment, such as a processing tool or tools, a chamber or chambers, a platform or platforms for processing, and/or certain processing components (wafer pedestal, gas flow system, etc.). It can be performed on systems that contain These systems may be integrated with electronics to control their operation before, during, and after processing of a semiconductor wafer or substrate. Electronic devices are generally referred to as controllers that may control various components or sub-portions of a system or systems. The controller controls delivery of processing gases, temperature settings (eg, heating and/or cooling), pressure settings, vacuum settings, power settings, depending on the processing requirements and/or type of system. , RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid transfer settings, position and motion settings, tools and other transfer tools, and/or connection with a specific system. may be programmed to control any of the processes disclosed herein, including transfers of wafers into and out of interfaced loadlocks.

일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달된 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 탄화 실리콘), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.Generally speaking, a controller receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and/or various integrated circuits, logic, memory, and/or the like. Alternatively, it may be defined as an electronic device having software. Integrated circuits are chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as Application Specific Integrated Circuits (ASICs), and/or that execute program instructions (e.g., software). It may also include one or more microprocessors or microcontrollers. Program instructions may be instructions passed to a controller or system in the form of various individual settings (or program files) that specify operating parameters for executing a specific process on or on a semiconductor wafer. In some embodiments, operating parameters are set by process engineers to accomplish one or more processing steps during fabrication of one or more layers, materials (eg, silicon carbide), surfaces, circuits, and/or dies of a wafer. It can also be part of a prescribed recipe.

제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. A controller, in some implementations, may be part of or coupled to a computer that is integrated into, coupled to, or otherwise networked to the system, or a combination thereof. For example, the controller may be all or part of a fab host computer system that may enable remote access of wafer processing or may be in the "cloud."

컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from multiple manufacturing operations, changes parameters of current processing, and executes processing steps following current processing. You can also enable remote access to the system to set up, or start new processes. In some examples, a remote computer (eg, server) can provide process recipes to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings that are then transferred from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of tool that the controller is configured to control or interface with and the type of process to be performed. Accordingly, as described above, a controller may be distributed by including one or more separate controllers that are networked together and operate toward a common purpose, such as the processes and controls described herein. One example of a distributed controller for these purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (e.g., at platform level or as part of a remote computer) that are combined to control a process on the chamber. .

본 명세서에 기술된 탄화 실리콘 증착에 더하여, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.In addition to the silicon carbide deposition described herein, exemplary systems include plasma etch chambers or modules, deposition chambers or modules, spin-rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules. , PVD (Physical Vapor Deposition) chamber or module, CVD (Chemical Vapor Deposition) chamber or module, ALD chamber or module, ALE (Atomic Layer Etch) chamber or module, ion implantation chamber or module, track chamber or module, and any other semiconductor processing systems that may be used in or associated with the fabrication and/or fabrication of semiconductor wafers.

상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.As described above, depending on the process step or steps to be performed by the tool, the controller may, upon material transfer moving containers of wafers from/to load ports and/or tool positions within the semiconductor fabrication plant, other tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, neighboring tools, tools located throughout the factory, main computer, another controller, or tools can also communicate.

본 명세서에 상기 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.The apparatus/process described herein above may be used with lithographic patterning tools or processes, for example, for the fabrication or fabrication of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, these tools/processes will be used or performed together in a common manufacturing facility. Lithographic patterning of a film is typically performed using the following operations, each of which is enabled using a number of possible tools: (1) a workpiece using either a spin-on tool or a spray-on tool; , that is, applying a photoresist on a substrate; (2) curing the photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light using a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist using a tool such as a wet bench to pattern the resist; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma assisted etching tool; and (6) removing some or all of the resist using a tool such as an RF or microwave plasma resist stripper.

단차 커버리지를 개선하기 위한 공-반응물질들Co-reactants to improve step coverage

ALD 기법들은 일반적으로 고 단차 커버리지를 달성하기 위해 채용되고, 단차 커버리지는 90 % 이상, 95 % 이상, 99 % 이상, 또는 심지어 100 %일 수 있다. 그러나, ALD를 사용한 탄화 실리콘 막들의 증착은 탄화 실리콘 막들의 ALD를 달성하기 어렵게 할 수 있는 열역학적 과제들을 포함하여 많은 과제들을 제시한다. 더욱이, ALD의 증착 레이트는 통상적인 CVD 기법들과 비교하여 보다 느리고 제조 프로세스들에서 바람직하지 않을 수도 있다. 본 개시는 리모트 플라즈마 CVD를 사용한 탄화 실리콘 막들의 증착에 관한 것이다. 도핑되거나 도핑되지 않은 탄화 실리콘 막들은 ALD 기법들과 필적할만한 단차 커버리지를 달성하는 리모트 플라즈마 CVD 기법을 사용하여 증착될 수도 있다.ALD techniques are generally employed to achieve high step coverage, and step coverage can be greater than 90%, greater than 95%, greater than 99%, or even 100%. However, deposition of silicon carbide films using ALD presents many challenges, including thermodynamic challenges that can make ALD of silicon carbide films difficult to achieve. Moreover, the deposition rate of ALD is slower compared to conventional CVD techniques and may not be desirable in manufacturing processes. This disclosure relates to the deposition of silicon carbide films using remote plasma CVD. Doped or undoped silicon carbide films may be deposited using a remote plasma CVD technique that achieves step coverage comparable to ALD techniques.

상기 논의된 바와 같이, 탄화 실리콘 막들을 증착하기 위한 증착 반응은 실리콘-함유 전구체 및 라디칼 종에 더하여 공-반응물질을 포함할 수도 있다. 공-반응물질의 도입은 탄화 실리콘 막의 단차 커버리지를 증가시키는 역할을 할 수도 있다. 공-반응물질은 실리콘-함유 전구체와 함께 반응 챔버 내로 흐를 수도 있고, 공-반응물질은 리모트 플라즈마 소스로부터 다운 스트림으로 흐를 수도 있다. 예를 들어, 실리콘-함유 전구체 및 공-반응물질을 도입하기 위한 가스 유출구는 리모트 플라즈마 소스로부터 다운 스트림에 위치될 수도 있다. 리모트 플라즈마 소스는 기판에 인접한 분위기 및 기판으로부터 업스트림으로 간주된다. 일부 구현 예들에서, 실리콘-함유 전구체 및 공-반응물질을 도입하기 위한 가스 유출구는 리모트 플라즈마 소스로부터 다운 스트림 그리고 기판으로부터 업스트림 및 기판에 인접한 분위기에 위치될 수도 있다.As discussed above, the deposition reaction to deposit silicon carbide films may include a co-reactant in addition to a silicon-containing precursor and radical species. The introduction of a co-reactant may serve to increase the step coverage of the silicon carbide film. The co-reactant may flow into the reaction chamber along with the silicon-containing precursor, and the co-reactant may flow downstream from the remote plasma source. For example, a gas outlet for introducing the silicon-containing precursor and co-reactant may be located downstream from the remote plasma source. A remote plasma source is considered upstream from the substrate and the atmosphere adjacent to the substrate. In some implementations, the gas outlet for introducing the silicon-containing precursor and co-reactant may be located in an atmosphere downstream from the remote plasma source and upstream from and adjacent to the substrate.

일부 구현 예들에서, 공-반응물질은 증착 첨가제 또는 비증착 첨가제일 수도 있다. 본 명세서에 사용된 바와 같이, 비증착 첨가제는 달리 리모트 플라즈마 CVD 반응에 참여하는 실리콘-함유 전구체의 존재 없이 저절로 증착되지 않을 증착 반응에 대한 첨가제이다. 증착 첨가제는 리모트 플라즈마 CVD 반응에 참여하는 실리콘-함유 전구체의 존재없이 자체적으로 증착될 증착 반응에 대한 첨가제이다.In some implementations, the co-reactant may be a deposited additive or a non-deposited additive. As used herein, a non-deposition additive is an additive to a deposition reaction that will not spontaneously deposit without the presence of a silicon-containing precursor that would otherwise participate in the remote plasma CVD reaction. A deposition additive is an additive for a deposition reaction to be deposited on its own without the presence of a silicon-containing precursor participating in the remote plasma CVD reaction.

비증착 첨가제 또는 증착 첨가제는 실리콘-함유 전구체에 더하여 제 2 전구체로서 도입될 수도 있다. 제 2 전구체는 탄화 실리콘 막의 단차 커버리지를 개선하는 역할을 하는 화학 물질을 갖는다. 증착된 탄화 실리콘 막의 단차 커버리지는 기판의 하나 이상의 피처들에 대해 측정될 수도 있다. 본 명세서에서 사용될 때 "피처 (feature)"는 기판, 통상적으로 반도체 디바이스 제조 동작에서 수정될 표면 상의 비-평면형 구조체를 지칭할 수도 있다. 피처들의 예들은 트렌치들, 비아들, 패드들, 필라들 (pillars), 돔들 (domes), 등을 포함한다. 피처는 통상적으로 종횡비 (깊이 또는 높이 대 폭) 를 갖는다. 일부 구현 예들에서, 탄화 실리콘 막의 단차 커버리지는 적어도 90 %, 적어도 95 %, 또는 적어도 99 %이다.A non-deposition additive or deposition additive may be introduced as a second precursor in addition to the silicon-containing precursor. The second precursor has a chemical substance that serves to improve step coverage of the silicon carbide film. Step coverage of the deposited silicon carbide film may be measured for one or more features of the substrate. As used herein, “feature” may refer to a non-planar structure on a substrate, typically a surface to be modified in a semiconductor device manufacturing operation. Examples of features include trenches, vias, pads, pillars, domes, and the like. Features usually have an aspect ratio (depth or height to width). In some implementations, the step coverage of the silicon carbide film is at least 90%, at least 95%, or at least 99%.

비증착 첨가제들non-deposition additives

일부 구현 예들에서, 비증착 첨가제는 탄화수소 분자이다. 예를 들어, 탄화수소 분자는 적어도 하나의 이중 결합 또는 적어도 하나의 삼중 결합을 갖는 소쇄 (small-chain) 탄화수소 분자일 수도 있다. 일부 실시 예들에서, 탄화수소 분자는 3 개의 탄소 원자들과 7 개의 탄소 원자들 사이의 탄소 사슬을 포함한다. 일부 실시 예들에서, 탄화수소 분자는 하나 이상의 탄소-대-탄소 이중 결합들 또는 삼중 결합들과 같은 하나 이상의 불포화 탄소 결합들을 포함할 수도 있다. 따라서, 탄화수소 분자는 알켄기 또는 알킨기를 포함할 수도 있다. 적합한 탄화수소 분자들의 예들은 프로필렌, 에틸렌, 부텐, 펜텐, 부타디엔, 펜타디엔 (예를 들어, 1,4 펜타디엔), 헥사디엔, 헵타디엔, 톨루엔, 및 벤젠을 포함한다. 적합한 탄화수소 분자들의 부가적인 예들은 아세틸렌, 프로핀, 부틴, 펜틴 (예를 들어, 1-펜틴), 및 헥신 (예를 들어, 2-헥신) 을 포함한다. 비증착 첨가제는 또한 탄소-함유 전구체 또는 비증착 탄소-함유 전구체로 지칭될 수도 있다.In some embodiments, the non-deposition additive is a hydrocarbon molecule. For example, the hydrocarbon molecule may be a small-chain hydrocarbon molecule having at least one double bond or at least one triple bond. In some embodiments, a hydrocarbon molecule includes a carbon chain between 3 carbon atoms and 7 carbon atoms. In some embodiments, a hydrocarbon molecule may contain one or more unsaturated carbon bonds, such as one or more carbon-to-carbon double bonds or triple bonds. Thus, the hydrocarbon molecule may contain an alkene group or an alkyne group. Examples of suitable hydrocarbon molecules include propylene, ethylene, butene, pentene, butadiene, pentadiene (eg, 1,4 pentadiene), hexadiene, heptadiene, toluene, and benzene. Additional examples of suitable hydrocarbon molecules include acetylene, propyne, butyne, pentene (eg 1-pentyne), and hexyne (eg 2-hexyne). Non-depositing additives may also be referred to as carbon-containing precursors or non-depositing carbon-containing precursors.

비증착 첨가제는 상기 기술된 바와 같이 하나 이상의 실리콘-함유 전구체들과 함께 흐른다. 실리콘-함유 전구체들 각각은 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들을 포함할 수도 있다. 더욱이, 실리콘-함유 전구체들 각각은 하나 이상의 Si-C 결합들, Si-N 결합들, 및/또는 Si-O 결합들을 포함할 수도 있고, C-O 결합들, 그리고 C-N 결합들을 포함하지 않을 수도 있다. 실리콘-함유 전구체들의 예들은 알킬카보실란들, 실록산들, 또는 실라잔들을 포함할 수도 있지만 이로 제한되지 않는다. 비증착 첨가제는 하나 이상의 라디칼 종으로부터 다운스트림의 반응 챔버 내로 도입될 수도 있다. 라디칼 종은 비증착 첨가제를 도입하기 위해 가스 유출구로부터 업스트림의 리모트 플라즈마 소스에서 생성될 수도 있다. 라디칼 종은 수소 라디칼들을 포함할 수도 있고, 수소 라디칼들은 비증착 첨가제와 혼합하거나 상호 작용할 때 실질적으로 저 에너지 상태 또는 바닥 상태에 있다. The non-deposition additive flows with one or more silicon-containing precursors as described above. Each of the silicon-containing precursors may include one or more Si-H bonds and/or Si-Si bonds. Moreover, each of the silicon-containing precursors may include one or more Si-C bonds, Si-N bonds, and/or Si-O bonds, and may not include C-O bonds and C-N bonds. Examples of silicon-containing precursors may include, but are not limited to, alkylcarbosilanes, siloxanes, or silazanes. A non-deposition additive may be introduced into the reaction chamber downstream from one or more radical species. Radical species may be generated in a remote plasma source upstream from the gas outlet to introduce the non-deposition additive. The radical species may include hydrogen radicals, which are in a substantially low energy or ground state when mixing or interacting with the non-deposition additive.

어떠한 이론에도 제한되지 않고, 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은 비증착 첨가제와 상호 작용할 수도 있다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은 약 50 ℃ 초과 또는 약 25 ℃ 초과와 같은 적당한 온도에서 증착될 수 없는 종을 형성하도록 비증착 첨가제와 상호 작용할 수도 있다고 여겨진다. 수소 라디칼들은 활성화된 알칸 (예를 들어, 메탄) 을 형성하도록 비증착 첨가제의 탄화수소 분자의 알킨기 또는 알켄기와 상호 작용할 수도 있다. 일부 예들에서, 탄화수소 분자는 보다 소쇄의 탄화수소 분자들 또는 라디칼들로 분해된다. 이러한 종은 너무 가벼울 수도 있고 저절로 증착하기에는 부착 계수가 너무 낮을 수도 있다. 즉, 비증착 첨가제는 탄화 실리콘 막의 조성에 상당히 기여하지 않고 증착 반응에서 패시브 관전자 (passive spectator) 로서 역할을 할 수도 있다. 어떠한 이론에도 제한되지 않고, 활성화된 알칸들은 원래의 실리콘-함유 전구체보다 낮은 부착 계수를 갖는 새로운 종을 형성하도록 실리콘-함유 전구체와 상호 작용할 수도 있다. 탄화수소 분자 및 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들과의 임의의 반응의 부산물들이 상당한 양으로 탄화 실리콘 막에 혼입되지 않는다. 본 명세서에 사용된 바와 같이, 탄화 실리콘 막 내 첨가제의 혼입에 대한 "상당한 양"은 첨가제 없이 탄화 실리콘 막의 증착과 비교하여 약 5 % 이상의 양만큼 탄소 또는 실리콘의 원자 농도의 변화를 지칭할 수도 있다. 일부 구현 예들에서, 탄화 실리콘 막 내 C-C 결합들의 백분율은 약 2 % 이하, 약 1 % 이하, 약 0.5 % 이하, 또는 심지어 0 %이다.Without being bound by any theory, hydrogen radicals in a substantially lower energy state or ground state may interact with the non-deposition additive. It is believed that substantially low energy or ground state hydrogen radicals may interact with non-depositing additives to form species that cannot be deposited at moderate temperatures, such as above about 50 °C or above about 25 °C. Hydrogen radicals may interact with alkyne or alkene groups of the hydrocarbon molecule of the non-deposition additive to form an activated alkane (eg methane). In some instances, a hydrocarbon molecule breaks down into smaller chain hydrocarbon molecules or radicals. These species may be too light and have too low sticking coefficients to deposit spontaneously. That is, the non-deposition additive may act as a passive spectator in the deposition reaction without significantly contributing to the composition of the silicon carbide film. Without being bound by any theory, activated alkanes may interact with the silicon-containing precursor to form a new species with a lower sticking coefficient than the original silicon-containing precursor. Byproducts of any reaction with hydrocarbon molecules and substantially low energy or ground state hydrogen radicals are not incorporated into the silicon carbide film in significant amounts. As used herein, “substantial amount” for incorporation of an additive in a silicon carbide film may refer to a change in the atomic concentration of carbon or silicon by an amount of at least about 5% compared to deposition of a silicon carbide film without additives. . In some implementations, the percentage of C-C bonds in the silicon carbide film is about 2% or less, about 1% or less, about 0.5% or less, or even 0%.

일부 구현 예들에서, 비증착 종의 플로우 레이트가 제어될 수 있다. 비증착 종의 플로우 레이트는 탄화 실리콘 막의 증착 레이트 및 단차 커버리지와 같은 증착 조건들에 영향을 줄 수 있다. 일부 구현 예들에서, 비증착 종의 플로우 레이트는 약 1 sccm 내지 약 50 sccm, 또는 약 5 sccm 내지 약 25 sccm이다.In some implementations, the flow rate of the non-depositing species can be controlled. The flow rate of the non-depositing species can affect deposition conditions such as the deposition rate and step coverage of the silicon carbide film. In some embodiments, the flow rate of the non-depositing species is between about 1 sccm and about 50 sccm, or between about 5 sccm and about 25 sccm.

도 5a는 탄소-함유 비증착 첨가제를 사용하지 않고 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다. 탄화 실리콘 막은 탄소-함유 종을 포함하는 제 2 전구체 없이, 실리콘-함유 종을 포함하는 제 1 전구체를 사용하여 증착된다. 도 5b는 탄소-함유 비증착 첨가제를 사용하여 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다. 탄화 실리콘 막은 실리콘-함유 종을 포함하는 제 1 전구체 및 탄소-함유 종을 포함하는 제 2 전구체를 사용하여 증착된다. 5A shows a TEM image of a silicon carbide thin film deposited on substrate features without the use of a carbon-containing non-deposition additive. A silicon carbide film is deposited using a first precursor comprising a silicon-containing species without a second precursor comprising a carbon-containing species. 5B shows a TEM image of a silicon carbide thin film deposited on substrate features using a carbon-containing non-deposition additive. A silicon carbide film is deposited using a first precursor comprising a silicon-containing species and a second precursor comprising a carbon-containing species.

표 1은 단차 커버리지에 대해 도 5a와 도 5b 사이의 탄화 실리콘 막의 증착의 차이들을 요약한다. 제 2 전구체, 특히 프로필렌과 같은 탄소-함유 전구체의 도입은 탄화 실리콘 막의 단차 커버리지를 상당히 개선한다. 예를 들어, 탄화 실리콘 막의 단차 커버리지는 적어도 95 % 또는 적어도 99 %이다. 더욱이, 탄화 실리콘 막의 막 조성은 탄소-함유 전구체의 도입으로 상당히 변경되지 않는다. 막 품질 및 막 밀도는 또한 탄소-함유 전구체의 도입으로 실질적으로 보존된다. 예를 들면, 막 밀도는 동일하거나 약 2.0 g/㎤보다 클 수 있다.Table 1 summarizes the differences in deposition of the silicon carbide film between FIGS. 5A and 5B for step coverage. The introduction of a second precursor, particularly a carbon-containing precursor such as propylene, significantly improves the step coverage of the silicon carbide film. For example, the step coverage of the silicon carbide film is at least 95% or at least 99%. Moreover, the film composition of the silicon carbide film is not significantly changed with the introduction of the carbon-containing precursor. Film quality and film density are also substantially preserved with the introduction of carbon-containing precursors. For example, the film density may be the same or greater than about 2.0 g/cm 3 .

전구체들: 실리콘-함유 전구체Precursors: Silicon-containing precursor 전구체들: 실리콘-함유 전구체 및 탄소-함유 전구체 (예를 들어, 프로필렌)Precursors: silicon-containing precursor and carbon-containing precursor (eg propylene) 단차 커버리지step coverage 88.0 %88.0% 100.0 %100.0%

증착 첨가제들deposition additives

일부 구현 예들에서, 증착 첨가제는 제 1 실리콘-함유 전구체와 함께 흐르는 제 2 실리콘-함유 전구체이다. 제 1 실리콘-함유 전구체는 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들을 갖는다. 제 2 실리콘-함유 전구체는 Si-H 결합들을 갖지 않고 Si-Si 결합들을 갖지 않는다. 일부 구현 예들에서, 제 2 실리콘-함유 전구체는 하나 이상의 Si-C 결합들을 갖는다. 일부 구현 예들에서, 제 2 실리콘-함유 전구체는 알킬실란을 포함하는 유기 실리콘 전구체이다. Si-H 결합들 또는 Si-Si 결합들이 없는 알킬실란을 포함하는 유기 실리콘 전구체들의 예들은 이로 제한되지 않지만 테트라메틸실란 (4MS), 테트라에틸실란, 테트라프로필실란, 및 헥사메틸디실릴펜탄을 포함한다. 일부 구현 예들에서, 제 2 실리콘-함유 전구체는 실라잔을 포함하는 유기 실리콘 전구체이다. Si-H 결합들 또는 Si-Si 결합들이 없는 실라잔을 포함하는 유기 실리콘 전구체의 예는 헥사메틸디실라잔을 포함하지만 이로 제한되지 않는다. 일부 구현 예들에서, 제 2 실리콘-함유 전구체는 알킬 실릴기를 포함하는 유기 실리콘 전구체이다. 어떠한 Si-H 결합들 또는 Si-Si 결합들도 없는 알킬 실릴기를 포함하는 유기 실리콘 전구체의 예는 비스트리메틸실릴메탄을 포함하지만 이로 제한되지 않는다. In some implementations, the deposition additive is a second silicon-containing precursor co-flowing with the first silicon-containing precursor. The first silicon-containing precursor has one or more Si-H bonds and/or Si-Si bonds. The second silicon-containing precursor does not have Si-H bonds and does not have Si-Si bonds. In some implementations, the second silicon-containing precursor has one or more Si-C bonds. In some implementations, the second silicon-containing precursor is an organosilicon precursor comprising an alkylsilane. Examples of organic silicon precursors including alkylsilanes without Si-H bonds or Si-Si bonds include but are not limited to tetramethylsilane (4MS), tetraethylsilane, tetrapropylsilane, and hexamethyldisilylpentane. do. In some implementations, the second silicon-containing precursor is an organosilicon precursor comprising a silazane. An example of an organosilicon precursor comprising a silazane without Si-H bonds or Si-Si bonds includes, but is not limited to, hexamethyldisilazane. In some implementations, the second silicon-containing precursor is an organic silicon precursor comprising an alkyl silyl group. An example of an organic silicon precursor comprising an alkyl silyl group without any Si-H bonds or Si-Si bonds includes, but is not limited to, bistrimethylsilylmethane.

증착 첨가제는 상기 기술된 바와 같이 하나 이상의 제 1 실리콘-함유 전구체들과 함께 흐른다. 제 1 실리콘-함유 전구체들 각각은 하나 이상의 Si-H 결합들 및/또는 Si-Si 결합들을 포함할 수도 있다. 더욱이, 제 1 실리콘-함유 전구체들 각각은 하나 이상의 Si-C 결합들, Si-N, 및/또는 Si-O 결합들을 포함할 수도 있고, C-O 결합들, 및 C-N 결합들을 포함하지 않을 수도 있다. 제 1 실리콘-함유 전구체들의 예들은 이로 제한되는 것은 아니지만 알킬카보실란들, 실록산들, 또는 실라잔들을 포함할 수도 있다. 증착 첨가제는 하나 이상의 라디칼 종으로부터 다운스트림의 반응 챔버 내로 도입될 수도 있다. 라디칼 종은 증착 첨가제를 도입하기 위해 가스 유출구로부터 업스트림의 리모트 플라즈마 소스에서 생성될 수도 있다. 라디칼 종은 수소 라디칼들을 포함할 수도 있고, 수소 라디칼들은 증착 첨가제 (제 2 실리콘-함유 전구체) 와 혼합하거나 상호 작용할 때 실질적으로 저 에너지 상태 또는 바닥 상태에 있다.A deposition additive flows with the one or more first silicon-containing precursors as described above. Each of the first silicon-containing precursors may include one or more Si-H bonds and/or Si-Si bonds. Moreover, each of the first silicon-containing precursors may include one or more Si-C bonds, Si-N, and/or Si-O bonds, and may not include C-O bonds and C-N bonds. Examples of first silicon-containing precursors may include, but are not limited to, alkylcarbosilanes, siloxanes, or silazanes. Deposition additives may be introduced into the reaction chamber downstream from one or more radical species. Radical species may be generated in a remote plasma source upstream from the gas outlet to introduce the deposition additive. The radical species may include hydrogen radicals, which are in a substantially low energy or ground state when mixing or interacting with the deposition additive (the second silicon-containing precursor).

어떠한 이론에도 제한되지 않고, 증착 반응에서 보다 동역학적으로 유리한 반응 메커니즘들 중 하나는 제 1 실리콘-함유 전구체에서 Si-H 결합들의 선택적인 파괴를 수반하는 수소 추출 (abstraction) 을 포함한다. 증착 반응에서 동역학적으로 덜 유리한 반응 메커니즘들 중 하나는 제 2 실리콘-함유 전구체의 알킬기들의 수소로의 치환을 수반하는 수소 치환을 포함한다. 치환은 제 1 실리콘-함유 전구체의 활성화된 종과 반응할 수도 있는 탄화수소 라디칼들을 발생시킨다. 제 2 실리콘-함유 전구체의 분압을 상승시킴으로써, 반응 평형은 수소 치환 반응 메커니즘의 생성물들을 향해 상승된다. 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들은 약 50 ℃보다 높거나 약 25 ℃보다 높은 온도에 대해 온도와 무관하게 증착될 수 있는 종을 형성하도록 증착 첨가제와 상호 작용할 수도 있다고 여겨진다. 수소 라디칼들과의 상호 작용들은 서로 반응할 수 있는 활성화된 제 1 실리콘-함유 전구체들 및/또는 활성화된 제 2 실리콘-함유 전구체들 (즉, 활성화된 첨가제 실리콘-함유 전구체들) 을 발생시킬 수도 있다. 어떠한 이론에 의해서도 제한되지 않고, 일 잠재적인 메커니즘은 수소 라디칼들에 의해 활성화되는 제 1 실리콘-함유 전구체를 수반하고, 활성화된 제 1 실리콘-함유 전구체는 새로운 증착 종 및 탄화수소 라디칼을 형성하기 위해 제 2 실리콘-함유 전구체와 반응할 수 있는 실리콘-기반 라디칼을 형성한다. 이 새로운 종은 원래의 실리콘-함유 전구체보다 낮은 부착 계수를 가질 것이다. 따라서, 증착 첨가제는 패시브 관전자로서 역할을 하지 않고, 탄화 실리콘 막의 조성에 상당히 기여할 수 있다. 증착 첨가제 및 실질적으로 저 에너지 상태 또는 바닥 상태의 수소 라디칼들과의 임의의 반응의 부산물들이 상당한 양으로 탄화 실리콘 막에 혼입될 수도 있다. Without being bound by any theory, one of the more kinetically favorable reaction mechanisms in the deposition reaction involves hydrogen abstraction involving selective breakage of Si-H bonds in the first silicon-containing precursor. One of the less kinetically favorable reaction mechanisms in the deposition reaction involves hydrogen substitution followed by hydrogen substitution of the alkyl groups of the second silicon-containing precursor. Substitution generates hydrocarbon radicals that may react with the activated species of the first silicon-containing precursor. By raising the partial pressure of the second silicon-containing precursor, the reaction equilibrium is raised towards the products of the hydrogen displacement reaction mechanism. It is believed that substantially low energy or ground state hydrogen radicals may interact with deposition additives to form species that can be deposited independent of temperature for temperatures greater than about 50°C or greater than about 25°C. Interactions with hydrogen radicals may generate activated first silicon-containing precursors and/or activated second silicon-containing precursors (ie, activated additive silicon-containing precursors) that can react with each other. there is. Without being limited by any theory, one potential mechanism involves the first silicon-containing precursor being activated by hydrogen radicals, which activated first silicon-containing precursor may be used to form new deposition species and hydrocarbon radicals. 2 Forms silicon-based radicals that can react with silicon-containing precursors. This new species will have a lower sticking coefficient than the original silicon-containing precursor. Thus, the deposition additive does not act as a passive observer and can contribute significantly to the composition of the silicon carbide film. Substantial amounts of by-products of any reaction with deposition additives and substantially low energy or ground state hydrogen radicals may be incorporated into the silicon carbide film.

일부 구현 예들에서, 증착 종의 플로우 레이트가 제어될 수 있다. 증착 종의 플로우 레이트는 탄화 실리콘 막의 증착 레이트 및 단차 커버리지와 같은 증착 조건들에 영향을 줄 수 있다. 일부 구현 예들에서, 증착 종의 플로우 레이트는 약 25 sccm 내지 약 200 sccm, 또는 약 50 sccm 내지 약 100 sccm이다. 일부 구현 예들에서, 증착 종의 플로우 레이트는 원래의 실리콘-함유 전구체의 플로우 레이트보다 적어도 2 배 클 수 있다.In some implementations, the flow rate of the deposition species can be controlled. The flow rate of the deposition species can affect deposition conditions such as the deposition rate and step coverage of the silicon carbide film. In some implementations, the flow rate of the deposition species is between about 25 sccm and about 200 sccm, or between about 50 sccm and about 100 sccm. In some implementations, the flow rate of the deposition species can be at least twice as large as the flow rate of the original silicon-containing precursor.

도 6a는 실리콘-함유 증착 첨가제를 사용하지 않고 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다. 탄화 실리콘 막은 하나 이상의 Si-H 및/또는 Si-Si 결합들을 갖는 실리콘-함유 종을 포함하는 제 1 전구체를 사용하고, Si-H 결합 또는 Si-Si 결합을 갖지 않는 실리콘-함유 종을 포함하는 제 2 전구체 없이 증착된다. 도 6b는 실리콘-함유 증착 첨가제를 사용하여 기판 피처들 상에 증착된 탄화 실리콘 박막의 TEM 이미지를 도시한다. 탄화 실리콘 막은 하나 이상의 Si-H 및/또는 Si-Si 결합들을 갖는 실리콘-함유 종을 포함하는 제 1 전구체 및 Si-H 결합 또는 Si-Si 결합을 갖지 않는 실리콘-함유 종을 포함하는 제 2 전구체를 사용하여 증착된다. 6A shows a TEM image of a silicon carbide thin film deposited on substrate features without the use of a silicon-containing deposition additive. The silicon carbide film uses a first precursor comprising a silicon-containing species having one or more Si-H and/or Si-Si bonds and comprising a silicon-containing species having no Si-H bonds or Si-Si bonds. Deposited without a second precursor. 6B shows a TEM image of a silicon carbide thin film deposited on substrate features using a silicon-containing deposition additive. The silicon carbide film comprises a first precursor comprising a silicon-containing species having one or more Si-H and/or Si-Si bonds and a second precursor comprising a silicon-containing species having no Si-H bonds or Si-Si bonds. is deposited using

표 2는 단차 커버리지와 관련하여 도 6a와 도 6b 사이의 탄화 실리콘 막의 증착의 차이들을 요약한다. 제 2 전구체, 특히 테트라메틸실란과 같은 Si-H 또는 Si-Si 결합들을 갖지 않는 유기 실리콘 전구체의 도입은 탄화 실리콘 막의 단차 커버리지를 상당히 개선한다. 예를 들어, 탄화 실리콘 막의 단차 커버리지는 적어도 95 % 또는 적어도 99 %이다. 탄화 실리콘 막의 막 조성은 약간 변경되지만 제 2 실리콘-함유 전구체의 도입으로 크게 변경되지 않는다. 막 품질 및 막 밀도는 또한 탄소-함유 전구체의 도입으로 실질적으로 보존된다. 예를 들면, 막 밀도는 동일하거나 약 2.0 g/보다 클 수 있다. Table 2 summarizes the differences in deposition of the silicon carbide film between Figs. 6A and 6B with respect to step coverage. Introduction of a second precursor, especially an organic silicon precursor having no Si-H or Si-Si bonds such as tetramethylsilane, significantly improves the step coverage of the silicon carbide film. For example, the step coverage of the silicon carbide film is at least 95% or at least 99%. The film composition of the silicon carbide film changes slightly but not significantly with the introduction of the second silicon-containing precursor. Film quality and film density are also substantially preserved with the introduction of carbon-containing precursors. For example, the membrane density can be the same or greater than about 2.0 g/g.

전구체들: 제 1 실리콘-함유 전구체 Precursors: A first silicon-containing precursor 전구체들: 제 1 실리콘-함유 전구체 및 제 2 실리콘-함유 전구체 (예를 들어, 테트라메틸실란)Precursors: a first silicon-containing precursor and a second silicon-containing precursor (eg tetramethylsilane) 단차 커버리지step coverage 85.8 %85.8% 99.2 %99.2%

증착된 막의 구조 및 특성들Structure and properties of the deposited film

증착된 막은 실리콘, 탄소, 및 일부 경우들에서 산소, 질소, 및/또는 하나 이상의 다른 원소들을 포함할 것이다. 일부 실시 예들에서, 실리콘의 원자 농도는 약 15 % 내지 45 % (또는 약 25 % 내지 40 %) 이고, 탄소의 원자 농도는 약 10 % 내지 50 %이고, 산소의 원자 농도는 약 0 % 내지 45 %이고, 질소의 원자 농도는 약 0 % 내지 45 %이다. 일 예에서, 실리콘의 원자 농도는 약 30 %이고, 산소의 원자 농도는 약 25 %이고, 탄소의 원자 농도는 약 45 %이다. 또 다른 예에서, 실리콘의 원자 농도는 약 30 %이고, 산소의 원자 농도는 약 45 %이고, 탄소의 원자 농도는 약 25 %이다. 또 다른 예에서, 막은 모두 원자 기준으로 약 10 내지 15 %의 탄소 및 약 30 내지 40 %의 산소를 함유한다. 모든 경우들에서, 막은 일부 수소를 포함할 수도 있다. 그러나, 수소의 상대적인 원자 농도는 작고, 예를 들어, 약 5 % 이하일 것이라는 것이 이해될 것이다. 상대적인 원자 농도들은 전구체들의 선택에 따라 가변할 수 있다는 것이 이해될 것이다. 실리콘 원자들은 탄소 및 선택 가능하게 질소 및/또는 산소 원자들과 결합들을 형성할 것이다. 일부 실시 예들에서, 증착된 막은 Si-C 결합들보다 많은 Si-O 결합들을 포함한다. 이는 보다 낮은 유전 상수를 갖는 상대적으로 다공성 막을 제공할 수 있다. 일부 예들에서, 증착된 막은 약 0.5:1 내지 3:1인 Si-O 결합들 대 Si-C 결합들의 비를 포함한다. 일부 실시 예들에서, 증착된 막은 Si-C 결합들보다 많은 Si-N 결합들을 포함한다. 일부 예들에서, 증착된 막은 약 0.5:1 내지 3:1인 Si-N 결합들 대 Si-C 결합들의 비를 포함한다. 특정한 실시 예들에서, 막 밀도는 약 2 내지 2.7 g/㎤이다.The deposited film will include silicon, carbon, and in some cases oxygen, nitrogen, and/or one or more other elements. In some embodiments, the atomic concentration of silicon is between about 15% and 45% (or between about 25% and 40%), the atomic concentration of carbon is between about 10% and 50%, and the atomic concentration of oxygen is between about 0% and 45%. %, and the atomic concentration of nitrogen is between about 0% and 45%. In one example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 25%, and the atomic concentration of carbon is about 45%. In another example, the atomic concentration of silicon is about 30%, the atomic concentration of oxygen is about 45%, and the atomic concentration of carbon is about 25%. In another example, the film contains about 10 to 15% carbon and about 30 to 40% oxygen on an all atomic basis. In all cases, the film may contain some hydrogen. However, it will be appreciated that the relative atomic concentration of hydrogen will be small, eg, about 5% or less. It will be appreciated that relative atomic concentrations may vary depending on the selection of precursors. Silicon atoms will form bonds with carbon and optionally nitrogen and/or oxygen atoms. In some embodiments, the deposited film includes more Si-O bonds than Si-C bonds. This can provide a relatively porous film with a lower dielectric constant. In some examples, the deposited film includes a ratio of Si-O bonds to Si-C bonds that is between about 0.5:1 and 3:1. In some embodiments, the deposited film includes more Si-N bonds than Si-C bonds. In some examples, the deposited film includes a ratio of Si-N bonds to Si-C bonds that is between about 0.5:1 and 3:1. In certain embodiments, the film density is between about 2 and 2.7 g/cm 3 .

일부 실시 예들에서, 전구체의 내부 구조는 증착된 막에서 유지된다. 이 구조는 전구체 분자들 내에 Si-H 및/또는 Si-Si 결합들이 존재하는 위치들에서 결합들을 통해 그리고/또는 충분한 열 에너지가 제공된다면 성장하는 표면 상의 부가적인 축합 반응들을 통해 개별 전구체 모이어티들을 결합하거나 교차-결합하는 동안, 전구체 내에 존재한다면, Si-C, 및 Si-O 및/또는 Si-N 결합들의 전부 또는 대부분을 보존할 수도 있다.In some embodiments, the internal structure of the precursor is maintained in the deposited film. This structure forms individual precursor moieties via bonds at locations where there are Si-H and/or Si-Si bonds within the precursor molecules and/or via additional condensation reactions on the growing surface if sufficient thermal energy is provided. During bonding or cross-linking, all or most of the Si-C, and Si-O and/or Si-N bonds, if present in the precursor, may be preserved.

본 명세서에 앞서 기술된 프로세스 조건들은 매우 컨포멀한 막 구조를 제공할 수 있다. 상대적으로 약한 프로세스 조건들은 증착이 방향성을 갖지 않도록 기판의 표면에서 이온 충돌 정도를 최소화할 수 있다. 더욱이, 상대적으로 약한 프로세스 조건들은 이전에 증착된 층들 또는 막들의 측벽들에 부착하는 경향을 갖는 고 부착 계수들을 갖는 라디칼들의 수를 감소시킬 수 있다. 특정한 실시 예들에서, 약 2:1 내지 10:1의 종횡비에 대해, 탄화 실리콘 막은 약 25 % 내지 100 %, 보다 통상적으로 약 50 % 내지 100 %, 그리고 훨씬 더 통상적으로 약 80 % 내지 100 %의 컨포멀성으로 증착될 수도 있다. 컨포멀성은 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께를 피처의 하단부, 측벽, 또는 상단부 상에 증착된 막의 평균 두께와 비교함으로써 계산될 수도 있다. 예를 들어, 컨포멀성은 측벽 상에 증착된 막의 평균 두께를 피처의 상단부 상에 증착된 막의 평균 두께로 나누고 백분율을 얻기 위해 100을 곱함으로써 계산될 수도 있다. 특정한 적용 예들에 대해, 약 85 % 내지 95 %의 컨포멀성이 충분하다. 약 2:1 내지 약 4:1의 종횡비를 갖는 피처들 상에 탄화 실리콘을 증착하는 일부 예들에서, 컨포멀성은 적어도 약 90 %이다. 특정한 BEOL (back end of line) 프로세스들은 이 카테고리에 속한다. 약 4:1 내지 약 6:1의 종횡비를 갖는 피처들 상에 탄화 실리콘을 증착하는 일부 예들에서, 컨포멀성은 적어도 약 80 %이다. 특정한 스페이서 증착 프로세스들이 이 카테고리에 속한다. 약 7:1 내지 약 10:1 (그리고 훨씬 보다 높은) 종횡비를 갖는 피처들 상에 탄화 실리콘을 증착하는 일부 예들에서, 컨포멀성은 적어도 약 90 %이다. 특정한 DRAM (dynamic random access memory) 제조 프로세스들이 이 카테고리에 속한다.The process conditions previously described herein can provide highly conformal film structures. Relatively mild process conditions can minimize the degree of ion bombardment at the surface of the substrate so that the deposition is non-directional. Furthermore, relatively mild process conditions can reduce the number of radicals with high adhesion coefficients that tend to adhere to the sidewalls of previously deposited layers or films. In certain embodiments, for an aspect ratio of about 2:1 to 10:1, the silicon carbide film may have between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. It can also be deposited conformally. Conformality may be calculated by comparing the average thickness of the film deposited on the bottom, sidewall, or top of the feature to the average thickness of the film deposited on the bottom, sidewall, or top of the feature. For example, conformality may be calculated by dividing the average thickness of the film deposited on the sidewall by the average thickness of the film deposited on the top of the feature and multiplying by 100 to obtain a percentage. For certain applications, a conformality of about 85% to 95% is sufficient. In some examples of depositing silicon carbide on features having an aspect ratio of about 2:1 to about 4:1, the conformality is at least about 90%. Certain back end of line (BEOL) processes fall into this category. In some examples of depositing silicon carbide on features having an aspect ratio of about 4:1 to about 6:1, the conformality is at least about 80%. Certain spacer deposition processes fall into this category. In some examples of depositing silicon carbide on features having an aspect ratio of about 7:1 to about 10:1 (and much higher), the conformality is at least about 90%. Certain dynamic random access memory (DRAM) manufacturing processes fall into this category.

프로세스 조건들은 또한 고 파괴 전압 및 저 누설 전류를 갖는 막 구조를 제공할 수 있다. 제한된 양의 산소 또는 질소를 재료의 SiC 부류에 도입함으로써, Si-H 결합들 및/또는 Si-CH2-Si 결합들에 의해 제공된 누설 경로들은 산소 또는 질소에 의해 차단될 수도 있다. 전도 모드는 저 필드들에서 Si-O 및 Si-N에서 상이할 수도 있다. 이는 상대적으로 저 유전 상수를 유지하면서 개선된 전기적 특성을 제공할 수 있다. 다양한 실시 예들에서, 막은 약 5 이하, 또는 약 4.0 이하, 그리고 일부 경우들에서 약 3.5 이하, 그리고 일부 경우들에서 약 3.0 이하, 그리고 또 다른 구현 예들에서 약 2.5 이하의 유효 유전 상수를 갖는다. 유효 유전 상수는 결합 및 밀도에 따라 결정될 수 있다. 특정한 실시 예들에서, SiOC 막들은, 특히 탄소 함량이 상대적으로 높을 때 6 이상의 유전 상수로 이루어진다. 누설 전류가 중요한 고려 사항이라면 SiOC는 5 미만이어야 한다. 낮게 갈수록 기밀성 및 배리어 및 내열 특성이 악화된다. 적용 예들이 저 기밀성 및 확산 한계들, 우수한 에칭 내성, 열적 안정성 등을 요구하는 일부 실시 예들에서, 탄화 실리콘 막은 치밀하고 고도로 교차-결합될 수도 있다. 이는, 예를 들어, a) 상대적으로 고온에서 막을 증착하고, 그리고/또는 b) 상대적으로 높은 라디칼들:전구체 비를 제공함으로써 달성될 수 있다. 일부 실시 예들에서, 탄화 실리콘 막은 상대적으로 박형일 수 있지만 효과적인 밀폐 또는 확산 배리어로서 역할을 한다.The process conditions can also provide a film structure with high breakdown voltage and low leakage current. By introducing a limited amount of oxygen or nitrogen into the SiC class of material, leakage paths provided by Si-H bonds and/or Si-CH 2 -Si bonds may be blocked by oxygen or nitrogen. The conduction mode may be different in Si-O and Si-N at low fields. This can provide improved electrical properties while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 5 or less, or about 4.0 or less, and in some cases about 3.5 or less, and in some cases about 3.0 or less, and in still other implementations about 2.5 or less. The effective dielectric constant can be determined based on bonding and density. In certain embodiments, SiOC films consist of a dielectric constant of 6 or greater, especially when the carbon content is relatively high. If leakage current is an important consideration, SiOC should be less than 5. As it goes lower, the airtightness and barrier and heat resistance properties deteriorate. In some embodiments where applications require low hermeticity and diffusion limits, good etch resistance, thermal stability, etc., the silicon carbide film may be dense and highly cross-linked. This can be achieved, for example, by a) depositing the film at a relatively high temperature, and/or b) providing a relatively high radicals:precursor ratio. In some embodiments, the silicon carbide film can be relatively thin but serves as an effective hermetic or diffusion barrier.

일부 실시 예들에서, 증착된 막은 다공성일 수 있다. 본 명세서에서 앞서 논의된 바와 같이, 실리콘-함유 전구체들은 고리형 실록산들 및 케이지된 실록산들을 포함할 수 있다. 이들 전구체들 및 상당한 내부 개방 공간을 갖는 다른 전구체들은 증착된 막의 구조 내로 상당한 다공성을 도입할 수 있다. 증착된 막의 다공성은 유전 상수를 더 낮출 수 있다. 일부 실시 예들에서, 증착된 탄화 실리콘 막의 다공성은 약 20 % 내지 50 %이다. 다공성 막의 기공 사이즈는 고리형 또는 케이지된 전구체의 사이즈를 추적할 수도 있다. 특정한 실시 예들에서, 막의 평균 포어 사이즈는 약 5 Å 내지 20 Å 예컨대 약 16 Å이다.In some embodiments, the deposited film may be porous. As previously discussed herein, silicon-containing precursors may include cyclic siloxanes and caged siloxanes. These precursors and others with significant internal open space can introduce significant porosity into the structure of the deposited film. The porosity of the deposited film can further lower the dielectric constant. In some embodiments, the porosity of the deposited silicon carbide film is between about 20% and 50%. The pore size of a porous membrane may track the size of a cyclic or caged precursor. In certain embodiments, the average pore size of the membrane is between about 5 Å and 20 Å, such as about 16 Å.

적용 예들application examples

본 개시는 고품질 탄화 실리콘 막들에 대한 다음의 적용 예들을 참조하여 더 이해될 수도 있고, 적용 예들은 순전히 예시적인 것으로 의도된다. 본 개시는 단지 본 개시의 양태들의 예시들인, 명시된 적용 예들에 의해 범위가 제한되지 않는다.This disclosure may be further understood with reference to the following application examples for high quality silicon carbide films, the application examples being intended to be purely illustrative. The disclosure is not limited in scope by the specific application examples, which are merely examples of aspects of the disclosure.

일부 실시 예들에서, 탄화 실리콘 막은 노출된 구리 위에 증착될 수도 있다. 일부 실시 예들에서, 탄화 실리콘 막을 증착할 때 기판에 인접한 반응 조건들은 산화제들, 예컨대 이의 라디칼들을 포함하여, O2, O3, 및 CO2이 없을 수 있다. 따라서, 탄화 실리콘 막은 구리를 산화시키지 않고 (예를 들어, 제 2 구리 산화물을 생성하지 않고) 노출된 구리 바로 위에 증착될 수도 있다. 이러한 탄화 실리콘 막들은 에칭 정지 층들로서 역할을할 수 있고, 이는 또한 구리 확산 배리어들로서 역할을할 수 있다. 탄화 실리콘 막의 존재는 확산 배리어로서 역할을 하도록 우수한 누설 특성들을 갖는 충분히 낮은 유전 상수를 제공할 수 있다. 탄화 실리콘 막은 그 자체로 또는 이중층 스택 (예를 들어, 노출된 구리 위에 증착된 SiCO/SiNC 이중층) 으로서 에칭 정지부 및/또는 확산 배리어일 수 있다. 일부 실시 예들에서, 탄화 실리콘 막은 통상적으로 다마신 프로세스에 의해 생성되는 인접한 금속화 층들 사이에 배치될 수 있다. 탄화 실리콘 막은 에칭에 내성이 있을 수 있고 유전체 재료의 인접한 영역들 내로 구리 이온들의 확산을 최소화하도록 충분히 치밀할 수 있다. 일부 실시 예들에서, 질소는 질소-함유 전구체들 또는 플라즈마 활성화 질소-함유 라디칼들, 예컨대 원소 질소 라디칼들 또는 아민 라디칼들을 채용함으로써 막 내로 혼입될 수도 있다.In some embodiments, a silicon carbide film may be deposited over the exposed copper. In some embodiments, reaction conditions adjacent to the substrate when depositing the silicon carbide film may be free of oxidants, such as O 2 , O 3 , and CO 2 , including their radicals. Thus, a silicon carbide film may be deposited directly over the exposed copper without oxidizing the copper (eg, without generating cupric oxide). These silicon carbide films can serve as etch stop layers, which can also serve as copper diffusion barriers. The presence of a silicon carbide film can provide a sufficiently low dielectric constant with good leakage properties to act as a diffusion barrier. The silicon carbide film can be an etch stop and/or diffusion barrier by itself or as a bilayer stack (eg, a SiCO/SiNC bilayer deposited over exposed copper). In some embodiments, a silicon carbide film may be disposed between adjacent metallization layers typically produced by a damascene process. The silicon carbide film can be resistant to etching and sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, nitrogen may be incorporated into the film by employing nitrogen-containing precursors or plasma activated nitrogen-containing radicals, such as elemental nitrogen radicals or amine radicals.

도 1b에 도시된 바와 같이 일부 실시 예들에서, 탄화 실리콘 막 (111) 은 기판 (110) 의 피처들 (112) 상에 컨포멀하게 증착될 수 있다. 피처들 (112) 은 격리되거나 치밀한 피처들일 수 있고, 피처들 (112) 은 상대적으로 작은 CD (critical dimension) 들을 가질 수 있다. 일부 실시 예들에서, 피처들은 약 20 ㎚ 이하, 약 10 ㎚ 이하, 또는 약 5 ㎚ 이하인 CD를 가질 수 있다. 피처들 (112) 의 높이 대 폭 종횡비는 2:1 초과, 5:1 초과, 10:1 초과, 또는 20:1 초과일 수 있다. 피처들 (112) 상에 증착된 탄화 실리콘 막 (111) 의 단차 커버리지는 적어도 90 %, 적어도 95 %, 또는 적어도 99 %이다.In some embodiments, as shown in FIG. 1B , a silicon carbide film 111 can be conformally deposited over features 112 of substrate 110 . Features 112 can be isolated or dense features, and features 112 can have relatively small critical dimensions (CDs). In some embodiments, features may have a CD that is about 20 nm or less, about 10 nm or less, or about 5 nm or less. The height to width aspect ratio of features 112 may be greater than 2:1, greater than 5:1, greater than 10:1, or greater than 20:1. The step coverage of the silicon carbide film 111 deposited on the features 112 is at least 90%, at least 95%, or at least 99%.

일부 실시 예들에서, 탄화 실리콘 막은 금속 또는 반도체 구조체들에 인접한 수직 구조체들로서 증착될 수도 있다. 탄화 실리콘의 증착은 수직 구조체들을 생성하도록 금속 또는 반도체 구조체들의 측벽들을 따라 우수한 단차 커버리지를 제공한다. 특정한 실시 예들에서, 수직 구조체들은 스페이서들 또는 라이너들로 지칭될 수도 있다.In some embodiments, the silicon carbide film may be deposited as vertical structures adjacent to metal or semiconductor structures. Deposition of silicon carbide provides good step coverage along the sidewalls of metal or semiconductor structures to create vertical structures. In certain embodiments, vertical structures may be referred to as spacers or liners.

도 1c는 트랜지스터의 게이트 전극 구조체의 측벽들 상에 증착된 탄화 실리콘 라이너들의 단면을 예시한다. 도 1c에 예시된 바와 같이, 트랜지스터는 소스 (122) 및 드레인 (123) 을 갖는 실리콘 기판 (120) 을 갖는 CMOS 트랜지스터일 수 있다. 게이트 유전체 (124) 는 실리콘 기판 (120) 위에 증착될 수 있고, 게이트 전극 (125) 은 트랜지스터를 형성하도록 게이트 유전체 (124) 위에 증착될 수 있다. 탄화 실리콘 스페이서들 또는 라이너들 (121) 은 게이트 전극 (125) 및 게이트 유전체 (124) 의 측벽들 상에 증착될 수 있다.1C illustrates a cross-section of silicon carbide liners deposited on sidewalls of a gate electrode structure of a transistor. As illustrated in FIG. 1C , the transistor can be a CMOS transistor having a silicon substrate 120 having a source 122 and a drain 123 . A gate dielectric 124 can be deposited over the silicon substrate 120 and a gate electrode 125 can be deposited over the gate dielectric 124 to form a transistor. Silicon carbide spacers or liners 121 can be deposited on the sidewalls of gate electrode 125 and gate dielectric 124 .

또 다른 예에서, 도 1d는 에어 갭 타입 금속화 층에서 노출된 구리 라인들의 측벽들 상에 증착된 탄화 실리콘 막들의 단면을 예시한다. 에어 갭들 (130) 은 층의 유효 k-값을 감소시킬 수 있는 구리 라인들 (132) 사이의 집적 회로 층 내로 도입될 수 있다. 탄화 실리콘 라이너들 (131) 이 구리 라인들 (132) 의 측벽들 상에 증착될 수 있고, 컨포멀하지 않은 유전체 층 (133) 이 에어 갭들 (130), 라이너들 (131), 및 구리 라인들 (132) 상에 증착될 수 있다. 이러한 에어 갭 타입 금속화 층들의 예들은 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, Fei Wang 등의 미국 특허 출원 공보 제 2004/0232552 호에 기술될 수 있다.In another example, FIG. 1D illustrates a cross-section of silicon carbide films deposited on sidewalls of copper lines exposed in an air gap type metallization layer. Air gaps 130 can be introduced into the integrated circuit layer between the copper lines 132 which can reduce the effective k-value of the layer. Silicon carbide liners 131 can be deposited on the sidewalls of the copper lines 132, and a non-conformal dielectric layer 133 can be applied to the air gaps 130, the liners 131, and the copper lines. (132). Examples of such air gap type metallization layers may be described in US Patent Application Publication No. 2004/0232552 to Fei Wang et al., which is incorporated herein by reference in its entirety for all purposes.

일부 실시 예들에서, 탄화 실리콘 막은 패터닝된 다공성 유전체 재료들의 측벽들 상에 증착될 수도 있다. ULK 유전체 재료들은 다공성 구조로부터 이루어질 수 있다. 이러한 재료들의 기공들은 탄탈룸 (Ta) 과 같은 금속을 함유하는 확산 배리어들의 증착을 포함하여, 후속 층들의 증착 동안 금속의 진입을 위한 영역들을 제공할 수 있다. 너무 많은 금속이 유전체 재료 내로 마이그레이션한다면 (migrate), 유전체 재료는 인접한 구리 금속화 라인들 사이에 단락을 제공할 수도 있다.In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric materials. ULK dielectric materials can be made from porous structures. Pores in these materials can provide areas for metal ingress during deposition of subsequent layers, including the deposition of diffusion barriers containing a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short between adjacent copper metallization lines.

도 1e는 다공성 유전체 재료들에 대한 기공 시일링제로서 탄화 실리콘 막의 단면을 예시한다. 다공성 유전체 층 (142) 은 기공들 (140) 을 형성하도록 다공성 유전체 층 (142) 내로 절단된 복수의 트렌치들 또는 비아들을 가질 수 있다. 탄화 실리콘 막 (141) 은 기공들 (140) 을 효과적으로 시일링하도록 기공들 (140) 을 따라 증착될 수 있다. 탄화 실리콘 막 (141) 으로 기공들 (140) 을 시일링하는 것은 그렇지 않으면 플라즈마를 사용하는 다른 시일링 기법들에 의해 발생할 수도 있는 다공성 유전체 층 (142) 의 손상을 방지할 수 있다. 탄화 실리콘 막 (141) 은 기공 시일링제로서 충분히 치밀할 수 있고 PMDSO 및 TMDSO와 같은 비-고리형 실리콘-함유 전구체들을 포함할 수도 있다. 일부 실시 예들에서, 다공성 유전체 층 (142) 과 같은 에칭된 유전체 재료는 먼저 다공성 유전체 층 (142) 을 UV 복사선 및 환원제에 노출시키는 "k-복구" 프로세스에 의해 처리될 수도 있다. 이 복구 프로세스는 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, Varadarajan 등의 공동으로 소유된 미국 특허 출원 공보 제 2011/0111533 호에 더 기술된다. 또 다른 "k-복구" 프로세스에서, 다공성 유전체 층 (142) 은 UV 복사선 및 화학적 실릴화제에 노출될 수 있다. 이 복구 프로세스는 전체가 모든 목적들을 위해 참조로서 본 명세서에 인용된, Varadarajan 등의 공동으로 소유된 미국 특허 출원 공보 제 2011/0117678 호에 더 기술된다. 표면을 보다 친수성이 되게 하고 재료의 단층을 제공하는, 기공들 (140) 을 복구 처리에 노출한 후, 컨포멀하게 증착된 탄화 실리콘 막 (141) 층이 다공성 유전체 층 (142) 의 기공들 (140) 을 효과적으로 시일링하도록 증착될 수 있다.1E illustrates a cross-section of a silicon carbide film as a pore sealing agent for porous dielectric materials. Porous dielectric layer 142 can have a plurality of trenches or vias cut into porous dielectric layer 142 to form pores 140 . A silicon carbide film 141 may be deposited along the pores 140 to effectively seal the pores 140 . Sealing the pores 140 with the silicon carbide film 141 can prevent damage to the porous dielectric layer 142 that may otherwise occur by other sealing techniques using plasma. The silicon carbide film 141 may be sufficiently dense as a pore sealing agent and may include non-cyclic silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, an etched dielectric material such as porous dielectric layer 142 may be treated by a “k-repair” process that first exposes porous dielectric layer 142 to UV radiation and a reducing agent. This recovery process is further described in commonly owned US Patent Application Publication No. 2011/0111533 to Varadarajan et al., which is incorporated herein by reference in its entirety for all purposes. In another “k-repair” process, porous dielectric layer 142 can be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in commonly owned US Patent Application Publication No. 2011/0117678 to Varadarajan et al., which is incorporated herein by reference in its entirety for all purposes. After exposing the pores 140 to a repair process, which renders the surface more hydrophilic and provides a monolayer of material, a conformally deposited silicon carbide film 141 layer is applied to the pores of the porous dielectric layer 142 ( 140) can be deposited to effectively seal it.

일부 실시 예들에서, 탄화 실리콘 막은 ULK 유전체 재료 자체로서 증착될 수도 있다. ULK 유전체들은 관례적으로 2.5보다 낮은 유전 상수를 갖는 재료들로 규정된다. 이러한 구성들에서, 탄화 실리콘의 ULK 유전체 재료는 다공성 유전체 층일 수 있다. 유전체 층의 기공들은 고리형 실록산들 및 실세스퀴옥산들을 포함하는 고리형 또는 케이지된 전구체 분자들을 사용함으로써 도입될 수 있다. 일 예에서, 탄화 실리콘의 ULK 유전체 층의 다공성은 약 20 % 내지 50 %일 수 있다. 또한, ULK 유전체 층은 약 100 Å 미만, 예컨대 약 5 Å 내지 20 Å의 평균 기공 사이즈를 가질 수 있다. 예를 들어, 사이클로실록산 고리는 약 6.7 Å의 반경을 가질 수 있다. 기공들의 수 및 사이즈를 증가시키는 것은 유전 상수를 낮출 수 있지만, 유전체 층이 너무 다공성이라면 유전체 층의 기계적 무결성이 절충될 수 있다.In some embodiments, the silicon carbide film may be deposited as the ULK dielectric material itself. ULK dielectrics are conventionally defined as materials having a dielectric constant lower than 2.5. In these configurations, the ULK dielectric material of silicon carbide may be a porous dielectric layer. Pores in the dielectric layer can be introduced by using cyclic or caged precursor molecules including cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ULK dielectric layer of silicon carbide may be between about 20% and 50%. Additionally, the ULK dielectric layer may have an average pore size of less than about 100 Å, such as between about 5 Å and 20 Å. For example, a cyclosiloxane ring may have a radius of about 6.7 Å. Increasing the number and size of pores can lower the dielectric constant, but the mechanical integrity of the dielectric layer can be compromised if the dielectric layer is too porous.

결론conclusion

전술한 기술 (description) 에서, 제시된 실시예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되었지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.In the foregoing description, numerous specific details have been set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. Although the disclosed embodiments have been described with specific examples, it will be understood that this is not intended to limit the disclosed embodiments.

전술한 실시예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서, 본 실시예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be regarded as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (19)

기판 상에 탄화 실리콘 막을 증착하는 방법에 있어서,
반응 챔버 내에 기판을 제공하는 단계;
상기 기판을 향해 상기 반응 챔버 내로 실리콘-함유 전구체를 흘리는 단계로서, 상기 실리콘-함유 전구체는 (i) Si-H 결합들 및 Si-Si 결합들 중 하나 이상, (ii) Si-C 결합들, Si-N 결합들 및 Si-O 결합들 중 하나 이상을 갖고, (iii) C-O 결합들이 없고, (iv) C-N 결합들이 없는, 상기 실리콘-함유 전구체를 흘리는 단계;
상기 실리콘-함유 전구체와 함께 상기 반응 챔버 내로 탄소-함유 전구체를 흘리는 단계로서, 상기 탄소-함유 전구체는 하나 이상의 탄소-대-탄소 이중 결합들 또는 삼중 결합들을 갖는 탄화수소 분자인, 상기 탄소-함유 전구체를 흘리는 단계;
수소 소스 가스로부터, 상기 실리콘-함유 전구체 및 상기 탄소-함유 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계로서, 상기 실리콘-함유 전구체 및 상기 탄소-함유 전구체는 상기 리모트 플라즈마 소스로부터 다운스트림에 있는 하나 이상의 가스 유출구를 통해 상기 반응 챔버 내로 흐르는, 상기 수소 라디칼들을 생성하는 단계; 및
상기 수소 라디칼들을 상기 반응 챔버 내로 그리고 상기 기판을 향해 도입하는 단계로서, 상기 수소 라디칼들은 상기 기판 상에 도핑되거나 도핑되지 않은 탄화 실리콘 막을 형성하기 위해 상기 실리콘-함유 전구체 및 상기 탄소-함유 전구체와 반응하도록 바닥 상태에 있고, 상기 도핑되거나 도핑되지 않은 탄화 실리콘 막은 적어도 90 %의 컨포멀성 (conformality) 을 갖는, 상기 수소 라디칼들을 도입하는 단계를 포함하는, 탄화 실리콘 막을 증착하는 방법.
A method of depositing a silicon carbide film on a substrate, comprising:
providing a substrate within the reaction chamber;
flowing a silicon-containing precursor into the reaction chamber toward the substrate, the silicon-containing precursor comprising (i) one or more of Si-H bonds and Si-Si bonds, (ii) Si-C bonds; flowing the silicon-containing precursor having at least one of Si-N bonds and Si-O bonds, (iii) no CO bonds, and (iv) no CN bonds;
flowing a carbon-containing precursor into the reaction chamber together with the silicon-containing precursor, wherein the carbon-containing precursor is a hydrocarbon molecule having one or more carbon-to-carbon double bonds or triple bonds; shedding;
generating hydrogen radicals from a hydrogen source gas in a remote plasma source located upstream of the silicon-containing precursor and the carbon-containing precursor, wherein the silicon-containing precursor and the carbon-containing precursor are separated from the remote plasma source. generating the hydrogen radicals flowing into the reaction chamber through one or more gas outlets downstream; and
introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals react with the silicon-containing precursor and the carbon-containing precursor to form a doped or undoped silicon carbide film on the substrate wherein the doped or undoped silicon carbide film has a conformality of at least 90%.
제 1 항에 있어서,
상기 기판에 인접한 분위기의 모든 또는 실질적으로 모든 수소 라디칼들은 상기 바닥 상태의 수소 라디칼들인, 탄화 실리콘 막을 증착하는 방법.
According to claim 1,
wherein all or substantially all hydrogen radicals in the atmosphere adjacent to the substrate are the ground state hydrogen radicals.
제 1 항에 있어서,
상기 도핑되거나 도핑되지 않은 탄화 실리콘 막은 산화탄화 실리콘 (SiCO), 탄화질화 실리콘 (SiCN), 또는 산화탄화질화 실리콘 (SiOCN) 의 도핑된 탄화 실리콘 막인, 탄화 실리콘 막을 증착하는 방법.
According to claim 1,
wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxide carbide (SiCO), silicon carbide nitride (SiCN), or silicon oxycarbonitride (SiOCN).
제 3 항에 있어서,
상기 탄화수소 분자는 프로필렌, 부텐, 펜텐, 부타디엔, 펜타디엔, 헥사디엔, 헵타디엔, 톨루엔, 벤젠, 프로핀, 부틴, 펜틴, 또는 헥신을 포함하는, 탄화 실리콘 막을 증착하는 방법.
According to claim 3,
wherein the hydrocarbon molecule comprises propylene, butene, pentene, butadiene, pentadiene, hexadiene, heptadiene, toluene, benzene, propyne, butyne, pentene, or hexyne.
제 1 항에 있어서,
상기 탄소-함유 전구체는 상기 도핑되거나 도핑되지 않은 탄화 실리콘 막에서 비-증착 종으로서 상기 실리콘-함유 전구체와 상호 작용하는, 탄화 실리콘 막을 증착하는 방법.
According to claim 1,
wherein the carbon-containing precursor interacts with the silicon-containing precursor as a non-depositing species in the doped or undoped silicon carbide film.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 실리콘-함유 전구체 및 상기 탄소-함유 전구체는 동일한 플로우 경로를 따라 상기 반응 챔버 내로 동시에 흐르는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 1 to 5,
wherein the silicon-containing precursor and the carbon-containing precursor simultaneously flow into the reaction chamber along the same flow path.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 도핑되거나 도핑되지 않은 탄화 실리콘 막은 적어도 95 %의 컨포멀성을 갖는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 1 to 5,
wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
상기 실리콘-함유 전구체는 알킬카보실란, 실록산, 또는 실라잔을 포함하는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 1 to 5,
wherein the silicon-containing precursor comprises an alkylcarbosilane, siloxane, or silazane.
기판 상에 탄화 실리콘 막을 증착하는 방법에 있어서,
반응 챔버 내에 기판을 제공하는 단계;
제 1 유기 실리콘 전구체를 상기 반응 챔버 내로 흘리는 단계로서, 상기 제 1 유기 실리콘 전구체는 (i) Si-H 결합들 및 Si-Si 결합들 중 하나 이상, 및 (ii) Si-C 결합들, Si-N 결합들 및 Si-O 결합들 중 하나 이상을 갖는, 상기 제 1 유기 실리콘 전구체를 흘리는 단계;
제 2 유기 실리콘 전구체를 상기 반응 챔버 내로 흘리는 단계로서, 상기 제 2 유기 실리콘 전구체는 (i) Si-H 결합들을 포함하지 않고 (ii) Si-Si 결합들을 포함하지 않는, 상기 제 2 유기 실리콘 전구체를 흘리는 단계;
수소 소스 가스로부터, 상기 제 1 유기 실리콘 전구체 및 상기 제 2 유기 실리콘 전구체의 업스트림에 위치된 리모트 플라즈마 소스에서 수소 라디칼들을 생성하는 단계; 및
상기 반응 챔버 내로 그리고 상기 기판을 향하여 상기 수소 라디칼들을 도입하는 단계로서, 상기 수소 라디칼들은 상기 기판 상에 도핑되거나 도핑되지 않은 탄화 실리콘 막을 형성하기 위해 기 제 1 유기 실리콘 전구체 및 상기 제 2 유기 실리콘 전구체와 반응하도록 바닥 상태에 있는, 상기 수소 라디칼들을 도입하는 단계를 포함하는, 탄화 실리콘 막을 증착하는 방법.
A method of depositing a silicon carbide film on a substrate, comprising:
providing a substrate within the reaction chamber;
flowing a first organosilicon precursor into the reaction chamber, wherein the first organosilicon precursor comprises (i) one or more of Si-H bonds and Si-Si bonds, and (ii) Si-C bonds, Si flowing the first organosilicon precursor having at least one of -N bonds and Si-O bonds;
flowing a second organosilicon precursor into the reaction chamber, wherein the second organosilicon precursor (i) does not contain Si-H bonds and (ii) does not contain Si-Si bonds shedding;
generating hydrogen radicals from a hydrogen source gas in a remote plasma source located upstream of the first organosilicon precursor and the second organosilicon precursor; and
introducing the hydrogen radicals into the reaction chamber and toward the substrate, wherein the hydrogen radicals are directed to the first organic silicon precursor and the second organic silicon precursor to form a doped or undoped silicon carbide film on the substrate introducing the hydrogen radicals in the ground state to react with a silicon carbide film.
제 9 항에 있어서,
모든 또는 실질적으로 모든 수소 라디칼들은 상기 바닥 상태의 수소 라디칼들인, 탄화 실리콘 막을 증착하는 방법.
According to claim 9,
wherein all or substantially all hydrogen radicals are said ground state hydrogen radicals.
제 9 항에 있어서,
상기 도핑되거나 도핑되지 않은 탄화 실리콘 막은 산화탄화 실리콘 (SiCO), 탄화질화 실리콘 (SiCN), 또는 산화탄화질화 실리콘 (SiOCN) 의 도핑된 탄화 실리콘 막인, 탄화 실리콘 막을 증착하는 방법.
According to claim 9,
wherein the doped or undoped silicon carbide film is a doped silicon carbide film of silicon oxide carbide (SiCO), silicon carbide nitride (SiCN), or silicon oxycarbonitride (SiOCN).
제 9 항에 있어서,
상기 제 2 유기 실리콘 전구체의 플로우 레이트는 상기 제 1 유기 실리콘 전구체의 플로우 레이트보다 적어도 2 배 큰, 탄화 실리콘 막을 증착하는 방법.
According to claim 9,
wherein the flow rate of the second organosilicon precursor is at least twice greater than the flow rate of the first organosilicon precursor.
제 9 항에 있어서,
상기 제 2 유기 실리콘 전구체의 플로우 레이트는 25 sccm 내지 200 sccm 인, 탄화 실리콘 막을 증착하는 방법.
According to claim 9,
wherein the flow rate of the second organosilicon precursor is between 25 sccm and 200 sccm.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 도핑되거나 도핑되지 않은 탄화 실리콘 막은 적어도 95 %의 컨포멀성을 갖는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 9 to 13,
wherein the doped or undoped silicon carbide film has a conformality of at least 95%.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 제 2 유기 실리콘 전구체는 테트라에틸실란, 테트라프로필실란, 헥사메틸디실릴펜탄, 헥사메틸디실라잔, 또는 비스트리메틸실릴메탄을 포함하는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 9 to 13,
wherein the second organosilicon precursor comprises tetraethylsilane, tetrapropylsilane, hexamethyldisilylpentane, hexamethyldisilazane, or bistrimethylsilylmethane.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 제 2 유기 실리콘 전구체는 상기 도핑되거나 도핑되지 않은 탄화 실리콘 막에 증착 종으로서 상기 제 1 유기 실리콘 전구체와 상호 작용하는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 9 to 13,
wherein the second organosilicon precursor interacts with the first organosilicon precursor as a deposition species on the doped or undoped silicon carbide film.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 제 1 유기 실리콘 전구체 및 상기 제 2 유기 실리콘 전구체는 동일한 플로우 경로를 따라 상기 반응 챔버 내로 동시에 흐르는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 9 to 13,
wherein the first organosilicon precursor and the second organosilicon precursor simultaneously flow into the reaction chamber along the same flow path.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
상기 제 1 유기 실리콘 전구체 및 상기 제 2 유기 실리콘 전구체 각각은 상기 리모트 플라즈마 소스로부터 다운 스트림으로 흐르는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 9 to 13,
wherein each of the first organosilicon precursor and the second organosilicon precursor flows downstream from the remote plasma source.
제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
공-반응물질의 라디칼들 및/또는 이온들을 제공하기 위해 상기 리모트 플라즈마 소스 및 상기 제 1 유기 실리콘 전구체 및 상기 제 2 유기 실리콘 전구체의 업스트림으로부터 상기 공-반응물질을 흘리는 단계를 더 포함하고, 상기 공-반응물질은 이산화탄소 (CO2), 일산화탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화 질소 (N2O), 암모니아 (NH3), 또는 이들의 혼합물을 포함하는, 탄화 실리콘 막을 증착하는 방법.
According to any one of claims 9 to 13,
flowing the co-reactant from the remote plasma source and upstream of the first and second organosilicon precursors to provide radicals and/or ions of the co-reactant; Co-reactants are carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), nitrous oxide (N 2 O), ammonia (NH 3 ), or mixtures thereof.
KR1020217005460A 2018-07-24 2019-07-22 Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions KR102542281B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237019109A KR20230088843A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/044,357 US20180347035A1 (en) 2012-06-12 2018-07-24 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US16/044,357 2018-07-24
PCT/US2019/042821 WO2020023385A1 (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237019109A Division KR20230088843A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Publications (2)

Publication Number Publication Date
KR20210024673A KR20210024673A (en) 2021-03-05
KR102542281B1 true KR102542281B1 (en) 2023-06-13

Family

ID=69181953

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237019109A KR20230088843A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction
KR1020217005460A KR102542281B1 (en) 2018-07-24 2019-07-22 Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237019109A KR20230088843A (en) 2018-07-24 2019-07-22 Conformal deposition of silicon carbide films using heterogeneous precursor interaction

Country Status (4)

Country Link
KR (2) KR20230088843A (en)
CN (2) CN112469846B (en)
TW (1) TW202018115A (en)
WO (1) WO2020023385A1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018063825A1 (en) * 2016-09-30 2018-04-05 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699784B2 (en) * 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7018560B2 (en) * 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7915166B1 (en) * 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5476161B2 (en) * 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR101853802B1 (en) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US20180347035A1 (en) * 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6803368B2 (en) * 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Alkylamino-substituted halocarbosilane precursor
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
TW201822259A (en) * 2016-09-09 2018-06-16 美商諾發系統有限公司 Remote plasma based deposition of oxygen doped silicon carbide films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018063825A1 (en) * 2016-09-30 2018-04-05 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film

Also Published As

Publication number Publication date
CN112469846B (en) 2023-10-27
CN117660941A (en) 2024-03-08
KR20230088843A (en) 2023-06-20
WO2020023385A1 (en) 2020-01-30
TW202018115A (en) 2020-05-16
CN112469846A (en) 2021-03-09
KR20210024673A (en) 2021-03-05

Similar Documents

Publication Publication Date Title
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102406467B1 (en) Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films
KR102582838B1 (en) Densification of silicon carbide film using remote plasma treatment
KR102515238B1 (en) Conformal deposition of silicon carbide films
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR102480201B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
KR102542281B1 (en) Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant