KR102526306B1 - Semiconductor manufacturing method and plasma processing apparatus - Google Patents

Semiconductor manufacturing method and plasma processing apparatus Download PDF

Info

Publication number
KR102526306B1
KR102526306B1 KR1020180021733A KR20180021733A KR102526306B1 KR 102526306 B1 KR102526306 B1 KR 102526306B1 KR 1020180021733 A KR1020180021733 A KR 1020180021733A KR 20180021733 A KR20180021733 A KR 20180021733A KR 102526306 B1 KR102526306 B1 KR 102526306B1
Authority
KR
South Korea
Prior art keywords
film
gas
chamber
vapor pressure
organic film
Prior art date
Application number
KR1020180021733A
Other languages
Korean (ko)
Other versions
KR20180099504A (en
Inventor
미치코 나카야
마사노부 혼다
도루 히사마츠
마사히로 다바타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180099504A publication Critical patent/KR20180099504A/en
Application granted granted Critical
Publication of KR102526306B1 publication Critical patent/KR102526306B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 제조에 있어서 피처리체 상의 도전층의 부식을 방지하는 것을 목적으로 한다.
피처리체의 도전층 상의 절연막을 마스크의 패턴으로 에칭하고, 형성한 상기 절연막의 오목부에 상기 도전층을 노출시키는 제1 공정과, 상기 도전층이 노출된 절연막의 오목부에 유기막을 형성하는 제2 공정을 포함하고, 상기 제2 공정은, 챔버의 내부를 미리 결정된 압력으로 유지하고, 스테이지를 -20℃ 이하의 극저온으로 냉각시키고, 상기 스테이지 상에 피처리체를 설치하는 공정과, 상기 챔버의 내부에 저증기압 재료의 가스를 포함하는 가스를 공급하는 공정과, 공급된 상기 저증기압 재료의 가스를 포함하는 가스로부터 플라즈마를 생성하고, 상기 플라즈마에 의해 상기 저증기압 재료로부터 생성되는 프리커서를 상기 절연막의 오목부에 퇴적시켜 상기 유기막을 형성하는 공정을 갖는 반도체 제조 방법이 제공된다.
An object of the present invention is to prevent corrosion of a conductive layer on an object to be processed in semiconductor manufacturing.
A first step of etching an insulating film on a conductive layer of an object to be processed with a pattern of a mask and exposing the conductive layer in a concave portion of the formed insulating film, and a first step of forming an organic film in a concave portion of the insulating film where the conductive layer is exposed. It includes two steps, wherein the second step includes a step of maintaining the inside of the chamber at a predetermined pressure, cooling the stage to a cryogenic temperature of -20° C. or lower, and placing an object to be processed on the stage; A process of supplying a gas containing a gas of a low vapor pressure material therein, generating a plasma from the supplied gas containing the gas of the low vapor pressure material, and generating a precursor from the low vapor pressure material by the plasma as described above. A method for manufacturing a semiconductor having a step of forming the organic film by depositing it on a concave portion of an insulating film is provided.

Figure R1020180021733
Figure R1020180021733

Description

반도체 제조 방법 및 플라즈마 처리 장치{SEMICONDUCTOR MANUFACTURING METHOD AND PLASMA PROCESSING APPARATUS}Semiconductor manufacturing method and plasma processing apparatus {SEMICONDUCTOR MANUFACTURING METHOD AND PLASMA PROCESSING APPARATUS}

본 발명은, 반도체 제조 방법 및 플라즈마 처리 장치에 관한 것이다. The present invention relates to a semiconductor manufacturing method and a plasma processing apparatus.

반도체 웨이퍼에 대하여 드라이 에칭을 행함으로써, 반도체 웨이퍼에 형성된 Cu 배선 등의 금속을 포함하는 도전층의 일부를 노출시키는 것이 알려져 있다(예컨대 특허문헌 1∼4를 참조). 이 상태로 반도체 웨이퍼를 대기에 노출시키면, 노출된 도전층이 대기중의 수분과 반응하여 시간의 경과에 따라 변화하고 부식된다. 이 때문에, 도전층의 부식을 최소한으로 억제하기 위해, 드라이 에칭 공정의 종료로부터 다음 공정의 시작까지의 시간(소위 「Q-time」)의 관리가 행해지고 있다. It is known to expose a part of a conductive layer containing metal, such as Cu wiring, formed on a semiconductor wafer by performing dry etching on the semiconductor wafer (for example, see Patent Documents 1 to 4). When the semiconductor wafer is exposed to the air in this state, the exposed conductive layer reacts with moisture in the air to change and corrode over time. For this reason, in order to minimize corrosion of the conductive layer, management of the time from the end of the dry etching process to the start of the next process (so-called "Q-time") is performed.

이것에 대하여, 도전층을 보호막으로 코팅하여 도전층의 부식을 억제하는 것이 제안되어 있다. 예컨대, 특허문헌 1에서는, 리소그래피 공정에서 액침 노광에 이용되는 액체를 금속의 표면에 도포함으로써, Cu 배선 등의 금속막을 보호막에 의해 덮는 것이 제안되어 있다. In contrast, it has been proposed to coat the conductive layer with a protective film to suppress corrosion of the conductive layer. For example, Patent Literature 1 proposes covering a metal film such as Cu wiring with a protective film by applying a liquid used for liquid immersion exposure in a lithography process to the surface of the metal.

특허문헌 1 : 일본 특허 공개 제2015-046449호 공보Patent Document 1: Japanese Unexamined Patent Publication No. 2015-046449 특허문헌 2 : 일본 특허 공개 제2015-065396호 공보Patent Document 2: Japanese Unexamined Patent Publication No. 2015-065396 특허문헌 3 : 일본 특허 공개 제2015-149410호 공보Patent Document 3: Japanese Unexamined Patent Publication No. 2015-149410 특허문헌 4 : 일본 특허 공개 제2016-103595호 공보Patent Document 4: Japanese Unexamined Patent Publication No. 2016-103595

그러나, 상기 기술에서는, 에칭후의 반도체 웨이퍼를 노광 장치로 반송할 때, 보호막으로 코팅하기 전의 반도체 웨이퍼를 대기에 노출시켜 버리기 때문에, 노출된 금속막의 부식을 방지하기에는 충분하지 않다. 또한, 상기 기술에서는, 에칭 장치와는 상이한 노광 장치가 필요해져 비용이 든다. However, since the above technique exposes the semiconductor wafer before being coated with a protective film to the atmosphere when conveying the etched semiconductor wafer to the exposure apparatus, it is not sufficient to prevent corrosion of the exposed metal film. In addition, in the above technique, an exposure device different from the etching device is required, resulting in high cost.

상기 과제에 대하여, 일측면에서는, 본 발명은, 반도체 제조에 있어서 피처리체 상의 도전층의 부식을 방지하는 것을 목적으로 한다. With respect to the above problem, in one aspect, an object of the present invention is to prevent corrosion of a conductive layer on an object to be processed in semiconductor manufacturing.

상기 과제를 해결하기 위해, 하나의 양태에 의하면, 피처리체의 도전층 상의 절연막을 마스크의 패턴으로 에칭하고, 형성한 상기 절연막의 오목부에 상기 도전층을 노출시키는 제1 공정과, 상기 도전층이 노출된 절연막의 오목부에 유기막을 형성하는 제2 공정을 포함하고, 상기 제2 공정은, 챔버의 내부를 미리 결정된 압력으로 유지하고, 스테이지를 -20℃ 이하의 극저온으로 냉각시키고, 상기 스테이지 상에 피처리체를 설치하는 공정과, 상기 챔버의 내부에 저증기압 재료의 가스를 포함하는 가스를 공급하는 공정과, 공급한 상기 저증기압 재료의 가스를 포함하는 가스로부터 플라즈마를 생성하고, 상기 플라즈마에 의해 상기 저증기압 재료로부터 생성되는 프리커서를 상기 절연막의 오목부에 퇴적시켜 상기 유기막을 형성하는 공정을 갖는 반도체 제조 방법이 제공된다. In order to solve the above problem, according to one aspect, a first step of etching an insulating film on a conductive layer of an object to be processed with a pattern of a mask and exposing the conductive layer to a concave portion of the formed insulating film, and the conductive layer and a second process of forming an organic film in the concave portion of the exposed insulating film, wherein the second process maintains the inside of the chamber at a predetermined pressure, cools the stage to a cryogenic temperature of -20° C. or lower, and A step of placing an object to be processed on the chamber; There is provided a semiconductor manufacturing method including a step of forming the organic film by depositing a precursor generated from the low vapor pressure material into a concave portion of the insulating film.

하나의 측면에 의하면, 반도체 제조에 있어서 피처리체 상의 도전층의 부식을 방지할 수 있다. According to one aspect, it is possible to prevent corrosion of a conductive layer on an object to be processed in semiconductor manufacturing.

도 1은 일실시형태에 관한 프로세스와 비교예에 관한 프로세스의 일례를 나타내는 도면.
도 2는 일실시형태에 관한 반도체 제조 방법의 일례를 나타내는 플로우차트.
도 3은 일실시형태에 관한 반도체 제조에서의 성막 방법의 실험 결과의 일례를 나타내는 도면.
도 4는 일실시형태에 관한 반도체 제조에서의 성막 방법의 실험 결과의 일례를 나타내는 도면.
도 5는 일실시형태에 관한 성막 방법에 의한 막의 시간 변화의 실험 결과의 일례를 나타내는 그래프.
도 6은 일실시형태에 관한 반도체 제조에서의 성막 방법의 실험 결과의 일례를 나타내는 도면.
도 7은 일실시형태에 관한 반도체 제조에서의 성막 방법의 실험 결과의 일례를 나타내는 도면.
도 8은 증기압 곡선을 나타내는 도면.
도 9는 일실시형태에 관한 성막 방법에 의한 막두께와 금속의 부식의 결과의 일례를 나타내는 도면.
도 10은 일실시형태에 관한 성막 방법에 의한 막두께와 금속의 부식의 결과의 일례를 나타내는 도면.
도 11은 일실시형태에 관한 애싱 방법에 의한 애싱의 결과의 일례를 나타내는 도면.
도 12는 일실시형태에 관한 처리 시스템의 일례를 나타내는 도면.
도 13은 일실시형태에 관한 플라즈마 처리 장치의 일례를 나타내는 도면.
1 is a diagram showing an example of a process according to an embodiment and a process according to a comparative example.
2 is a flowchart showing an example of a semiconductor manufacturing method according to an embodiment.
3 is a diagram showing an example of an experiment result of a film formation method in semiconductor manufacturing according to an embodiment.
4 is a diagram showing an example of an experiment result of a film formation method in semiconductor manufacturing according to an embodiment.
Fig. 5 is a graph showing an example of an experimental result of the time change of a film by a film forming method according to an embodiment.
6 is a diagram showing an example of an experiment result of a film formation method in semiconductor manufacturing according to an embodiment.
7 is a diagram showing an example of an experiment result of a film formation method in semiconductor manufacturing according to an embodiment.
8 is a diagram showing a vapor pressure curve;
9 is a diagram showing an example of a result of corrosion of a film thickness and metal by a film forming method according to an embodiment.
10 is a diagram showing an example of a result of corrosion of a film thickness and metal by a film forming method according to an embodiment.
Fig. 11 is a diagram showing an example of a result of ashing by an ashing method according to an embodiment.
Fig. 12 is a diagram showing an example of a processing system according to an embodiment;
13 is a diagram showing an example of a plasma processing device according to an embodiment.

이하, 본 발명을 실시하기 위한 형태에 관해 도면을 참조하여 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 관해서는 동일한 부호를 붙이는 것에 의해 중복 설명을 생략한다. EMBODIMENT OF THE INVENTION Hereinafter, the form for implementing this invention is demonstrated with reference to drawings. In addition, in this specification and drawing, the same code|symbol is attached|subjected about substantially the same structure, and redundant description is abbreviate|omitted.

[반도체 제조 방법][Semiconductor manufacturing method]

우선, 본 발명의 일실시형태에 관한 반도체 제조 방법의 일례에 관해, 비교예에 관한 반도체 제조 방법과 비교하면서 설명한다. 도 1의 (a-1) 및 (a-2)은, 비교예에 관한 반도체 제조 방법의 일례를 나타내고, 도 1의 (b-1)∼(b-3)은, 본 실시형태에 관한 반도체 제조 방법의 일례를 나타낸다. First, an example of a semiconductor manufacturing method according to an embodiment of the present invention will be described while being compared with a semiconductor manufacturing method according to a comparative example. 1 (a-1) and (a-2) show an example of a semiconductor manufacturing method according to a comparative example, and FIG. 1 (b-1) to (b-3) show a semiconductor according to this embodiment. An example of a manufacturing method is shown.

본 실시형태에 관한 반도체 제조 방법은, 반도체 웨이퍼(이하, 「웨이퍼(W)」라고 기재함)를 에칭하여 금속 배선 등의 도전층의 적어도 일부를 노출시킨 상태로, Q-time의 제약을 받지 않고 다음 공정의 처리를 행하는 것을 가능하게 한다. 여기서, Q-time이란, 드라이 에칭 공정 등의 전(前)공정의 종료로부터 다음 공정의 시작까지의 제한 시간이며, 예컨대 드라이 에칭에 의해 노출된 금속 배선 등의 도전층이 산화(부식)하는 것을 방지하기 위해서 관리된다. Q-time이 설정되면, Q-time을 준수하기 위한 시간 관리가 필요해진다. 또, 웨이퍼(W)는 피처리체의 일례이다. In the semiconductor manufacturing method according to the present embodiment, a semiconductor wafer (hereinafter referred to as "wafer W") is etched to expose at least a part of a conductive layer such as metal wiring, and is not subject to Q-time restrictions. It is possible to perform the processing of the next step without Here, the Q-time is a limited time from the end of a previous process such as a dry etching process to the start of the next process, and prevents oxidation (corrosion) of conductive layers such as metal wiring exposed by dry etching, for example. managed to prevent When the Q-time is set, time management to comply with the Q-time becomes necessary. In addition, the wafer W is an example of an object to be processed.

도 1의 (a-1) 및 (b-1)에 나타낸 바와 같이, 웨이퍼(W)는, 예를 들면 배선층(101)과, 라이너막(103)과, 층간 절연막(104)을 갖는다. 이들은, 배선층(101), 라이너막(103) 및 층간 절연막(104)의 순으로 적층된다. 배선층(101)에는 Cu 배선(102)이 형성된다. Cu 배선(102)은 금속 배선 등의 도전층의 일례이다. As shown in FIGS. 1(a-1) and (b-1), the wafer W includes, for example, a wiring layer 101, a liner film 103, and an interlayer insulating film 104. These are laminated in the order of the wiring layer 101, the liner film 103, and the interlayer insulating film 104. Cu wiring 102 is formed in the wiring layer 101 . The Cu wiring 102 is an example of a conductive layer such as metal wiring.

웨이퍼(W)에는 드라이 에칭에 의해 비아홀(H)이 형성된다. 비아홀(H)은 층간 절연막(104)에 형성되는 오목부이다. 오목부는, 층간 절연막(104)을 TiN막(105)의 패턴으로 에칭함으로써 형성된다. 또한, 라이너막(103)을 에칭함으로써, 오목부는 배선층(101)까지 도달해 있고, Cu 배선(102)의 표면이 비아홀(H)의 오목부의 바닥부로부터 노출된 상태로 되어 있다. 또, 층간 절연막(104)은 절연막의 일례이며, 예컨대 SiO2막이나 SiN막이어도 좋다. 라이너막(103)에는 절연막이 이용되며, 예컨대 SiN막, SiC막, SiCN막이어도 좋다. TiN막(105)은 절연막 상의 마스크의 일례이다. Cu 배선(102)은 금속막의 일례이다. Via holes H are formed in the wafer W by dry etching. The via hole H is a concave portion formed in the interlayer insulating film 104 . The concave portion is formed by etching the interlayer insulating film 104 with the pattern of the TiN film 105. Further, by etching the liner film 103, the concave portion reaches the wiring layer 101, and the surface of the Cu wiring 102 is exposed from the bottom of the concave portion of the via hole H. The interlayer insulating film 104 is an example of an insulating film, and may be, for example, a SiO 2 film or a SiN film. An insulating film is used for the liner film 103, and may be, for example, a SiN film, a SiC film, or a SiCN film. The TiN film 105 is an example of a mask on the insulating film. The Cu wiring 102 is an example of a metal film.

비교예에서는, 드라이 에칭후 웨이퍼(W)는, Cu 배선(102)이 노출된 상태로 에칭 장치로부터 반출되어, 다음 공정의 세정 장치까지 반송된다. 반송중, 웨이퍼(W) 상의 적층막 중의 금속 부분인 Cu 배선(102)과 TiN막(105)은 대기 공간에 노출되어 대기중의 수분과 반응한다. 이 때문에, 도 1의 (a-2)에 나타낸 바와 같이, 웨이퍼(W)가 세정 장치에 반입되었을 때에는, Cu 배선(102) 및 TiN막(105)의 표면은 부식되어 있다. In the comparative example, the wafer W after dry etching is taken out of the etching apparatus with the Cu wiring 102 exposed, and transported to the cleaning apparatus in the next step. During transportation, the Cu wiring 102 and the TiN film 105, which are metal parts in the stacked film on the wafer W, are exposed to the air space and react with moisture in the air. For this reason, as shown in FIG. 1(a-2), when the wafer W is carried into the cleaning device, the surfaces of the Cu wiring 102 and the TiN film 105 are corroded.

이에 대하여, 본 실시형태에 관한 반도체 제조 방법에서는, 도 1의 (b-1)에 나타내는 드라이 에칭의 후, 도 1의 (b-2)에 나타낸 바와 같이, 미리 결정된 애스펙트비(A/R)의 비아홀(H)의 오목부의 정상의 개구를 막지 않고, 그 내부를 유동성 유기막(106)으로 메운다. 이에 따라, 다음 공정의 세정 장치로 반송중에, Cu 배선(102)과 TiN막(105)은 대기 공간에 노출되지 않는다. 이 때문에, 도 1의 (b-3)에 나타낸 바와 같이, 웨이퍼(W)가 세정 장치에 반입되었을 때, Cu 배선(102) 및 TiN막(105)은 부식되어 있지 않다. 따라서, 도 1의 (b-3)에서는, Cu 배선(102) 및 TiN막(105)의 부식을 방지한 상태로, 세정 장치에 의해 비아홀(H)의 오목부에 메워진 유동성 유기막(106)을 제거할 수 있다. In contrast, in the semiconductor manufacturing method according to the present embodiment, after the dry etching shown in FIG. 1(b-1), as shown in FIG. 1(b-2), a predetermined aspect ratio (A/R) The opening of the top of the concave portion of the via hole H is not blocked, and the inside thereof is filled with the fluid organic film 106. Accordingly, the Cu wiring 102 and the TiN film 105 are not exposed to the atmospheric space during transport to the cleaning device in the next step. For this reason, as shown in FIG. 1(b-3), when the wafer W is carried into the cleaning device, the Cu wiring 102 and the TiN film 105 are not corroded. Therefore, in (b-3) of FIG. 1, the fluid organic film 106 filled in the concave portion of the via hole H by the cleaning device in a state in which corrosion of the Cu wiring 102 and the TiN film 105 is prevented. can be removed.

[에칭 공정/성막 공정/세정 공정][Etching process/film formation process/cleaning process]

이상에 설명한 본 실시형태에 관한 반도체 제조에서의 에칭 공정, 성막 공정, 세정 공정에 관해, 도 2의 본 실시형태에 관한 반도체 제조 방법의 일례를 나타내는 플로우차트를 참조하면서 설명한다. 우선, 에칭 장치는, 웨이퍼(W)를 반입하고, 층간 절연막(104)을 라이너막(103)이 노출될 때까지 에칭한다(단계 ST1). 다음으로, 에칭 장치는, 라이너막(103)을 Cu 배선(102)이 노출될 때까지 에칭한다(단계 ST2). 이에 따라 비아홀(H)이 형성된다. 또, 단계 ST1 및 단계 ST2의 에칭에서는, CF4 가스나 NF3 가스 등의 할로겐이 포함되는 가스를 사용해도 좋다. 또한, 단계 ST2의 에칭후, 노출된 Cu 배선(102)의 표면을 트리트먼트하기 위해, H2 및 N2를 포함하는 가스나 NH3 가스를 포함하는 가스로부터 생성된 플라즈마에 의한 처리를 실시해도 좋다. The etching process, the film formation process, and the cleaning process in semiconductor manufacturing according to the present embodiment described above will be described with reference to a flowchart showing an example of the semiconductor manufacturing method according to the present embodiment in FIG. 2 . First, the etching apparatus carries in the wafer W, and etches the interlayer insulating film 104 until the liner film 103 is exposed (step ST1). Next, the etching device etches the liner film 103 until the Cu wiring 102 is exposed (step ST2). Accordingly, via holes H are formed. In the etching of steps ST1 and ST2, a halogen-containing gas such as CF 4 gas or NF 3 gas may be used. Further, after the etching in step ST2, in order to treat the surface of the exposed Cu wiring 102, treatment with plasma generated from a gas containing H 2 and N 2 or a gas containing NH 3 may be performed. good night.

다음으로, 인시스템(in-system)에서 웨이퍼(W)가 에칭 장치로부터 성막 장치까지 진공 반송되거나, 또는 인시츄(In-situ)에서 단계 ST1 및 ST2의 에칭 공정이 행해진 챔버와 동일 챔버 내에서 유동성 유기막(106)이 성막된다(단계 ST3). Next, the wafer W is vacuum conveyed from the etching device to the film forming device in-system, or in-situ in the same chamber as the chamber in which the etching processes of steps ST1 and ST2 were performed. A fluid organic film 106 is formed (step ST3).

인시스템은, 하나의 플라즈마 처리 장치로부터 다른 플라즈마 처리 장치로 진공 반송이 가능한 처리 시스템을 말한다. 처리 시스템의 구성의 일례(도 12)에 관해서는 후술한다. 인시츄는, 하나의 기판 처리와 다른 기판 처리를 동일한 챔버 내에서 행하는 것이 가능한 플라즈마 처리 장치를 말한다. 플라즈마 처리 장치의 구성의 일례(도 13)에 관해서는 후술한다. 성막후, 웨이퍼(W)는 대기 환경하에서 세정 장치로 반송된다(단계 ST4). 세정 장치는 웨이퍼(W)의 세정을 행한다(단계 ST5). An in-system refers to a processing system capable of vacuum transfer from one plasma processing device to another plasma processing device. An example of the configuration of the processing system (Fig. 12) will be described later. In situ refers to a plasma processing apparatus capable of performing processing of one substrate and processing of another substrate in the same chamber. An example of the configuration of the plasma processing apparatus (Fig. 13) will be described later. After film formation, the wafer W is conveyed to the cleaning device in an atmospheric environment (step ST4). The cleaning device cleans the wafer W (step ST5).

[유동성 유기막/성막 조건 1][Fluid organic film/film formation condition 1]

다음으로, 단계 ST3에서 성막하는 유동성 유기막에 관해, 도 3∼도 8을 이용하여 설명한다. 도 3∼도 7은, 본 실시형태에 관한 반도체 제조에서의 유동성 유기막의 성막 방법의 실험 결과의 일례를 나타낸다. 도 8은, 소정 재료의 증기압 곡선을 나타낸다. 도 3에 나타내는 실험 1에서의 유동성 유기막의 성막 조건 1은 이하이다. Next, the fluid organic film formed in step ST3 will be described with reference to FIGS. 3 to 8 . 3 to 7 show examples of experimental results of a method for forming a fluid organic film in semiconductor manufacturing according to the present embodiment. 8 shows a vapor pressure curve of a given material. Film formation condition 1 of the fluid organic film in Experiment 1 shown in FIG. 3 is as follows.

<성막 조건 1> <Conditions for film formation 1>

챔버 내 압력 : 100 mT(13.3 Pa)Pressure in chamber: 100 mT (13.3 Pa)

가스종/유량 : C4F6 300 sccm Gas type/flow: C 4 F 6 300 sccm

스테이지 온도 : -50℃ Stage temperature: -50℃

성막 시간 : 5 sec Formation time : 5 sec

고주파 HF의 파워 : 300 W Power of high-frequency HF: 300 W

고주파 LF의 파워 : 0 W Power of high-frequency LF: 0 W

실험 1의 결과 중, 도 3의 (a) 「SiN L&S」, (b) 「High A/R」, (c) 「Organic L&S」는, 성막 방법을 실행하기 위해 사용되는 피처리체의 샘플예와 각 샘플에 성막된 유기막의 상태를 나타낸다. (a) 「SiN L&S」에서는, 소밀이 있는 패턴화된 SiN막(1)이 웨이퍼(W) 상에 형성되어 있다. SiN막(1)에 패턴화된 오목부의 애스펙트비는 일률적이지 않고, 애스펙트비가 3∼5인 오목부 및 도 4의 하단에 나타내는 평면부를 갖는다. Among the results of Experiment 1, (a) "SiN L&S", (b) "High A/R", and (c) "Organic L&S" in FIG. The state of the organic film formed on each sample is shown. (a) In "SiN L&S", a densely patterned SiN film 1 is formed on a wafer W. The aspect ratio of the concave portion patterned in the SiN film 1 is not uniform, and has a concave portion having an aspect ratio of 3 to 5 and a flat portion shown in the lower portion of FIG. 4 .

(b) 「High A/R」의 샘플에서는, 애스펙트비가 18인 오목부가 형성된 SiN막(1)이 웨이퍼(W) 상에 형성되어 있다. (c) 「Organic L&S」의 샘플에서는, 애스펙트비가 2인 라인 앤드 스페이스가 웨이퍼(W) 상에 형성되어 있다. 「Organic L&S」의 샘플에서는, 하지막은 SiO2막(2)이며, 그 위에 유기막(3) 및 Si-ARC(Anti Reflective Coating : 반사 방지막)(4)이 적층되어 있다. 「Organic L&S」의 샘플에 형성된 오목부의 애스펙트비는 2이며, 본 실시형태에 관한 반도체 제조 방법에서는, 애스펙트비가 2 이상인 각 샘플의 오목부에 대하여 성막이 행해진다. (b) In the "High A/R" sample, the SiN film 1 with the concave portion having an aspect ratio of 18 is formed on the wafer W. (c) In the sample of "Organic L&S", a line-and-space having an aspect ratio of 2 is formed on the wafer W. In the sample of "Organic L&S", the base film is the SiO 2 film 2, and the organic film 3 and Si-ARC (Anti Reflective Coating) 4 are laminated thereon. The aspect ratio of the concave portion formed in the sample of "Organic L&S" is 2, and in the semiconductor manufacturing method according to the present embodiment, film formation is performed on the concave portion of each sample having an aspect ratio of 2 or more.

또, 도 3∼도 7의 실험 결과에서는, 도 1의 층간 절연막(104)의 일례로서, SiN막(1) 또는 유기막(3)을 들어 설명한다. 도 3의 결과에 의하면, (a) 「SiN L&S」, (b) 「High A/R」, (c) 「Organic L&S」의 모든 샘플에서, 샘플 상의 오목부에 C4F6 가스로부터 생성된 플라즈마 중의 프리커서가 퇴적됨으로써 유동성 유기막(R)이 형성된다. 유동성 유기막(R)은, 오목부의 바닥부로부터 쌓아 올려져서 성막되기 때문에 보이드는 발생하지 않는다. 보이드란, 비아홀(H)의 오목부의 개구가 막혀, 오목부의 내부에 형성되는 공동이다. 도 3∼도 7의 실험 결과에서는, 도 1의 유동성 유기막(106)의 일례로서, 본 실시형태에서 성막되는 유동성 유기막(R)의 상태를 나타낸다. In the experimental results of FIGS. 3 to 7 , the SiN film 1 or the organic film 3 is described as an example of the interlayer insulating film 104 in FIG. 1 . According to the results of FIG. 3, in all samples of (a) “SiN L&S”, (b) “High A/R”, and (c) “Organic L&S”, C 4 F 6 gas was generated in the concave portion on the sample. A fluid organic film R is formed by depositing precursors in the plasma. Since the fluid organic film R is formed by being piled up from the bottom of the concave portion, no voids are generated. A void is a cavity formed inside the concave portion by blocking the opening of the concave portion of the via hole H. The experimental results of FIGS. 3 to 7 show the state of the fluid organic film R formed in the present embodiment as an example of the fluid organic film 106 in FIG. 1 .

[유동성 유기막/성막 조건 2][Fluid organic film/film formation condition 2]

다음으로, 「SiN L&S」의 샘플을 이용하여, 유동성 유기막(R)의 성막 시간에 따른 막의 변화의 일례에 관해, 도 4를 참조하여 설명한다. 도 4에 나타내는 실험 2에서의 유동성 유기막의 성막 조건 2는 이하이다. Next, an example of the film change according to the film formation time of the fluid organic film R will be described with reference to FIG. 4 using a sample of “SiN L&S”. Film formation conditions 2 of the fluid organic film in Experiment 2 shown in FIG. 4 are as follows.

<성막 조건 2> <Conditions for Film Formation 2>

챔버 내 압력 : 50 mT(6.65 Pa)Pressure in chamber: 50 mT (6.65 Pa)

가스종/유량 : C4F6 300 sccm Gas type/flow: C 4 F 6 300 sccm

스테이지 온도 : -50℃ Stage temperature: -50℃

고주파 HF의 파워 : 300 W Power of high-frequency HF: 300 W

고주파 LF의 파워 : 0 W Power of high-frequency LF: 0 W

실험 2의 결과 중, 도 4의 (a)는, 성막 시간이 2초일 때의 유동성 유기막(R)을 나타낸다. 도 4의 (a)의 하측 도면에서는, 오목부 A, C, E보다 애스펙트비가 높은 오목부 F는, 오목부 A, C, E보다 빠르게 유동성 유기막(R)으로 충전되어 있는 것을 알 수 있다. Among the results of Experiment 2, (a) of FIG. 4 shows the fluid organic film R when the film formation time was 2 seconds. In the lower drawing of FIG. 4(a) , it can be seen that the concave portion F having a higher aspect ratio than the concave portions A, C, and E is filled with the fluid organic film R faster than the concave portions A, C, and E. .

도 4의 (b)는, 성막 시간이 4초일 때의 유동성 유기막(R)을 나타낸다. 도 4의 (b)에서는, 오목부 B, D에는 유동성 유기막(R)이 퇴적되지 않고, 오목부 B, D보다 오목부 A, C, E의 홀에 유동성 유기막(R)이 보다 더 많이 퇴적되고, 오목부 G에도 유동성 유기막(R)이 퇴적되어 있는 것을 알 수 있다. 또, 이 시점에서, 평면부(H)에는 유동성 유기막(R)은 거의 퇴적되지 않았다.Fig. 4(b) shows the fluid organic film R when the film formation time is 4 seconds. In (b) of FIG. 4, the fluid organic film R is not deposited in the concave portions B and D, and the fluid organic film R is more formed in the holes of the concave portions A, C, and E than in the concave portions B and D. It is found that a large amount is deposited, and the fluid organic film R is also deposited in the concave portion G. In addition, at this point in time, almost no fluid organic film R was deposited on the flat portion H.

도 4의 (c)는, 성막 시간이 7초일 때의 유동성 유기막(R)을 나타낸다. 도 4의 (c)에서는, 평면부(H)에서도 유동성 유기막(R)의 퇴적이 보인다. 도 4의 (d)는, 성막 시간이 10초일 때의 유동성 유기막(R)을 나타낸다. 도 4의 (d)에서는, 오목부 A∼G는 유동성 유기막(R)에 의해 대강 충전되며, 평면부(H)에서도 더욱 많은 유동성 유기막(R)이 퇴적되어 있다. 도 4의 (e)는, 성막 시간이 30초일 때의 유동성 유기막(R)의 상태를 나타낸다. 도 4의 (e)에서는, 모든 오목부 및 평면부(H)가 유동성 유기막(R)에 의해 충전되어 있다. Fig. 4(c) shows the fluid organic film R when the film formation time is 7 seconds. In FIG. 4(c), the deposition of the fluid organic film R is also seen on the flat portion H. Fig. 4(d) shows the fluid organic film R when the film formation time is 10 seconds. In Fig. 4(d), the concave portions A to G are roughly filled with the fluid organic film R, and a larger amount of the fluid organic film R is deposited also in the flat portion H. Fig. 4(e) shows the state of the fluid organic film R when the film formation time is 30 seconds. In (e) of FIG. 4, all the concave portions and flat portions H are filled with the fluid organic film R.

이상으로부터, 본 실시형태에 관한 반도체 제조 방법에 의하면, C4F6 가스로부터 생성된 플라즈마 중의 프리커서에 의해 유동성 유기막(R)이 성막된다. 이 때, 유동성 유기막(R)은, 오목부의 바닥부로부터 거꾸로 성장하여 성막되는 것을 알 수 있다. From the above, according to the semiconductor manufacturing method according to the present embodiment, the fluid organic film R is formed by the precursor in the plasma generated from the C 4 F 6 gas. At this time, it is understood that the fluid organic film R is formed by growing backwards from the bottom of the concave portion.

또한, 오목부의 애스펙트비가 높아질수록 성막 속도가 빨라지는 것을 알 수 있다. 또한, 웨이퍼(W)의 오목부 A∼G에서의 성막 속도는, 웨이퍼(W)의 평면부(H)에서의 성막 속도보다 빠른 것을 알 수 있다. In addition, it can be seen that the film formation speed increases as the aspect ratio of the concave portion increases. In addition, it can be seen that the film formation speed in the concave portions A to G of the wafer W is faster than that in the flat portion H of the wafer W.

도 5는, 도 4의 실험 결과를 그래프에 나타낸 것이다. 그래프의 횡축은 성막 시간(초), 종축은 퇴적물의 두께(nm)이다. 곡선 J는, 도 5의 좌측에 나타내는 애스펙트비가 12인 작은 구멍의 막두께의 시간 변화를 나타낸다. 곡선 K는, 애스펙트비가 4.3인 중간 구멍의 막두께의 시간 변화를 나타낸다. 곡선 L은, 애스펙트비가 3.6인 큰 구멍의 막두께의 시간 변화를 나타낸다. 곡선 M은, 마스크로서 기능하는 SiN막(1)의 정상(상부)에 퇴적되는 퇴적물의 막두께의 시간 변화를 나타낸다. 곡선 N은, 평면부(Open Area)에 퇴적되는 퇴적물의 막두께의 시간 변화를 나타낸다. 5 is a graph showing the experimental results of FIG. 4 . The horizontal axis of the graph is the film formation time (sec), and the vertical axis is the thickness of the deposit (nm). The curve J shows the time change of the film thickness of the small hole whose aspect ratio is 12 shown on the left side of FIG. 5 . The curve K shows the time change of the film thickness of the middle hole with an aspect ratio of 4.3. The curve L shows the time change of the film thickness of a large hole with an aspect ratio of 3.6. A curve M represents a temporal change in the film thickness of a deposit deposited on the top (top) of the SiN film 1 serving as a mask. The curve N represents the temporal change of the film thickness of the deposit deposited on the flat portion (open area).

도 5의 좌측의 단면도에 나타낸 바와 같이, SiN막(1)의 바닥부의 높이를 0 nm으로 했을 때, SiN막(1)의 정상의 높이는 110 nm이다. 이 때문에, 곡선 M이 110 nm의 두께를 나타내는 시간대에서는, SiN막(1)의 정상에 퇴적물은 퇴적되지 않은 상태이다. 그래프를 보면, 곡선 J→곡선 K→곡선 L의 순으로 곡선이 상승하기 시작한다. 즉, 곡선 J가 나타내는 작은 구멍→곡선 K가 나타내는 중간 구멍→곡선 L이 나타내는 큰 구멍의 순으로 유동성 유기막(R)이 내부에 충전되는 것을 알 수 있다. As shown in the cross-sectional view on the left side of FIG. 5, when the height of the bottom of the SiN film 1 is 0 nm, the height of the top of the SiN film 1 is 110 nm. For this reason, in the time period in which the curve M indicates a thickness of 110 nm, no deposit is deposited on top of the SiN film 1. Looking at the graph, the curve starts to rise in the order of curve J→curve K→curve L. That is, it can be seen that the inside of the fluid organic film R is filled in the order of the small pores indicated by the curve J, the intermediate pores indicated by the curve K, and the large pores indicated by the curve L.

또한, 곡선 M이 나타내는 SiN막(1)의 정상(마스크 상)의 퇴적물의 두께로부터, 작은 구멍, 중간 구멍, 큰 구멍이 충전된 후, 유동성 유기막(R)이 SiN막(1)의 정상에 퇴적되는 것을 알 수 있다. 또한, 곡선 N이 나타내는 평면부는, 작은 구멍, 중간 구멍 및 큰 구멍의 전부가 유동성 유기막(R)으로 충전되는 성막 시간이 10초보다 전에 유동성 유기막(R)이 퇴적되기 시작하지만, 평면부의 성막 속도는 SiN막(1)의 정상의 성막 속도와 대략 같다. Further, from the thickness of the deposit at the top (on the mask) of the SiN film 1 indicated by the curve M, after the small holes, the middle holes, and the large holes are filled, the flowable organic film R is the top of the SiN film 1. It can be seen that it is deposited in In the plane portion indicated by the curve N, the fluid organic film R starts to be deposited 10 seconds before the film formation time when all of the small pores, intermediate pores, and large pores are filled with the fluid organic film R, but the flat portion The film formation rate is approximately equal to the normal film formation rate of the SiN film 1.

[유동성 유기막/성막 조건 3][Fluid organic film/film formation condition 3]

다음으로, 유동성 유기막(R)의 온도 의존 및 압력 의존에 관해, 도 6을 참조하여 설명한다. 도 6에 나타내는 실험 3에서의 유동성 유기막의 성막 조건 3으로서, 웨이퍼(W)를 배치하는 스테이지의 온도를 -20℃의 극저온 이상으로 하고, 챔버 내의 압력을 50 mT 이상으로 한다. 예컨대, 도 6의 (a)는, 챔버 내를 100 mT의 압력으로 유지하고, C4F6 가스를 300 sccm 공급했을 때의 각 온도에서의 막의 상태를 나타낸다. 이것에 의하면, -10℃ 및 -20℃의 경우에는 등방향의 성막이 행해져, SiN막(1)의 개구가 좁아지고, 보이드(V)가 발생했다. 즉, 본 실시형태에 관한 반도체 제조 방법에 의해, 유동성 유기막(R)이 거꾸로 쌓아 올려지는 성막은 행해지지 않는다. 한편, -30℃ 및 -50℃의 경우에는, 유동성 유기막(R)이 거꾸로 쌓아 올려지는 성막이 행해져, 보이드(V)는 발생하지 않았다. 또, -40℃의 경우의 결과는 얻어지지 않았다. Next, the temperature dependence and pressure dependence of the fluid organic film R will be described with reference to FIG. 6 . As condition 3 for forming a flowable organic film in Experiment 3 shown in FIG. 6 , the temperature of the stage on which the wafer W is placed is set to a cryogenic temperature of -20° C. or higher, and the pressure in the chamber is set to 50 mT or higher. For example, FIG. 6(a) shows the state of the film at each temperature when the inside of the chamber is maintained at a pressure of 100 mT and 300 sccm of C 4 F 6 gas is supplied. According to this, in the case of -10°C and -20°C, film formation was performed in the isodirection, the opening of the SiN film 1 was narrowed, and voids V were generated. That is, film formation in which the fluid organic film R is stacked upside down is not performed by the semiconductor manufacturing method according to the present embodiment. On the other hand, in the case of -30°C and -50°C, film formation in which the fluid organic film R was stacked upside down was performed, and no voids V were generated. In addition, the result in the case of -40 degreeC was not obtained.

도 6의 (b)는, 챔버 내를 50 mT의 압력으로 유지하고, IPA(이소프로필알콜 : C3H8O) 가스를 75 sccm 공급했을 때의 각 온도에서의 막의 상태를 나타낸다. IPA는, 제2급 알콜의 1종이다. 이것에 의하면, -10℃ 및 -30℃의 경우에 보이드(V)가 발생하고 등방향의 성막이 행해지고, -40℃ 및 -50℃의 경우에는, 본 실시형태에 관한 반도체 제조 방법에 의해, 유동성 유기막(R)이 거꾸로 형성되어 있다. 또, -20℃의 경우의 결과는 얻어지지 않았다. Fig. 6(b) shows the state of the film at each temperature when the inside of the chamber is maintained at a pressure of 50 mT and 75 sccm of IPA (isopropyl alcohol: C 3 H 8 O) gas is supplied. IPA is one type of secondary alcohol. According to this, in the case of -10 ° C. and -30 ° C., voids V are generated and film formation is performed in the isodirection, and in the case of -40 ° C. and -50 ° C., by the semiconductor manufacturing method according to the present embodiment, The fluid organic film R is formed upside down. In addition, the result in the case of -20 degreeC was not obtained.

도 6의 (c)는, 챔버 내를 50 mT의 압력으로 유지하고, C4F6 가스를 300 sccm 공급했을 때의 각 온도에서의 막의 상태를 나타낸다. 이것에 의하면, -10℃의 경우에 보이드(V)가 발생하고 등방향의 성막이 행해지고, -20℃, -30℃ 및 -50℃의 경우에는, 유동성 유기막(R)이 거꾸로 형성되어 있다. 또, -40℃의 경우의 결과는 얻어지지 않았다. 6(c) shows the state of the film at each temperature when the inside of the chamber is maintained at a pressure of 50 mT and 300 sccm of C 4 F 6 gas is supplied. According to this, in the case of -10 ° C., voids V are generated and film formation is performed in the isodirection, and in the case of -20 ° C., -30 ° C. and -50 ° C., the fluid organic film R is formed upside down. . In addition, the result in the case of -40 degreeC was not obtained.

도 6의 (d)는, 챔버 내를 50 mT의 압력으로 유지하고, C4F6 가스를 125 sccm 공급했을 때의 각 온도에서의 막의 상태를 나타낸다. 이것에 의하면, -10℃ 및 -20℃의 경우에 보이드(V)가 발생하고 등방향의 성막이 행해지고, -30℃의 경우에는, 유동성 유기막(R)이 거꾸로 형성되어 있다. 또, -40℃ 및 -50℃의 경우의 결과는 얻어지지 않았다. 6(d) shows the state of the film at each temperature when the inside of the chamber is maintained at a pressure of 50 mT and 125 sccm of C 4 F 6 gas is supplied. According to this, in the case of -10°C and -20°C, voids V are generated and film formation is performed in the isodirection, and in the case of -30°C, the fluid organic film R is formed upside down. In addition, the results in the case of -40 degreeC and -50 degreeC were not obtained.

이상으로부터, 가스종, 압력 및 가스 유량에 따라, 오목부를 유동성 유기막(R)에 의해 메우는 것이 가능한 온도가 상이한 것을 알 수 있다. 적어도 챔버 내를 50 m의 압력으로 유지하고, C4F6 가스를 300 sccm 공급했을 때에는, 스테이지를 -20℃ 이하의 극저온으로 유지하고, 또한 챔버 내를 50 mT 이상의 압력으로 함으로써, 오목부를 유동성 유기막(R)에 의해 메울 수 있다. From the above, it can be seen that the temperature at which the concave portion can be filled with the fluid organic film R is different depending on the type of gas, the pressure, and the gas flow rate. At least the inside of the chamber is maintained at a pressure of 50 m, and when C 4 F 6 gas is supplied at 300 sccm, the stage is maintained at a cryogenic temperature of -20°C or lower, and the inside of the chamber is kept at a pressure of 50 mT or higher, so that the concave portion is fluid. It can be filled with an organic film (R).

[유동성 유기막/성막 조건 4][Fluid organic film/film formation condition 4]

다음으로, 유동성 유기막(R)의 가스종 의존에 관해, 도 7 및 도 8을 참조하여 설명한다. 도 7에 가스종을 바꿔 본 실시형태에 관한 성막 처리를 행한 결과의 일례를 나타낸다. 본 실험의 결과에 의하면, C4F6 가스, IPA(C3H8O) 가스, C4F8 가스에서는, 오목부가 유동성 유기막(R)에 의해 메워지고, 보이드(V)는 발생하지 않았다. 한편, CH4 가스, CH3F 가스, CF4 가스에서는, 보이드(V)가 발생하여, 오목부를 유동성 유기막(R)에 의해 충전시킬 수는 없었다.Next, the gas type dependence of the fluid organic film R will be described with reference to FIGS. 7 and 8 . Fig. 7 shows an example of a result of performing the film forming process according to the present embodiment by changing the type of gas. According to the results of this experiment, in the C 4 F 6 gas, IPA (C 3 H 8 O) gas, and C 4 F 8 gas, the concave portion is filled with the fluid organic film R, and no void V is generated. did not On the other hand, in CH 4 gas, CH 3 F gas, and CF 4 gas, voids (V) were generated, and the recesses could not be filled with the fluid organic film (R).

도 8에 C4F6 가스, IPA(C3H8O) 가스, C4F8 가스, CH4 가스, CH3F 가스, CF4 가스의 증기압 곡선을 나타낸다. 막 중에 보이드가 발생한 CH4 가스, CH3F 가스, CF4 가스는, C4F8 가스의 증기압 곡선보다 낮은 온도에서 증기압이 되는 가스이다. 이것에 대하여, 막 중에 보이드가 발생하지 않고, 유동성 유기막(R)이 거꾸로 성막된 C4F8, C4F6, 이소프로필알콜(IPA)은, C4F8 가스의 증기압 곡선이 나타내는 온도와 동일한 또는 그 이상의 온도에서 증기압이 된다. C4F8 가스의 증기압 곡선이 나타내는 온도 이상의 온도에서 증기압이 되는 가스를 「저증기압 재료의 가스」라고 한다. 8 shows vapor pressure curves of C 4 F 6 gas, IPA (C 3 H 8 O) gas, C 4 F 8 gas, CH 4 gas, CH 3 F gas, and CF 4 gas. The CH 4 gas, CH 3 F gas, and CF 4 gas in which voids are formed in the film are gases whose vapor pressure is lower than that of the vapor pressure curve of the C 4 F 8 gas. In contrast, C 4 F 8 , C 4 F 6 , and isopropyl alcohol (IPA), in which no voids are generated in the film and the flowable organic film (R) is formed upside down, are represented by the vapor pressure curve of the C 4 F 8 gas. At a temperature equal to or greater than the temperature, the vapor pressure is A gas that has a vapor pressure at a temperature equal to or higher than the temperature indicated by the vapor pressure curve of the C 4 F 8 gas is referred to as a “low vapor pressure material gas”.

이상의 결과로부터, 본 실시형태에 관한 반도체 제조 방법은, 챔버(10)의 내부를 미리 결정된 압력으로 유지한 상태로 웨이퍼(W)를 -20℃ 이하의 극저온으로 냉각시킨 스테이지 상에 설치하는 공정과, 챔버(10)의 내부에 저증기압 재료의 가스를 포함하는 가스를 공급하는 공정을 포함한다. 또한, 본 실시형태에 관한 반도체 제조 방법은, 공급한 상기 저증기압 재료의 가스를 포함하는 가스로부터 플라즈마를 생성하고, 상기 플라즈마에 의해 상기 저증기압 재료로부터 생성되는 프리커서에 의해 웨이퍼(W) 상에 성막하는 공정을 포함한다. 이것에 의하면, 오목부의 바닥부로부터 퇴적되는, 유동성 유기막(R)을 거꾸로 성막하는 것이 가능해진다. 이 때, 챔버(10)의 내부의 압력은 50 mT(6.67 Pa) 이상이고, 또한, 저증기압 재료의 가스의 증기압 곡선으로 표시되는 증기압 이하인 것이 바람직하다. From the above results, the semiconductor manufacturing method according to the present embodiment includes the step of placing the wafer W on a stage cooled to a cryogenic temperature of -20 ° C. or lower while maintaining the inside of the chamber 10 at a predetermined pressure; , a step of supplying a gas containing a gas of a low vapor pressure material to the inside of the chamber 10. Further, in the semiconductor manufacturing method according to the present embodiment, a plasma is generated from a gas containing the supplied low vapor pressure material gas, and a precursor generated from the low vapor pressure material by the plasma is placed on a wafer W. Including the process of forming a film in According to this, it becomes possible to reversely form the fluid organic film R deposited from the bottom of the concave portion. At this time, the pressure inside the chamber 10 is preferably equal to or higher than 50 mT (6.67 Pa) and equal to or lower than the vapor pressure indicated by the vapor pressure curve of the gas of the low vapor pressure material.

또한, 「저증기압 재료의 가스」는 탄소 함유 가스이어도 좋다. 탄소 함유 가스란, 구체적으로는 C4F8, C4F6, 이소프로필알콜(IPA)의 어느 것이어도 좋다. 이에 따라, 본 실시형태에 관한 반도체 제조 방법에 의하면, 저증기압 재료로부터 생성되는 프리커서를 웨이퍼(W)에 형성된 오목부의 바닥부로부터 퇴적시켜, 웨이퍼(W) 상에 유동성 유기막을 성막할 수 있다. Also, the "low vapor pressure material gas" may be a carbon-containing gas. The carbon-containing gas may specifically be any of C 4 F 8 , C 4 F 6 , and isopropyl alcohol (IPA). Accordingly, according to the semiconductor manufacturing method according to the present embodiment, a precursor generated from a low vapor pressure material can be deposited from the bottom of the concave portion formed in the wafer W to form a fluid organic film on the wafer W. .

[막두께와 금속의 부식][Corosion of film thickness and metal]

도 9 및 도 10은, 도 2의 단계 ST3의 공정에서 성막된 유동성 유기막(R)에 의한 금속막의 부식 방지의 효과의 일례를 나타낸다. 도 9의 본 실시형태(b)는, 이하의 성막 조건으로, TiN막의 브래킷 상에 4 nm 두께의 유동성 유기막(R)을 성막하고, TiN막을 코팅한 것이다. 도 9의 비교예(a)는, TiN막의 브래킷에 유동성 유기막(R)을 코팅하지 않은 것(캡 없음)이다. 이 2가지에 관해, 대기 환경하에 24시간 둔 후의 TiN막의 표면의 경시 변화의 일례를 나타낸다. 9 and 10 show an example of the effect of preventing corrosion of the metal film by the flowable organic film R formed in the step ST3 of FIG. 2 . In this embodiment (b) of FIG. 9 , a 4 nm thick flowable organic film R is formed on a bracket of a TiN film under the following film formation conditions, and the TiN film is coated. In Comparative Example (a) of FIG. 9, the TiN film bracket is not coated with the flowable organic film R (without a cap). Regarding these two, an example of the change over time of the surface of the TiN film after being placed in an atmospheric environment for 24 hours is shown.

<유동성 유기막의 성막 조건> <Film Formation Conditions for Fluid Organic Film>

챔버 내의 압력 : 100 mT Pressure in chamber: 100 mT

가스종/유량 : C4F6 300 sccm Gas type/flow: C 4 F 6 300 sccm

스테이지 온도 : -50℃ Stage temperature: -50℃

고주파 HF의 파워 : 300 W Power of high-frequency HF: 300 W

고주파 LF의 파워 : 0 W Power of high-frequency LF: 0 W

도 9에 나타내는 결과에 의하면, 비교예(a)의 경우, 불소와 대기중의 수분이 반응한 결과, TiN막의 표면이 변질되어 요철이 생기고 부식되어 있는 것을 알 수 있다. 한편, 본 실시형태(b)의 경우, 유동성 유기막(R)에 의해 TiN막의 표면은 변질되지 않아, 요철이 생기지 않은 상태이며 부식되지 않은 것을 알 수 있다. According to the results shown in Fig. 9, in the case of Comparative Example (a), as a result of the reaction between fluorine and moisture in the air, it is found that the surface of the TiN film is altered, irregularities are formed, and corrosion is observed. On the other hand, in the case of the present embodiment (b), it can be seen that the surface of the TiN film is not altered by the fluid organic film (R), and is not corroded and is not corroded.

도 10은, 상기 성막 조건으로, TiN막을 40 nm 두께의 유동성 유기막(R)으로 코팅한 것(본 실시형태(b))과, TiN막을 코팅하지 않은 것(비교예(a))에 관해, 대기 환경하에 24시간 둔 후의 TiN막 표면의 경시 변화의 일례를 나타낸다. 10 shows a TiN film coated with a flowable organic film R having a thickness of 40 nm (present embodiment (b)) and a TiN film not coated (comparative example (a)) under the above film formation conditions. , shows an example of the change with time of the TiN film surface after being placed in an atmospheric environment for 24 hours.

도 10에 나타내는 결과에 의하면, 도 9의 결과와 마찬가지로, 비교예(a)의 경우, 불소와 대기중의 수분이 반응한 결과, TiN막의 표면이 변질되어 요철이 생기고 부식되어 있는 것을 알 수 있다. 한편, 본 실시형태(b)의 경우, 유동성 유기막(R)에 의해 TiN막의 표면은 변질되지 않아, 요철이 생기지 않은 상태이며 부식되지 않은 것을 알 수 있다. 이상의 실험 결과로부터, 유동성 유기막(R)의 두께는 4 nm 이상이면 되는 것을 알 수 있다. According to the results shown in FIG. 10 , similar to the results in FIG. 9 , in the case of Comparative Example (a), as a result of the reaction between fluorine and moisture in the air, it can be seen that the surface of the TiN film is altered, irregularities are formed, and corrosion is caused. . On the other hand, in the case of the present embodiment (b), it can be seen that the surface of the TiN film is not altered by the fluid organic film (R), and is not corroded and is not corroded. From the above experimental results, it can be seen that the thickness of the fluid organic film R only needs to be 4 nm or more.

[애싱][Ashing]

다음으로, 도 2의 단계 ST5에서 실행되는 웨이퍼(W)의 세정 공정의 일례를, 도 11을 참조하면서 설명한다. 본 실시형태는, 세정의 일례로서 산소 플라즈마에 의한 애싱의 결과의 일례를 나타낸다. 애싱 조건을 이하에 나타낸다. Next, an example of the cleaning process of the wafer W performed in step ST5 of FIG. 2 will be described with reference to FIG. 11 . This embodiment shows an example of a result of ashing by oxygen plasma as an example of cleaning. Ashing conditions are shown below.

<애싱 조건> <Ashing conditions>

챔버 내의 압력 : 100 mT Pressure in chamber: 100 mT

가스종/유량 : O2 900 sccm Gas type/flow: O 2 900 sccm

스테이지 온도 : 80℃ Stage temperature: 80℃

고주파 HF의 파워(60 MHz) : 500 W Power of high-frequency HF (60 MHz): 500 W

고주파 LF의 파워(400 kHz) : 100 W High-frequency LF power (400 kHz): 100 W

도 11의 (a)는 애싱 시간이 0초, (b)는 애싱 시간이 10초, (c)는 애싱 시간이 15초, (d)는 애싱 시간이 20초인 경우의 SiN막(1) 상의 유동성 유기막(R)의 상태를 나타낸다. 이것에 의하면, 애싱 시간이 20초를 경과한 시점에서, O2 플라즈마에 의해 유동성 유기막(R)이 완전히 제거되어 있는 것을 알 수 있다. 11 (a) shows an ashing time of 0 seconds, (b) shows an ashing time of 10 seconds, (c) shows an ashing time of 15 seconds, and (d) shows the SiN film 1 when the ashing time is 20 seconds. The state of the fluid organic film (R) is shown. According to this, it can be seen that the flowable organic film R is completely removed by the O 2 plasma when the ashing time has passed 20 seconds.

이상으로부터, 유동성 유기막(R)은, O2 플라즈마에 의해 제거 가능한 것을 알 수 있다. 단, 유동성 유기막(R)은, O2 플라즈마에 의한 플라즈마 세정에 한정되지 않고, 웨트 세정에 의해 제거해도 좋다. From the above, it is understood that the fluid organic film R can be removed by O 2 plasma. However, the fluid organic film R is not limited to plasma cleaning using O 2 plasma, and may be removed by wet cleaning.

[처리 시스템][Processing system]

다음으로, 도 2의 단계 ST1 및 ST2의 에칭 공정 및 단계 ST3의 성막 공정이 행해지는 처리 시스템의 일례에 관해, 도 12를 참조하면서 설명한다. 도 12는, 본 실시형태에 관한 에칭 장치에 의한 에칭 공정과 성막 장치에 의한 성막 공정이 In-system에서 실행 가능한 처리 시스템(100)의 일례를 나타낸다. Next, an example of a processing system in which the etching process of steps ST1 and ST2 of FIG. 2 and the film forming process of step ST3 are performed will be described with reference to FIG. 12 . 12 shows an example of a processing system 100 in which the etching process by the etching apparatus and the film formation process by the film formation apparatus according to the present embodiment can be performed in-system.

처리 시스템(100)은, 단계 ST1 및 ST2의 에칭 공정을 행하는 에칭 장치(PM1), 단계 ST3의 성막 공정을 행하는 성막 장치(PM2)를 갖는다. 처리 장치(PM3) 및 처리 장치(PM4)에 있어서, 에칭 공정 또는 성막 공정을 행해도 좋다. The processing system 100 has an etching device PM1 that performs the etching process of steps ST1 and ST2, and a film formation device PM2 that performs the film formation process of step ST3. In processing device PM3 and processing device PM4, you may perform an etching process or a film-forming process.

에칭 장치(PM1), 성막 장치(PM2), 처리 장치(PM3) 및 처리 장치(PM4)는, 육각형을 이루는 반송실(5)의 4개의 변에 각각 대응하여 설치되어 있다. 또한, 반송실(5)의 다른 2개의 변에는, 각각 로드록실(6, 7)이 설치되어 있다. 이들 로드록실(6, 7)의 반송실(5)과 반대측에는 반입 반출실(8)이 설치되어 있다. 반입 반출실(8)의 로드록실(6, 7)과 반대측에는, 웨이퍼(W)를 수용 가능한 3개의 후프(Foup)(F)를 부착하는 포트(9, 10, 11)가 설치되어 있다. The etching device PM1, the film forming device PM2, the processing device PM3, and the processing device PM4 are installed corresponding to the four sides of the transfer chamber 5 forming a hexagon, respectively. Further, load-lock chambers 6 and 7 are provided on the other two sides of the transfer chamber 5, respectively. On the opposite side of the transfer chamber 5 of these load-lock chambers 6 and 7, a carry-in/out chamber 8 is provided. Ports 9 , 10 , and 11 for attaching three foups F capable of accommodating wafers W are provided on the opposite side of the load lock chambers 6 and 7 of the carry-in/out chamber 8 .

에칭 장치(PM1), 성막 장치(PM2), 처리 장치(PM3, PM4) 및 로드록실(6, 7)은, 반송실(5)의 육각형의 각 변에 게이트 밸브(G)를 통해 접속되어 있다. 각 실은, 각 게이트 밸브(G)를 개방함으로써 반송실(5)과 연통되고, 각 게이트 밸브(G)를 폐쇄함으로써 반송실(5)로부터 차단된다. 또한, 로드록실(6, 7)의 반입 반출실(8)에 접속되는 부분에도 게이트 밸브(G)가 설치되어 있다. 로드록실(6, 7)은, 게이트 밸브(G)를 개방함으로써 반입 반출실(8)에 연통되고, 폐쇄함으로써 반입 반출실(8)로부터 차단된다. The etching device PM1, the film forming device PM2, the processing devices PM3 and PM4, and the load lock chambers 6 and 7 are connected to each side of the hexagon of the transfer chamber 5 via a gate valve G. . Each chamber communicates with the transfer chamber 5 by opening each gate valve G, and is disconnected from the transfer chamber 5 by closing each gate valve G. Also, a gate valve G is provided in a portion of the load lock chambers 6 and 7 connected to the carry-in/out chamber 8. The load lock chambers 6 and 7 communicate with the carry-in/out chamber 8 by opening the gate valve G, and are shut off from the carry-in/out chamber 8 by closing.

반송실(5) 내에는, 에칭 장치(PM1), 성막 장치(PM2), 처리 장치(PM3, PM4) 및 로드록실(6, 7)에 대하여, 웨이퍼(W)의 반입 반출을 행하는 반송 장치(112)가 설치되어 있다. 반송 장치(112)는, 반송실(5)의 대략 중앙에 배치되어 있고, 회전 및 신축 가능한 회전ㆍ신축부(113)의 선단에 웨이퍼(W)를 유지하는 2개의 블레이드(114a, 114b)를 갖고 있다. 블레이드(114a, 114b)는, 서로 반대 방향을 향하도록 회전ㆍ신축부(113)에 부착되어 있다. 또, 이 반송실(5) 내는 미리 결정된 진공도로 유지되도록 되어 있다. In the transfer chamber 5, an etching device PM1, a film forming device PM2, a processing device PM3, PM4, and a load lock chamber 6, 7 carry out transport of wafers W ( 112) is installed. The conveying device 112 is disposed substantially at the center of the conveying room 5 and includes two blades 114a and 114b holding wafers W at the tip of a rotatable and extensible rotating/extending part 113 . I have it. The blades 114a and 114b are attached to the rotation/extension section 113 so as to face in opposite directions. In addition, the inside of this transfer chamber 5 is maintained at a predetermined degree of vacuum.

또, 반입 반출실(8)의 천장부에는 HEPA 필터(도시되지 않음)가 설치되어 있다. HEPA 필터를 통과하여 유기물이나 파티클 등이 제거된 청정한 공기가, 반입 반출실(8) 내에 다운플로우 상태로 공급된다. 그 때문에, 대기압의 청정 공기 분위기에서 웨이퍼(W)의 반입 반출이 행해진다. 반입 반출실(8)의 후프(F) 부착용의 3개의 포트(9, 10, 11)에는, 각각 셔터(도시되지 않음)가 설치되어 있다. 이들 포트(9, 10, 11)에 웨이퍼(W)를 수용한 또는 비어 있는 후프(Foup)(F)가 직접 부착되고, 부착되었을 때에 셔터가 떨어져 외기의 침입을 방지하면서, 반입 반출실(8)과 연통하는 구성으로 되어 있다. 또한, 반입 반출실(8)의 측면에는 얼라인먼트 챔버(115)가 설치되어 있어, 웨이퍼(W)의 얼라인먼트가 행해진다. In addition, a HEPA filter (not shown) is installed on the ceiling of the carry-in/out chamber 8. Clean air from which organic matters, particles, etc. have been removed through the HEPA filter is supplied into the carry-in/out chamber 8 in a downflow state. Therefore, the wafer W is carried in and out in a clean air atmosphere at atmospheric pressure. Shutters (not shown) are provided in the three ports 9, 10, and 11 for attaching hoops F in the carry-in/out chamber 8, respectively. To these ports 9, 10, 11, an empty Foup F containing wafers W is directly attached, and when attached, a shutter falls off to prevent outside air from entering, and a carry-in/out chamber 8 ) and is configured to communicate with. In addition, an alignment chamber 115 is installed on the side surface of the carry-in/out chamber 8, and alignment of the wafers W is performed.

반입 반출실(8) 내에는, 후프(F)로의 웨이퍼(W)의 반입 반출 및 로드록실(6, 7)로의 웨이퍼(W)의 반입 반출을 행하는 반송 장치(116)가 설치되어 있다. 반송 장치(116)는, 2개의 다관절 아암을 갖고 있고, 후프(F)의 배열 방향을 따라서 레일(118) 위를 주행할 수 있는 구조로 되어 있다. 웨이퍼(W)의 반송은, 선단의 핸드(117) 상에 웨이퍼(W)를 얹어서 실시된다. 또, 도 12에서는, 한쪽의 핸드(117)가 반입 반출실(8)에 존재하고, 다른쪽의 핸드는 후프(F) 내에 삽입되어 있는 상태를 나타내고 있다. In the carry-in/out chamber 8 , a transfer device 116 for carrying the wafers W into/out of the hoop F and carrying/out of the wafers W into/out of the load-lock chambers 6 and 7 is installed. The transport device 116 has two multi-joint arms and has a structure capable of running on the rail 118 along the arrangement direction of the hoops F. Transfer of the wafer W is performed by placing the wafer W on the hand 117 at the tip. In addition, in FIG. 12, the state where one hand 117 exists in the carry-in/out chamber 8 and the other hand is inserted in the hoop F is shown.

처리 시스템(100)의 구성부(예컨대 에칭 장치(PM1), 성막 장치(PM2), 처리 장치(PM3, PM4), 로드록실(6, 7), 반송 장치(112, 116))는, 컴퓨터로 이루어진 제어부(120)에 접속되어 제어되는 구성으로 되어 있다. 또한, 제어부(120)에는, 오퍼레이터가 시스템을 관리하기 위해 커맨드의 입력 조작 등을 행하는 키보드나, 시스템의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어진 사용자 인터페이스(121)가 접속되어 있다. Components of the processing system 100 (e.g., the etching device PM1, the film forming device PM2, the processing devices PM3 and PM4, the load-lock chambers 6 and 7, and the transfer devices 112 and 116) are configured by a computer. It is configured to be connected to the control unit 120 and controlled. In addition, the control unit 120 is connected to a user interface 121 consisting of a keyboard through which an operator inputs commands and the like to manage the system, and a display that visualizes and displays the operation status of the system.

제어부(120)에는 또한, 시스템에서 실행되는 도 2에 나타낸 각종 처리를 제어부(120)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라서 각 구성부에 처리를 실행시키기 위한 프로그램(즉 처리 레시피)이 저장된 기억부(122)가 접속되어 있다. 처리 레시피는 기억부(122) 중의 기억 매체에 기억되어 있다. 기억 매체는, 하드디스크이어도 좋고, CDROM, DVD, 플래시메모리 등의 가반성인 것이어도 좋다. 또한, 다른 장치로부터, 예컨대 전용 회선을 통해 레시피를 적절하게 전송시키는 구성이어도 좋다. The control unit 120 also includes a control program for realizing the various processes shown in FIG. 2 executed in the system under the control of the control unit 120, and a program for executing processes in each component unit according to process conditions (i.e., a process recipe). The storage unit 122 in which ) is stored is connected. A processing recipe is stored in a storage medium in the storage unit 122 . The storage medium may be a hard disk or a portable one such as a CDROM, DVD, or flash memory. Further, a configuration may be used to appropriately transmit a recipe from another device through, for example, a dedicated line.

처리 시스템(100)에서의 처리는, 예컨대, 사용자 인터페이스(121)로부터의 지시 등으로 임의의 처리 레시피를 기억부(122)로부터 호출하여 제어부(120)에 실행시킴으로써 실시된다. 또, 제어부(120)는, 각 구성부를 직접 제어하도록 해도 좋고, 각 구성부에 개별로 컨트롤러를 설치하여, 이들을 통해 제어하도록 해도 좋다. Processing in the processing system 100 is executed by, for example, calling an arbitrary processing recipe from the storage unit 122 in accordance with an instruction from the user interface 121 and having the control unit 120 execute it. Moreover, the control part 120 may directly control each component part, or may provide a controller individually to each component part, and may control it through these.

본 발명의 실시형태에 관한 처리 시스템(100)에서는, 우선 후프(F)가 로딩된다. 이어서, 후프(F)로부터 웨이퍼(W)를 1장 꺼내어 얼라인먼트 챔버(115)에 반입하여, 웨이퍼(W)의 위치맞춤을 행한다. 계속해서, 웨이퍼(W)를 로드록실(6, 7) 중 어느 하나에 반입하고, 로드록실 내를 진공 상태로 한다. 반송실(5) 내의 반송 장치(112)에 의해, 로드록실 내의 웨이퍼(W)를 꺼내고, 웨이퍼(W)를 에칭 장치(PM1)에 반입하여, 단계 ST1 및 ST2의 에칭 처리를 행한다. In the processing system 100 according to the embodiment of the present invention, the hoop F is first loaded. Next, one wafer W is taken out from the hoop F and carried into the alignment chamber 115 to align the position of the wafer W. Subsequently, the wafer W is loaded into either one of the load lock chambers 6 and 7, and the inside of the load lock chamber is vacuumed. The wafer W is taken out of the load-lock chamber by the transfer device 112 in the transfer chamber 5, and the wafer W is loaded into the etching device PM1 to perform the etching process of steps ST1 and ST2.

처리후의 웨이퍼(W)는 반송 장치(112)에 의해 에칭 장치(PM1)로부터 반출되고, 성막 장치(PM2)에 반입된다. 성막 장치(PM2)는, 본 실시형태에 관한 성막 방법에 의해 웨이퍼(W)의 Cu 배선(102) 및 TiN막(105) 상에 4 nm 이상의 유동성 유기막(106)을 성막한다. 그 후, 반송 장치(112)에 의해 웨이퍼(W)를 꺼내고, 웨이퍼(W)를 반송 장치(112)에 의해 로드록실(6, 7) 중 어느 하나에 반입하고, 그 안을 대기압으로 되돌린다. 반입 반출실(8) 내의 반송 장치(116)에 의해 로드록실 내의 웨이퍼(W)를 꺼내어, 후프(F) 중 어느 하나에 수용한다. 후프(F)는 다음 공정으로 반송된다. The processed wafer W is taken out of the etching device PM1 by the transfer device 112 and carried into the film forming device PM2. The film forming apparatus PM2 forms a fluid organic film 106 of 4 nm or more on the Cu wiring 102 and the TiN film 105 of the wafer W by the film forming method according to the present embodiment. Thereafter, the wafer W is taken out by the conveying device 112, the wafer W is carried into either one of the load lock chambers 6, 7 by the conveying device 112, and the inside is returned to atmospheric pressure. The wafer W is taken out of the load-lock chamber by the transfer device 116 in the carry-in/out chamber 8 and stored in one of the hoops F. The hoop F is conveyed to the next process.

이상에 설명한 바와 같이, In-system의 경우, 웨이퍼(W)는 다음 경로로 반송된다. (a) 후프(F)→(b) 반입 반출실(8)→(c) 로드록실(6, 7)→(d) 반송실(5)→(e) 에칭 장치(PM1)(에칭)→(f) 반송실(5)→(g) 성막 장치(PM2)(유동성 유기막)→(h) 반송실(5)→(i) 로드록실(6, 7)→(j) 반입 반출실(8)→(k) 후프(F)→다음 공정As described above, in the case of the in-system, the wafer W is conveyed through the following path. (a) hoop (F) → (b) carry-in/out chamber 8 → (c) load-lock chambers 6, 7 → (d) conveyance chamber 5 → (e) etching device PM1 (etching) → (f) transfer chamber 5 → (g) film forming device PM2 (fluid organic film) → (h) transfer chamber 5 → (i) load-lock chambers 6, 7 → (j) carry-in/out chamber ( 8) → (k) hoop (F) → next process

상기 반송시, 웨이퍼(W)는 (a)∼(c) 및 (i)∼(k)에 있어서, 대기 환경하에서 반송되어 대기에 노출된다. 그러나, 본 실시형태에서는, (e) 에칭 장치(PM1)가 실행하는 에칭 공정에서 노출된 Cu 배선(102) 및 마스크의 TiN막(105)은, (g) 성막 장치(PM2)가 실행하는 성막 공정에서 유동성 유기막에 의해 코팅된다. 또한, 웨이퍼(W)는, (e) 에칭 장치(PM1)→(f) 반송실(5)→(g) 성막 장치 사이로, 진공 반송되어 대기에 노출되지 않는다. During the transfer, the wafer W is transferred in an atmospheric environment and exposed to the atmosphere in (a) to (c) and (i) to (k). However, in the present embodiment, (e) the Cu wiring 102 exposed in the etching process performed by the etching device PM1 and the TiN film 105 of the mask are formed into a film performed by the (g) film forming device PM2. In the process, it is coated by a flowable organic film. Further, the wafer W is vacuum transported between (e) the etching device PM1 → (f) the transfer chamber 5 → (g) the film forming device, and is not exposed to the atmosphere.

따라서, 웨이퍼(W)가, (i)∼(k)에 있어서 대기 환경하에서 반송되어 대기에 노출되더라도, 유동성 유기막이 보호막이 되어, Cu 배선(102) 및 TiN막(105)이 대기중의 수분과 반응하는 것을 방지할 수 있다. 그 결과, 웨이퍼(W)에 형성된 Cu 배선(102) 및 TiN막(105)의 부식을 방지할 수 있다. Therefore, even if the wafer W is transported in an atmospheric environment and exposed to the atmosphere in (i) to (k), the fluid organic film serves as a protective film, and the Cu wiring 102 and the TiN film 105 are exposed to moisture in the air. can be prevented from reacting with As a result, corrosion of the Cu wiring 102 and the TiN film 105 formed on the wafer W can be prevented.

또, 본 실시형태에서는, In-situ에서 단계 ST1 및 ST2의 에칭 공정이 행해진 챔버와 동일 챔버 내에서, 단계 ST3의 유동성 유기막의 성막이 행해져도 좋다. 예컨대, 도 12의 처리 시스템(100)에 있어서, 처리 장치(PM3)에서 에칭 공정과 성막 공정이 연속하여 행해져도 좋다. In this embodiment, the film formation of the fluid organic film in step ST3 may be performed in-situ in the same chamber as the chamber in which the etching processes in steps ST1 and ST2 were performed. For example, in the processing system 100 of FIG. 12 , the etching process and the film forming process may be continuously performed in the processing device PM3 .

이 경우, 웨이퍼(W)는 다음 경로로 반송된다. In this case, the wafer W is transported through the following path.

(a) 후프(F)→(b) 반입 반출실(8)→(c) 로드록실(6, 7)→(d) 반송실(5)→(e) 처리 장치(PM3) 에칭+성막(유동성 유기막)→(h) 반송실(5)→(i) 로드록실(6, 7)→(j) 반입 반출실(8)→(k) 후프(F)→다음 공정(a) hoop (F) → (b) carry-in/out chamber 8) → (c) load-lock chambers 6, 7 → (d) transfer chamber 5) → (e) processing device PM3 etching + film formation ( Fluid organic film) → (h) transfer chamber (5) → (i) load lock chamber (6, 7) → (j) carry-in/out chamber (8) → (k) hoop (F) → next process

이 경로에서도 웨이퍼(W)가, (i)∼(k)에 있어서 대기 환경하에서 반송되어 대기에 노출되더라도, 유동성 유기막이 보호막이 되어, Cu 배선(102) 및 TiN막(105)이 대기중의 수분과 반응하는 것을 방지할 수 있다. 그 결과, 웨이퍼(W)에 형성된 Cu 배선(102) 및 TiN막(105)의 부식을 방지할 수 있다. Also in this path, even if the wafer W is transported in an atmospheric environment and exposed to the atmosphere in (i) to (k), the fluid organic film serves as a protective film, and the Cu wiring 102 and the TiN film 105 are not exposed to the atmosphere. It can be prevented from reacting with moisture. As a result, corrosion of the Cu wiring 102 and the TiN film 105 formed on the wafer W can be prevented.

이상, 본 실시형태에 관한 처리 시스템(100)에서는, 시스템 내에 에칭 장치와 유동성 유기막의 성막 장치를 구비하거나(In-system), 또는, 에칭과 유동성 유기막을 모두 실행 가능한 플라즈마 처리 장치를 구비한다(In-situ). 이에 따라, 에칭에 의해 노출된 금속막을 대기에 노출시키지 않고, 탄소 함유 가스의 저증기압 재료의 가스로부터 생성되는 프리커서를 웨이퍼(W)에 형성된 오목부의 바닥부로부터 퇴적시킬 수 있다. 이에 따라, 웨이퍼(W) 상에 유동성 유기막을 성막하고, 금속막을 캡함으로써 Q-time을 관리할 수 있다. As described above, in the processing system 100 according to the present embodiment, an etching device and a fluid organic film forming device are provided in the system (In-system), or a plasma processing device capable of performing both etching and a fluid organic film is provided ( In-situ). Accordingly, a precursor generated from a gas of a low vapor pressure material of a carbon-containing gas can be deposited from the bottom of the concave portion formed in the wafer W without exposing the metal film exposed by etching to the atmosphere. Accordingly, the Q-time can be managed by forming a fluid organic film on the wafer W and capping the metal film.

[플라즈마 처리 장치의 구성예][Configuration example of plasma processing device]

다음으로, 본 실시형태에 관한 처리 시스템에 배치되어 있는 플라즈마 처리 장치의 구성의 일례를, 도 13을 참조하면서 설명한다. 도 13은, 본 실시형태에 관한 플라즈마 처리 장치의 구성의 일례이다. 본 실시형태에 관한 플라즈마 처리 장치는, 도 2의 단계 ST1 및 단계 ST2의 에칭을 행하는 에칭 장치, 및 단계 ST3의 성막을 행하는 성막 장치로서 기능한다. Next, an example of the configuration of the plasma processing apparatus disposed in the processing system according to the present embodiment will be described with reference to FIG. 13 . 13 is an example of the configuration of the plasma processing device according to the present embodiment. The plasma processing apparatus according to the present embodiment functions as an etching apparatus for performing etching in steps ST1 and ST2 in FIG. 2 and a film forming apparatus for performing film formation in step ST3.

본 실시형태에서는, 플라즈마 처리 장치의 일례로서 유도 결합형 플라즈마(ICP : Inductively Coupled Plasma) 처리 장치(200)를 예를 들어 설명한다. In the present embodiment, an inductively coupled plasma (ICP) processing device 200 will be described as an example of the plasma processing device.

유도 결합형 플라즈마 처리 장치(200)는, 평면 코일형의 RF 안테나를 이용하는 플라즈마 처리 장치로서 구성되어 있고, 예를 들면 알루미늄 또는 스테인리스강 등의 금속제의 원통형 진공 챔버(10)를 갖고 있다. 챔버(10)는 보안 접지되어 있다. The inductively coupled plasma processing device 200 is configured as a plasma processing device using a planar coil type RF antenna and has a cylindrical vacuum chamber 10 made of metal such as aluminum or stainless steel, for example. Chamber 10 is securely grounded.

챔버(10) 내의 하부 중앙에는, 피처리 기판으로서 예를 들면 반도체 웨이퍼(이하, 「웨이퍼(W)」라고 함)를 배치하는 원판형의 스테이지(12)가 고주파 전극을 겸하는 기판 유지대로서 수평으로 배치되어 있다. 이 스테이지(12)는, 예를 들면 알루미늄으로 이루어지며, 챔버(10)의 바닥으로부터 수직 상측으로 연장된 절연성 통형상 지지부(14)에 지지되어 있다. In the lower center of the chamber 10, a disk-shaped stage 12 on which a semiconductor wafer (hereinafter referred to as "wafer W") is placed as a processing target substrate is horizontally arranged as a substrate holding table that also serves as a high-frequency electrode. are placed as The stage 12 is made of aluminum, for example, and is supported by an insulating tubular support 14 extending vertically upward from the bottom of the chamber 10 .

절연성 통형상 지지부(14)의 외주를 따라서 챔버(10)의 바닥으로부터 수직 상측으로 연장된 도전성 통형상 지지부(16)와 챔버(10)의 내벽 사이에 고리형의 배기로(18)가 형성되어 있다. 배기로(18)의 상부 또는 입구에 고리형의 배플판(20)이 부착되고, 바닥부에 배기 포트(22)가 설치되어 있다. 챔버(10) 내의 가스의 흐름을 스테이지(12) 상의 웨이퍼(W)에 대하여 축대칭으로 균일하게 하기 위해서는, 배기 포트(22)를 원주 방향으로 등간격으로 복수 설치하는 구성이 바람직하다. An annular exhaust passage 18 is formed between the inner wall of the chamber 10 and the conductive cylindrical support 16 extending vertically upward from the bottom of the chamber 10 along the outer circumference of the insulating cylindrical support 14, there is. An annular baffle plate 20 is attached to the top or inlet of the exhaust passage 18, and an exhaust port 22 is provided at the bottom. In order to uniformly flow the gas in the chamber 10 axially symmetrically with respect to the wafer W on the stage 12, a configuration in which a plurality of exhaust ports 22 are provided at regular intervals in the circumferential direction is preferable.

각 배기 포트(22)에는 배기관(24)을 통해 배기 장치(26)가 접속되어 있다. 배기 장치(26)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 챔버(10) 내의 플라즈마 처리 공간을 원하는 진공도까지 감압할 수 있다. 챔버(10)의 측벽 외측에는, 웨이퍼(W)의 반입 반출구(27)를 개폐하는 게이트 밸브(28)가 부착되어 있다. An exhaust device 26 is connected to each exhaust port 22 via an exhaust pipe 24 . The exhaust device 26 has a vacuum pump such as a turbo molecular pump, and can depressurize the plasma processing space in the chamber 10 to a desired vacuum level. A gate valve 28 that opens and closes the loading/unloading port 27 of the wafer W is attached to the outer side of the side wall of the chamber 10 .

스테이지(12)에는, 제2 고주파 전원(30)이 정합기(32) 및 급전 막대(34)를 통해 전기적으로 접속되어 있다. 이 제2 고주파 전원(30)은, 웨이퍼(W)에 인입하는 이온의 에너지를 제어하기에 적합한 일정 주파수(예컨대 400 kHz)의 바이어스 인입용의 고주파 전력 LF를 가변의 파워로 출력할 수 있게 되어 있다. 정합기(32)는, 제2 고주파 전원(30)측의 임피던스와 부하(주로 스테이지, 플라즈마, 챔버)측의 임피던스 사이에서 정합을 취하기 위한 리액턴스 가변의 정합 회로를 수용하고 있다. 그 정합 회로 내에 자기 바이어스 생성용의 블로킹 콘덴서가 포함되어 있다. A second high frequency power source 30 is electrically connected to the stage 12 via a matching device 32 and a power supply bar 34 . The second high frequency power supply 30 can output the high frequency power LF for bias drawing at a constant frequency (e.g., 400 kHz) suitable for controlling the energy of ions entering the wafer W with variable power. there is. The matching circuit 32 accommodates a variable reactance matching circuit for matching between the impedance on the second high frequency power supply 30 side and the impedance on the load (mainly stage, plasma, chamber) side. A blocking capacitor for self-bias generation is included in the matching circuit.

스테이지(12)의 상면에는, 웨이퍼(W)를 정전 흡착력으로 유지하기 위한 정전척(36)이 설치되고, 정전척(36)의 외주측에는 웨이퍼(W)의 주위를 고리형으로 둘러싸는 포커스링(38)이 설치되어 있다. 정전척(36)은 도전막으로 이루어진 전극(36a)을 한쌍의 절연막(36b, 36c) 사이에 끼워 넣은 것이며, 전극(36a)에는 고압의 직류 전원(40)이 스위치(42) 및 피복선(43)을 통해 전기적으로 접속되어 있다. 직류 전원(40)으로부터 공급되는 직류 전류에 의해, 정전력으로 웨이퍼(W)를 정전척(36) 상에 흡착 유지할 수 있다. On the upper surface of the stage 12, an electrostatic chuck 36 for holding the wafer W by electrostatic adsorption is installed, and a focus ring encircling the periphery of the wafer W in an annular shape is installed on the outer circumference of the electrostatic chuck 36. (38) is installed. In the electrostatic chuck 36, an electrode 36a made of a conductive film is sandwiched between a pair of insulating films 36b and 36c, and a high-voltage DC power supply 40 is applied to the electrode 36a, and a switch 42 and a covering wire 43 ) are electrically connected. The wafer W can be adsorbed and held on the electrostatic chuck 36 with electrostatic force by the DC current supplied from the DC power supply 40 .

스테이지(12)의 내부에는, 예를 들면 원주 방향으로 연장된 고리형의 냉매실 또는 냉매 유로(44)가 설치되어 있다. 이 냉매 유로(44)에는, 칠러 유닛으로부터 배관(46, 48)을 통해 소정 온도의 냉매, 예를 들면 냉각수(cw)가 순환 공급된다. 냉매의 온도에 의해 정전척(36) 상의 웨이퍼(W)의 처리중의 온도를 제어할 수 있다. 이것과 관련하여, 전열 가스 공급부로부터의 전열 가스, 예를 들면 He 가스가, 가스 공급관(50)을 통해 정전척(36)의 상면과 웨이퍼(W)의 이면 사이에 공급된다. 또한, 웨이퍼(W)의 로딩/언로딩을 위해 스테이지(12)를 수직 방향으로 관통하여 상하 이동 가능한 리프트핀 및 그 승강 기구 등도 설치되어 있다. Inside the stage 12, an annular refrigerant chamber or refrigerant passage 44 extending in the circumferential direction is provided, for example. A refrigerant at a predetermined temperature, such as cooling water cw, is circulated and supplied to the refrigerant passage 44 from the chiller unit through pipes 46 and 48 . The temperature during processing of the wafer W on the electrostatic chuck 36 can be controlled by the temperature of the coolant. In connection with this, a heat transfer gas from the heat transfer gas supply unit, for example He gas, is supplied between the upper surface of the electrostatic chuck 36 and the lower surface of the wafer W through the gas supply pipe 50 . In addition, for loading/unloading of the wafer W, a lift pin capable of vertically penetrating the stage 12 and moving up and down and an elevating mechanism thereof are also installed.

다음으로, 유도 결합형 플라즈마 처리 장치(200)에 있어서 플라즈마 생성과 관계된 각 부의 구성을 설명한다. 챔버(10)의 천장에는, 스테이지(12)로부터 비교적 큰 거리 간격을 두고, 예를 들면 석영판으로 이루어진 원형의 유전체창(52)이 기밀하게 부착되어 있다. 이 유전체창(52) 상에는, 챔버(10) 또는 스테이지(12)와 동축에, 코일형의 RF 안테나(54)가 수평으로 배치되어 있다. 이 RF 안테나(54)는, 바람직하게는, 예를 들면 스파이럴 코일 또는 각 일주 내에서 반경이 일정한 동심원 코일의 형태를 갖고 있고, 절연체로 이루어진 안테나 고정 부재에 의해 유전체창(52) 위에 고정되어 있다. Next, the configuration of each part related to plasma generation in the inductively coupled plasma processing apparatus 200 will be described. A circular dielectric window 52 made of, for example, a quartz plate is airtightly attached to the ceiling of the chamber 10 at a relatively large distance from the stage 12 . On this dielectric window 52, a coil-shaped RF antenna 54 is horizontally arranged coaxially with the chamber 10 or the stage 12. This RF antenna 54 preferably has the form of, for example, a spiral coil or a concentric coil having a constant radius within each circumference, and is fixed on the dielectric window 52 by an antenna fixing member made of an insulator. .

RF 안테나(54)의 일단에는, 제1 고주파 전원(56)의 출력 단자가 정합기(58) 및 급전선(60)을 통해 전기적으로 접속되어 있다. RF 안테나(54)의 타단은, 어스선을 통해 전기적으로 그라운드 전위에 접속되어 있다. One end of the RF antenna 54 is electrically connected to an output terminal of the first high frequency power supply 56 via a matching device 58 and a power supply line 60. The other end of the RF antenna 54 is electrically connected to the ground potential through a ground wire.

제1 고주파 전원(56)은, 고주파 방전에 의한 플라즈마의 생성에 적합한 주파수(예컨대 27 MHz 이상(60 MHz 등))의 플라즈마 생성용의 고주파 HF를 가변의 파워로 출력할 수 있게 되어 있다. 정합기(58)는, 제1 고주파 전원(56)측의 임피던스와 부하(주로 RF 안테나, 플라즈마, 보정 코일)측의 임피던스 사이에서 정합을 취하기 위한 리액턴스 가변의 정합 회로를 수용하고 있다. The first high-frequency power supply 56 is capable of outputting high-frequency HF for plasma generation at a frequency suitable for plasma generation by high-frequency discharge (for example, 27 MHz or higher (60 MHz, etc.)) with variable power. The matching circuit 58 accommodates a variable reactance matching circuit for matching between the impedance on the side of the first high frequency power supply 56 and the impedance on the side of the load (mainly RF antenna, plasma, and correction coil).

챔버(10) 내의 처리 공간에 미리 결정된 가스를 공급하기 위한 가스 공급부는, 유전체창(52)보다 다소 낮은 위치에서 챔버(10)의 측벽 안(또는 바깥)에 설치되는 고리형의 매니폴드 또는 버퍼부(62)와, 원주 방향으로 등간격으로 버퍼부(62)로부터 플라즈마 생성 공간(S)에 면하는 다수의 측벽 가스 토출 구멍(64)과, 가스 공급원(66)으로부터 버퍼부(62)까지 연장된 가스 공급관(68)을 갖고 있다. 가스 공급원(66)은, 유량 제어기 및 개폐 밸브를 포함하고 있다. The gas supply unit for supplying a predetermined gas to the processing space in the chamber 10 is an annular manifold or buffer installed inside (or outside) the sidewall of the chamber 10 at a position slightly lower than the dielectric window 52. portion 62, a plurality of side wall gas discharge holes 64 facing the plasma generating space S from the buffer portion 62 at equal intervals in the circumferential direction, and from the gas supply source 66 to the buffer portion 62 It has an extended gas supply pipe 68. The gas supply source 66 includes a flow controller and an on/off valve.

제어부(74)는, 예를 들면 마이크로컴퓨터를 포함하고, 유도 결합형 플라즈마 처리 장치(200) 내의 각 부, 예를 들면 배기 장치(26), 제2 고주파 전원(30), 제1 고주파 전원(56), 정합기(32), 정합기(58), 정전척용의 스위치(42), 가스 공급원(66), 칠러 유닛, 전열 가스 공급부 등의 개개의 동작 및 장치 전체의 동작을 제어한다. The control unit 74 includes, for example, a microcomputer, and each unit in the inductively coupled plasma processing apparatus 200, for example, the exhaust device 26, the second high frequency power supply 30, the first high frequency power supply ( 56), the matching device 32, the matching device 58, the switch 42 for the electrostatic chuck, the gas supply source 66, the chiller unit, the heat transfer gas supply unit, and the like, and the operation of the entire device are controlled.

유도 결합형 플라즈마 처리 장치(200)에 있어서, 성막을 행하기 위해서는, 우선 게이트 밸브(28)를 개방 상태로 하여 가공 대상의 웨이퍼(W)를 챔버(10) 내에 반입하고, 정전척(36) 상에 배치한다. 그리고, 게이트 밸브(28)를 폐쇄하고 나서, 가스 공급원(66)으로부터 가스 공급관(68), 버퍼부(62) 및 측벽 가스 토출 구멍(64)을 통해 미리 결정된 가스를 미리 결정된 유량 및 유량비로 챔버(10) 내에 도입하고, 배기 장치(26)에 의해 챔버(10) 내의 압력을 설정치로 한다. 또한, 제1 고주파 전원(56)을 온으로 하여 플라즈마 생성용의 고주파 HF를 미리 결정된 RF 파워로 출력시키고, 정합기(58), 급전선(60)을 통해 RF 안테나(54)에 고주파 HF의 전력을 공급한다. In the inductively coupled plasma processing apparatus 200, in order to form a film, first, the gate valve 28 is opened, the wafer W to be processed is loaded into the chamber 10, and the electrostatic chuck 36 place on top Then, after the gate valve 28 is closed, a predetermined gas is supplied from the gas supply source 66 through the gas supply pipe 68, the buffer portion 62 and the side wall gas discharge hole 64 at a predetermined flow rate and flow rate to the chamber. (10), and the pressure in the chamber 10 is set as the set value by the exhaust device 26. In addition, the first high-frequency power supply 56 is turned on to output high-frequency HF for plasma generation at a predetermined RF power, and the power of the high-frequency HF is supplied to the RF antenna 54 through the matching device 58 and the power supply line 60 supply

한편, 이온 인입 제어용의 고주파 LF의 파워를 인가하는 경우에는, 제2 고주파 전원(30)을 온으로 하여 고주파 전력 LF를 출력시키고, 이 고주파 LF의 파워를 정합기(32) 및 급전 막대(34)를 통해 스테이지(12)에 인가한다. 이온 인입 제어용의 고주파 LF의 파워를 인가하지 않는 조건의 경우에는, 고주파의 파워를 0 W로 한다. 또한, 전열 가스 공급부로부터 정전척(36)과 웨이퍼(W) 사이의 접촉 계면에 전열 가스를 공급함과 함께, 스위치(42)를 온으로 하여 정전척(36)의 정전 흡착력에 의해 전열 가스를 상기 접촉 계면에 차폐한다. On the other hand, in the case of applying the power of the high frequency LF for ion pull-in control, the second high frequency power supply 30 is turned on to output the high frequency power LF, and the power of the high frequency LF is supplied to the matching device 32 and the power supply rod 34 ) to the stage 12. In the case of a condition in which the power of the high frequency LF for ion pull-in control is not applied, the power of the high frequency is set to 0 W. In addition, the heat transfer gas is supplied from the heat transfer gas supply unit to the contact interface between the electrostatic chuck 36 and the wafer W, and the switch 42 is turned on to transfer the heat transfer gas by the electrostatic adsorption force of the electrostatic chuck 36. shield at the contact interface.

측벽 가스 토출 구멍(64)으로부터 토출된 미리 결정된 가스는, 유전체창(52) 아래의 처리 공간에 균일하게 확산된다. RF 안테나(54)를 흐르는 고주파 HF의 전류에 의해, 자력선이 유전체창(52)을 관통하여 챔버 내의 플라즈마 생성 공간(S)을 통과하는 RF 자계가 RF 안테나(54)의 둘레에 발생하고, 이 RF 자계의 시간적인 변화에 의해 처리 공간의 방위각 방향으로 RF 유도 전계가 발생한다. 그리고, 이 유도 전계에 의해 방위각 방향으로 가속된 전자가, 공급된 가스의 분자나 원자와 전리 충돌을 일으켜 도우넛형의 플라즈마가 생성된다. 이 도우넛형 플라즈마의 라디칼이나 이온은 넓은 처리 공간에서 사방으로 확산되고, 라디칼은 등방향으로 내리쏟아지더록 하고 이온은 직류 바이어스에 인장되도록 하여, 웨이퍼(W)의 상면(피처리면)에 공급된다. 이렇게 하여 웨이퍼(W)의 피처리면에 플라즈마의 활성종이 화학 반응과 물리 반응을 가져와, 피가공막이 원하는 패턴으로 에칭된다. The predetermined gas discharged from the side wall gas discharge hole 64 is uniformly diffused in the processing space under the dielectric window 52 . Due to the current of the high-frequency HF flowing through the RF antenna 54, an RF magnetic field in which lines of magnetic force pass through the dielectric window 52 and pass through the plasma generating space S in the chamber is generated around the RF antenna 54, An RF induced electric field is generated in the azimuth direction of the processing space due to the temporal change of the RF magnetic field. Then, the electrons accelerated in the azimuthal direction by this induced electric field cause ionizing collisions with molecules or atoms of the supplied gas, and donut-shaped plasma is generated. Radicals or ions of this donut-type plasma are diffused in all directions in a wide processing space, and the radicals pour down in the same direction, and the ions are applied to the upper surface (surface to be processed) of the wafer W by being pulled by a direct current bias. . In this way, active species of the plasma bring about chemical and physical reactions on the surface to be processed of the wafer W, and the film to be processed is etched into a desired pattern.

유도 결합형 플라즈마 처리 장치(200)는, 상기와 같이 RF 안테나(54)에 근접하는 유전체창(52) 아래에서 유도 결합의 플라즈마를 도우넛형으로 생성하고, 이 도우넛형의 플라즈마를 넓은 처리 공간 내에서 분산시켜, 스테이지(12) 근방(즉 웨이퍼(W) 상)에서 플라즈마의 밀도를 평균화하도록 하고 있다. 여기서, 도우넛형 플라즈마의 밀도는, 유도 전계의 강도에 의존하며, 나아가서는 RF 안테나(54)에 공급되는 고주파 HF의 파워(보다 정확하게는 RF 안테나(54)를 흐르는 전류)의 크기에 의존한다. 즉, 고주파 HF의 파워를 높게 할수록 도우넛형 플라즈마의 밀도가 높아져, 플라즈마의 확산을 통하여 스테이지(12) 근방에서의 플라즈마의 밀도는 전체적으로 높아진다. 한편, 도우넛형 플라즈마가 사방(특히 직경 방향)으로 확산되는 형태는 주로 챔버(10) 내의 압력에 의존하며, 압력을 낮게 할수록 챔버(10)의 중심부에 플라즈마가 많이 모여, 스테이지(12) 근방의 플라즈마 밀도 분포가 중심부에서 고조되는 경향이 있다. 또한, RF 안테나(54)에 공급되는 고주파 HF의 파워나 챔버(10) 내에 도입되는 처리 가스의 유량 등에 따라서 도우넛형 플라즈마 내의 플라즈마 밀도 분포가 변화하는 경우도 있다. The inductively coupled plasma processing apparatus 200 generates donut-shaped plasma under the dielectric window 52 close to the RF antenna 54 as described above, and generates the donut-shaped plasma in a wide processing space. , so as to average the density of the plasma in the vicinity of the stage 12 (that is, on the wafer W). Here, the density of the donut-shaped plasma depends on the intensity of the induced electric field, and further depends on the magnitude of the high-frequency HF power supplied to the RF antenna 54 (more precisely, the current flowing through the RF antenna 54). That is, as the power of the high-frequency HF increases, the density of the donut-type plasma increases, and the plasma density in the vicinity of the stage 12 generally increases through diffusion of the plasma. On the other hand, the form in which the donut-shaped plasma spreads in all directions (especially in the radial direction) mainly depends on the pressure in the chamber 10, and the lower the pressure, the more plasma is gathered in the center of the chamber 10, and the more plasma is gathered in the vicinity of the stage 12 The plasma density distribution tends to be heightened in the center. In addition, the plasma density distribution in the donut-shaped plasma may change depending on the power of the high-frequency HF supplied to the RF antenna 54 or the flow rate of the processing gas introduced into the chamber 10.

여기서 「도우넛형의 플라즈마」란, 챔버(10)의 직경 방향 내측(중심부)에 플라즈마가 서지 않고 직경 방향 외측에만 플라즈마가 서는 것과 같은 엄밀하게 링형의 플라즈마에 한정되지 않고, 오히려 챔버(10)의 직경 방향 내측보다 직경 방향 외측의 플라즈마의 체적 또는 밀도가 큰 것을 의미한다. 또한, 처리 가스에 이용하는 가스의 종류나 챔버(10) 내의 압력의 값 등의 조건에 따라서는, 여기서 말하는 「도우넛형의 플라즈마」가 되지 않는 경우도 있다. Here, the "donut-shaped plasma" is not strictly limited to a ring-shaped plasma in which plasma does not stand radially inside (central part) of the chamber 10 and plasma only stands radially outside, but rather It means that the volume or density of the plasma outside the radial direction is larger than that inside the radial direction. In addition, depending on conditions such as the type of gas used for the processing gas and the pressure value in the chamber 10, there are cases where the "doughnut-type plasma" referred to herein is not achieved.

제어부(74)는, 도시하지 않은 CPU, ROM(Read Only Memory), RAM(Random Access Memory)를 가지며, RAM 등에 기억된 레시피로 설정된 순서에 따라서, 본 실시형태에 관한 유도 결합형 플라즈마 처리 장치(200)의 각 부를 제어하고, 이에 따라, 본 실시형태에 관한 반도체 제조 방법을 제어한다. The control unit 74 has a CPU, ROM (Read Only Memory), and RAM (Random Access Memory), which are not shown, and in accordance with the order set by a recipe stored in the RAM or the like, the inductively coupled plasma processing device according to the present embodiment ( 200), thereby controlling the semiconductor manufacturing method according to the present embodiment.

이러한 구성의 유도 결합형 플라즈마 처리 장치(200)는, 에칭 공정과 성막 공정의 적어도 어느 하나를 실행할 수 있다. The inductively coupled plasma processing apparatus 200 having such a configuration can perform at least one of an etching process and a film forming process.

또, 본 실시형태에 관한 반도체 제조 방법을 실행하는 플라즈마 처리 장치는, 유도 결합형 플라즈마 처리 장치(ICP 장치) 및 플라즈마 생성용의 고주파 전력을 상부 전극측에 인가하는 용량 결합형 플라즈마 처리 장치(상하부 2주파 CCP 장치)에 한정되지 않고, 마이크로파 플라즈마 처리 장치 및 리모우트 플라즈마 처리 장치 중의 어느 것이어도 좋다. In addition, the plasma processing device for performing the semiconductor manufacturing method according to the present embodiment includes an inductively coupled plasma processing device (ICP device) and a capacitively coupled plasma processing device (upper and lower portions) that apply high-frequency power for plasma generation to the upper electrode side. 2-frequency CCP device), and may be any of a microwave plasma processing device and a remote plasma processing device.

이상에 설명한 바와 같이, 본 실시형태에 관한 반도체 제조 방법에 의하면, 반도체 제조에 있어서 피처리체 상의 금속의 부식을 방지할 수 있다. As described above, according to the semiconductor manufacturing method according to the present embodiment, corrosion of metal on an object to be processed can be prevented in semiconductor manufacturing.

이상, 반도체 제조 방법 및 플라즈마 처리 장치를 상기 실시형태에 의해 설명했지만, 본 발명에 관한 반도체 제조 방법 및 플라즈마 처리 장치는 상기 실시형태에 한정되는 것이 아니며, 본 발명의 범위 내에서 여러가지 변형 및 개량이 가능하다. 상기 복수의 실시형태에 기재된 사항은, 모순되지 않는 범위에서 조합할 수 있다. Although the semiconductor manufacturing method and plasma processing apparatus have been described in terms of the above embodiments, the semiconductor manufacturing method and plasma processing apparatus according to the present invention are not limited to the above embodiments, and various modifications and improvements may be made within the scope of the present invention. possible. Matters described in the above plurality of embodiments can be combined within a range that is not contradictory.

예컨대 본 명세서에서는, 피처리체의 일례로서 웨이퍼(W)를 들어 설명했지만, 피처리체는 이것에 한정되지 않고, LCD(Liquid Crystal Display), FPD(Flat Panel Display)에 이용되는 각종 기판이나, 포토마스크, CD 기판, 프린트 기판이어도 좋다. For example, in this specification, the wafer W has been described as an example of an object to be processed, but the object to be processed is not limited to this, and various substrates used for LCD (Liquid Crystal Display) and FPD (Flat Panel Display) and photomasks , a CD substrate, or a printed circuit board may be used.

또한, 본 명세서의 실시예로는, 웨이퍼(W)에 Cu 등의 금속이 도전층으로서 이용되는 경우를 설명했지만, 이것에 한정되는 것은 아니다. 도전층으로는, 예컨대 루테늄(Ru) 등의 금속, 니켈(Ni)이나 코발트(Co), 탄소(C)가 포함되는 실리사이드, 붕소(B)나 비소(As) 등이 미소 첨가된 도핑 실리콘, 다결정 실리콘, 비결정 실리콘 및 실리콘게르마늄(SiGe) 등의 도전성 실리콘 함유막이어도 좋다. Incidentally, as an embodiment of the present specification, a case in which a metal such as Cu is used as a conductive layer in the wafer W has been described, but it is not limited thereto. Examples of the conductive layer include metals such as ruthenium (Ru), silicide containing nickel (Ni), cobalt (Co), and carbon (C), doped silicon in which boron (B) and arsenic (As) are micro-added, A conductive silicon-containing film such as polycrystalline silicon, amorphous silicon, and silicon germanium (SiGe) may be used.

1 : SiN막
2 : SiO2
3 : 유기막
4 : Si-ARC
5 : 반송실
6, 7 : 로드록실
10 : 챔버
12 : 스테이지
20 : 배플판
26 : 배기 장치
30 : 제2 고주파 전원
36 : 정전척
40 : 직류 전원
44 : 냉매 유로
52 : 유전체창
54 : RF 안테나
56 : 제1 고주파 전원
64 : 측벽 가스 토출 구멍
66 : 가스 공급원
74 : 제어부
100 : 처리 시스템
101 : 배선층
102 : Cu 배선
103 : 라이너막
104 : 층간 절연막
105 : TiN막
106 : 유동성 유기막
200 : 유도 결합형 플라즈마 처리 장치
H : 비아홀
PM1 : 에칭 장치
PM2 : 성막 장치
1: SiN film
2: SiO 2 film
3: organic film
4: Si-ARC
5 : return room
6, 7: load lock room
10: chamber
12 : Stage
20: baffle plate
26: Exhaust device
30: second high frequency power supply
36: electrostatic chuck
40: DC power
44: refrigerant flow path
52: dielectric window
54: RF antenna
56: first high frequency power supply
64: side wall gas discharge hole
66: gas source
74: control unit
100: processing system
101: wiring layer
102: Cu wiring
103: liner film
104: interlayer insulating film
105 TiN film
106: fluid organic film
200: inductively coupled plasma processing device
H: via hole
PM1: Etching device
PM2: film formation device

Claims (15)

피처리체의 도전층 상의 절연막을 마스크의 패턴으로 에칭하고, 형성한 상기 절연막의 오목부에 상기 도전층을 노출시키는 제1 공정과,
상기 도전층이 노출된 절연막의 오목부에 유기막을 형성하는 제2 공정을 포함하고,
상기 제2 공정은,
챔버의 내부를 미리 결정된 압력으로 유지하고, 스테이지를 -20℃ 이하로 냉각시키고, 상기 스테이지 상에 피처리체를 설치하는 공정과,
상기 챔버의 내부에 저증기압 재료의 가스를 포함하는 가스를 공급하는 공정과,
공급된 상기 저증기압 재료의 가스를 포함하는 가스로부터 플라즈마를 생성하고, 상기 플라즈마에 의해 상기 저증기압 재료로부터 생성되는 프리커서를 상기 도전층 상에 퇴적시켜 상기 유기막을 형성하는 공정
을 포함하는 것인 반도체 제조 방법.
A first step of etching an insulating film on a conductive layer of an object to be processed with a pattern of a mask and exposing the conductive layer to a concave portion of the formed insulating film;
A second step of forming an organic film in the concave portion of the insulating film where the conductive layer is exposed;
The second process,
maintaining the inside of the chamber at a predetermined pressure, cooling the stage to -20° C. or lower, and placing an object to be processed on the stage;
a step of supplying a gas containing a gas of a low vapor pressure material to the inside of the chamber;
A process of generating plasma from a supplied gas containing the gas of the low vapor pressure material, and depositing a precursor generated from the low vapor pressure material by the plasma on the conductive layer to form the organic film.
A semiconductor manufacturing method comprising a.
제1항에 있어서, 상기 제1 공정과 상기 제2 공정은 상이한 챔버에서 실행되고,
피처리체는, 상기 제1 공정을 실행하는 하나의 챔버와 상기 제2 공정을 실행하는 다른 챔버 사이에서 진공 환경하에 반송되는 것인 반도체 제조 방법.
The method of claim 1, wherein the first process and the second process are performed in different chambers,
The method of manufacturing a semiconductor according to claim 1 , wherein the object to be processed is transported in a vacuum environment between one chamber for performing the first process and another chamber for performing the second process.
제1항에 있어서, 상기 제1 공정과 상기 제2 공정은 동일 챔버에서 실행되는 것인 반도체 제조 방법. The method of claim 1 , wherein the first process and the second process are performed in the same chamber. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제2 공정은, 4 nm 이상의 막두께의 유동성의 상기 유기막을 형성하는 것인 반도체 제조 방법. The semiconductor manufacturing method according to any one of claims 1 to 3, wherein the second step is to form the fluid organic film having a film thickness of 4 nm or more. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 마스크는 금속을 함유하고,
상기 제2 공정은, 상기 마스크를 덮도록 상기 유기막을 형성하는 것인 반도체 제조 방법.
4. The method according to any one of claims 1 to 3, wherein the mask contains a metal,
In the second step, the organic film is formed to cover the mask.
제4항에 있어서, 상기 제2 공정을 실행한 후, 피처리체는 대기 환경하에서 세정 장치로 반송되고,
상기 세정 장치는 상기 유기막을 제거하는 것인 반도체 제조 방법.
The method according to claim 4, wherein after executing the second step, the object to be processed is conveyed to the cleaning device in an atmospheric environment,
The semiconductor manufacturing method of claim 1 , wherein the cleaning device removes the organic film.
제1항 내지 제3항 중 어느 한 항에 있어서, 상기 저증기압 재료의 가스는, C4F8의 증기압 곡선이 나타내는 온도 이상의 온도에서 증기압이 되는 가스인 것인 반도체 제조 방법. The semiconductor manufacturing method according to any one of claims 1 to 3, wherein the gas of the low vapor pressure material is a gas that has a vapor pressure at a temperature equal to or higher than a temperature indicated by a vapor pressure curve of C 4 F 8 . 제7항에 있어서, 상기 저증기압 재료의 가스는 탄소 함유 가스인 것인 반도체 제조 방법. 8. The method of claim 7, wherein the gas of the low vapor pressure material is a carbon-containing gas. 제8항에 있어서, 상기 저증기압 재료의 가스는 C4F8, C4F6, 이소프로필알콜(IPA) 중 어느 하나인 것인 반도체 제조 방법.The method of claim 8 , wherein the gas of the low vapor pressure material is any one of C 4 F 8 , C 4 F 6 , and isopropyl alcohol (IPA). 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 도전층은, 금속막 혹은 도전성 실리콘 함유막이 포함되는 것인 반도체 제조 방법. The semiconductor manufacturing method according to any one of claims 1 to 3, wherein the conductive layer includes a metal film or a conductive silicon-containing film. 제1항에 있어서, 상기 유기막을 형성하는 상기 제2 공정은, 상기 프리커서를 상기 절연막의 상기 오목부의 바닥부로부터 쌓아 올려지도록 상기 절연막의 상기 오목부에 퇴적시키는 반도체 제조 방법.The semiconductor manufacturing method according to claim 1, wherein, in the second step of forming the organic film, the precursor is deposited in the concave portion of the insulating film so as to be piled up from a bottom portion of the concave portion of the insulating film. 제1항에 있어서, 상기 프리커서를 상기 도전층의 표면 전체에 퇴적시켜, 상기 유기막을 형성하는 반도체 제조 방법.The semiconductor manufacturing method according to claim 1, wherein the organic film is formed by depositing the precursor on the entire surface of the conductive layer. 제6항에 있어서, 상기 저증기압 재료의 가스는 상기 세정 장치로 제거 가능한 성분으로 구성되어 있는 반도체 제조 방법.7. The semiconductor manufacturing method according to claim 6, wherein the gas of the low vapor pressure material is composed of a component that can be removed by the cleaning device. 제13항에 있어서, 상기 저증기압 재료의 가스는 탄소 함유 가스인 반도체 제조 방법.14. The method of claim 13, wherein the gas of the low vapor pressure material is a carbon-containing gas. 피처리체를 배치하는 스테이지와, 가스를 공급하는 가스 공급부와, 제어부를 포함하는 플라즈마 처리 장치로서,
상기 제어부는,
피처리체의 도전층 상의 절연막을 마스크의 패턴으로 에칭하고, 형성한 상기 절연막의 오목부에 상기 도전층을 노출시키고,
상기 도전층이 노출된 절연막의 오목부에 유기막을 형성하고,
상기 유기막의 형성에서는,
챔버의 내부를 미리 결정된 압력으로 유지하고, 스테이지를 -20℃ 이하로 냉각시키고, 상기 스테이지 상에 피처리체를 설치하고,
상기 챔버의 내부에 저증기압 재료의 가스를 포함하는 가스를 공급하고,
공급된 상기 저증기압 재료의 가스를 포함하는 가스로부터 플라즈마를 생성하고, 상기 플라즈마에 의해 상기 저증기압 재료로부터 생성되는 프리커서를 상기 도전층 상에 퇴적시켜, 상기 유기막을 형성하는 것을 제어하는 것인 플라즈마 처리 장치.
A plasma processing apparatus including a stage for disposing an object to be processed, a gas supply unit for supplying gas, and a control unit, comprising:
The control unit,
etching the insulating film on the conductive layer of the object to be processed with a pattern of a mask, and exposing the conductive layer in a concave portion of the formed insulating film;
An organic film is formed in the concave portion of the insulating film where the conductive layer is exposed;
In the formation of the organic film,
Maintaining the inside of the chamber at a predetermined pressure, cooling the stage to -20° C. or lower, and placing an object to be processed on the stage;
Supplying a gas containing a gas of a low vapor pressure material to the inside of the chamber;
Controlling the formation of the organic film by generating plasma from a gas containing the gas of the supplied low vapor pressure material and depositing a precursor generated from the low vapor pressure material by the plasma on the conductive layer. plasma processing device.
KR1020180021733A 2017-02-28 2018-02-23 Semiconductor manufacturing method and plasma processing apparatus KR102526306B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2017036891 2017-02-28
JPJP-P-2017-036891 2017-02-28
JPJP-P-2017-201978 2017-10-18
JP2017201978A JP6742287B2 (en) 2017-02-28 2017-10-18 Semiconductor manufacturing method and plasma processing apparatus

Publications (2)

Publication Number Publication Date
KR20180099504A KR20180099504A (en) 2018-09-05
KR102526306B1 true KR102526306B1 (en) 2023-04-26

Family

ID=63526829

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180021733A KR102526306B1 (en) 2017-02-28 2018-02-23 Semiconductor manufacturing method and plasma processing apparatus

Country Status (3)

Country Link
JP (1) JP6742287B2 (en)
KR (1) KR102526306B1 (en)
TW (1) TWI761455B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7410065B2 (en) 2020-03-19 2024-01-09 信越化学工業株式会社 Bioelectrode, bioelectrode manufacturing method, and biosignal measurement method
JP7096279B2 (en) * 2020-03-25 2022-07-05 株式会社Kokusai Electric Semiconductor device manufacturing methods, board processing devices, programs, and board processing methods
JP2022075544A (en) 2020-11-05 2022-05-18 信越化学工業株式会社 Bioelectrode composition, bioelectrode, and manufacturing method of bioelectrode
JP2022164579A (en) 2021-04-16 2022-10-27 信越化学工業株式会社 Bio-electrode composition, bio-electrode and manufacturing method of bio-electrode

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100215460A1 (en) * 2007-11-09 2010-08-26 Canon Anelva Corporation Inline-type wafer conveyance device
JP2011151057A (en) 2010-01-19 2011-08-04 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270586A (en) * 2001-03-08 2002-09-20 Tokyo Electron Ltd Etching method of organic based insulating film and dual damascene process
KR20050055074A (en) * 2003-10-07 2005-06-13 삼성전자주식회사 Method of manufacturing shallow trench isolation with hf vapor etching process
US7803719B2 (en) * 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
JP5490753B2 (en) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 Trench filling method and film forming system
JP5937632B2 (en) 2014-02-06 2016-06-22 東京エレクトロン株式会社 Substrate processing method, pre-processing apparatus, post-processing apparatus, substrate processing system, and storage medium
JP6140576B2 (en) 2013-08-27 2017-05-31 東京エレクトロン株式会社 Substrate processing method, substrate processing system, and storage medium
JP6022490B2 (en) 2013-08-27 2016-11-09 東京エレクトロン株式会社 Substrate processing method, substrate processing system, and storage medium
TWI739285B (en) * 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
JP6425517B2 (en) 2014-11-28 2018-11-21 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus and storage medium

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100215460A1 (en) * 2007-11-09 2010-08-26 Canon Anelva Corporation Inline-type wafer conveyance device
JP2011151057A (en) 2010-01-19 2011-08-04 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
TW201837982A (en) 2018-10-16
JP2018142691A (en) 2018-09-13
KR20180099504A (en) 2018-09-05
JP6742287B2 (en) 2020-08-19
TWI761455B (en) 2022-04-21

Similar Documents

Publication Publication Date Title
KR102526306B1 (en) Semiconductor manufacturing method and plasma processing apparatus
KR101926478B1 (en) Etching method
US9184055B2 (en) Processing systems and methods for halide scavenging
KR102363052B1 (en) Method for processing object to be processed
KR102283188B1 (en) Cleaning method for plasma processing apparatus
TWI416622B (en) Etching method and memory media
TW202117931A (en) Gap fill deposition process
KR102454586B1 (en) Film forming method and plasma processing apparatus
US20230377958A1 (en) Cluster processing system for forming a metal containing material
TW201445627A (en) Method of etching metal layer
JP2014183184A (en) Method for etching film containing cobalt and palladium
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US8870164B2 (en) Substrate processing method and storage medium
WO2016130787A1 (en) Interconnect structures and methods of formation
TWI791106B (en) Processing system and processing method
CN108511389B (en) Semiconductor manufacturing method and plasma processing apparatus
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
KR20230124008A (en) Substrate processing method and substrate processing apparatus
WO2022005948A1 (en) Impurity removal in doped ald tantalum nitride
US11328934B2 (en) Etching method and substrate processing apparatus
JP2023516588A (en) Multilayer hard mask for defect reduction in EUV patterning
JP2022161940A (en) Etching method and plasma processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant