KR102505216B1 - High-density low-temperature carbon films for hardmask and other patterning applications - Google Patents

High-density low-temperature carbon films for hardmask and other patterning applications Download PDF

Info

Publication number
KR102505216B1
KR102505216B1 KR1020197037346A KR20197037346A KR102505216B1 KR 102505216 B1 KR102505216 B1 KR 102505216B1 KR 1020197037346 A KR1020197037346 A KR 1020197037346A KR 20197037346 A KR20197037346 A KR 20197037346A KR 102505216 B1 KR102505216 B1 KR 102505216B1
Authority
KR
South Korea
Prior art keywords
substrate
watts
mhz
bias
electrostatic chuck
Prior art date
Application number
KR1020197037346A
Other languages
Korean (ko)
Other versions
KR20200006129A (en
Inventor
에스와라난드 벤카타수브라마니안
사무엘 이. 고트하임
양 양
프라밋 만나
카르틱 라마스와미
다케히토 코시자와
아비지트 바수 말릭
스리니바스 간디코타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217033975A priority Critical patent/KR102604084B1/en
Publication of KR20200006129A publication Critical patent/KR20200006129A/en
Application granted granted Critical
Publication of KR102505216B1 publication Critical patent/KR102505216B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 보다 구체적으로, 본원에 설명된 구현예들은 패터닝 응용들을 위한 고밀도 막들의 증착을 위한 기술들을 제공한다. 일 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계를 포함한다. 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 그 방법은 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 1.8 g/cc 초과의 밀도 및 -500 MPa 미만의 응력을 갖는다.Implementations of the present disclosure generally relate to the manufacture of integrated circuits. More specifically, implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is held at a pressure of about 0.5 mTorr to about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias to an electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamondoid carbon film has a density greater than 1.8 g/cc and a stress less than -500 MPa.

Description

하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들High-density low-temperature carbon films for hardmask and other patterning applications

[0001] 본 개시내용의 구현예들은 일반적으로 집적 회로들(integrated circuits)의 제조에 관한 것이다. 보다 구체적으로, 본원에 설명된 구현예들은 패터닝 응용들(patterning applications)을 위한 고밀도 막들의 증착을 위한 기술들을 제공한다.[0001] Implementations of the present disclosure relate generally to the manufacture of integrated circuits. More specifically, implementations described herein provide techniques for deposition of high-density films for patterning applications.

[0002] 집적 회로들은 단일 칩(single chip) 상에 수백만 개의 트랜지스터들(transistors), 커패시터들(capacitors) 및 저항기들(resistors)을 포함할 수 있는 복잡한 디바이스들로 발전했다. 칩 설계들의 발전은 보다 빠른 회로 및 보다 큰 회로 밀도를 계속해서 요구하고 있다. 보다 큰 회로 밀도들을 갖는 보다 빠른 회로에 대한 요구들은 그러한 집적 회로들을 제조하는 데 사용되는 재료들에 대한 대응하는 요구들을 부과한다. 특히, 집적 회로 구성요소들의 치수들이 미크론 미만의 스케일(sub-micron scale)로 감소함에 따라, 그러한 구성요소들로부터 적합한 전기적 성능을 얻기 위해, 이제 낮은 저항률의 전도성 재료들뿐만 아니라, 낮은 유전 상수의 절연 재료들을 사용하는 것이 필요하다.[0002] Integrated circuits have evolved into complex devices that may include millions of transistors, capacitors, and resistors on a single chip. Advances in chip designs continue to require faster circuitry and greater circuit density. Demands for faster circuitry with greater circuit densities place corresponding demands on the materials used to fabricate such integrated circuits. In particular, as the dimensions of integrated circuit components decrease on the sub-micron scale, it is now possible to use low resistivity conductive materials as well as low dielectric constant materials to obtain suitable electrical performance from such components. It is necessary to use insulating materials.

[0003] 보다 큰 집적 회로 밀도들에 대한 요구들은 또한 집적 회로 구성요소들의 제조에 사용되는 프로세스 시퀀스들(process sequences)에 대한 요구들을 부과한다. 예를 들어, 종래의 포토리소그래피 기술들(photolithographic techniques)을 사용하는 프로세스 시퀀스들에서, 기판 상에 배치된 재료 층들의 스택(stack) 위에 에너지 민감성 레지스트(energy sensitive resist) 층이 형성된다. 에너지 민감성 레지스트 층은 패턴의 이미지에 노출되어 포토레지스트 마스크(photoresist mask)를 형성한다. 그 후에, 마스크 패턴(mask pattern)은 에칭 프로세스(etch process)를 사용하여 스택의 재료 층들 중 하나 이상으로 전사된다. 에칭 프로세스에 사용되는 화학적 에천트(chemical etchant)는 에너지 민감성 레지스트의 마스크보다 스택의 재료 층들에 대해 더 큰 에칭 선택비(etch selectivity)를 갖도록 선택된다. 즉, 화학적 에천트는 에너지 민감성 레지스트보다 훨씬 더 빠른 속도로 재료 스택의 하나 이상의 층들을 에칭한다. 레지스트와 비교되는(over), 스택의 하나 이상의 재료 층들에 대한 에칭 선택비는 패턴 전사의 완료 이전에 에너지 민감성 레지스트가 소비되는 것을 방지한다.[0003] Demands for greater integrated circuit densities also place demands on the process sequences used in the fabrication of integrated circuit components. For example, in process sequences using conventional photolithographic techniques, an energy sensitive resist layer is formed over a stack of material layers disposed on a substrate. A layer of energy sensitive resist is exposed to an image of the pattern to form a photoresist mask. A mask pattern is then transferred to one or more of the material layers of the stack using an etch process. The chemical etchant used in the etch process is selected to have a greater etch selectivity to the material layers of the stack than the mask of energy sensitive resist. That is, the chemical etchant etches one or more layers of the material stack at a much faster rate than the energy sensitive resist. The etch selectivity for one or more material layers of the stack, over the resist, prevents the energy sensitive resist from being consumed prior to completion of pattern transfer.

[0004] 패턴 치수들이 감소됨에 따라, 에너지 민감성 레지스트의 두께는 패턴 해상도를 제어하기 위해 대응적으로 감소된다. 그러한 얇은 레지스트 층들은 화학적 에천트에 의한 공격으로 인해 패턴 전사 단계 동안에 하부 재료 층들(underlying material layers)을 마스킹하기에 불충분할 수 있다. 하드마스크(hardmask)로 불리는 중간 층(예를 들어, 실리콘 산질화물, 실리콘 탄화물 또는 탄소 막)은, 화학적 에천트에 대한 보다 큰 저항으로 인해, 패턴 전사를 용이하게 하기 위해 에너지 민감성 레지스트 층과 하부 재료 층들 사이에 종종 사용된다. 높은 에칭 선택비 및 높은 증착 속도들 둘 모두를 갖는 하드마스크 재료들이 바람직하다. 임계 치수들(critical dimensions; CD)이 감소함에 따라, 현재의 하드마스크 재료들은 하부 재료들(예를 들어, 산화물들 및 질화물들)에 대한 원하는 에칭 선택비가 부족하고, 종종 증착하기 어렵다.[0004] As the pattern dimensions are reduced, the thickness of the energy sensitive resist is correspondingly reduced to control the pattern resolution. Such thin resist layers may be insufficient to mask the underlying material layers during the pattern transfer step due to attack by the chemical etchant. An intermediate layer (e.g., silicon oxynitride, silicon carbide, or carbon film), called a hardmask, due to its greater resistance to chemical etchants, forms an energy-sensitive resist layer and an underlying layer to facilitate pattern transfer. It is often used between layers of material. Hardmask materials that have both high etch selectivity and high deposition rates are desirable. As critical dimensions (CD) decrease, current hardmask materials lack the desired etch selectivity to underlying materials (eg, oxides and nitrides) and are often difficult to deposit.

[0005] 따라서, 당업계에서는 개선된 하드마스크 층들 및 개선된 하드마스크 층들을 증착하기 위한 방법들에 대한 필요성이 존재한다.[0005] Accordingly, there is a need in the art for improved hardmask layers and methods for depositing the improved hardmask layers.

[0006] 본 개시내용의 구현예들은 일반적으로 집적 회로들의 제조에 관한 것이다. 보다 구체적으로, 본원에 설명된 구현예들은 패터닝 응용들을 위한 고밀도 막들의 증착을 위한 기술들을 제공한다. 일 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척(electrostatic chuck) 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부(processing volume) 내로 탄화수소-함유 가스 혼합물(hydrocarbon-containing gas mixture)을 유동시키는 단계를 포함한다. 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 그 방법은 기판 상에 다이아몬드형 탄소 막(diamond-like carbon film)을 증착하기 위해 제1 RF 바이어스(bias)를 정전 척에 인가함으로써 기판 레벨(substrate level)에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 1.8 g/cc 초과의 밀도 및 -500 MPa 미만의 응력을 갖는다.[0006] Implementations of the present disclosure relate generally to the manufacture of integrated circuits. More specifically, implementations described herein provide techniques for deposition of high-density films for patterning applications. In one implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is held at a pressure of about 0.5 mTorr to about 10 Torr. The method further includes generating a plasma at substrate level by applying a first RF bias to an electrostatic chuck to deposit a diamond-like carbon film on the substrate. . The diamondoid carbon film has a density greater than 1.8 g/cc and a stress less than -500 MPa.

[0007] 다른 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계를 포함한다. 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지되고, 탄화수소-함유 가스 혼합물은 아세틸렌(C2H2)을 포함한다. 그 방법은 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스 및 제2 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는다.[0007] In another implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure of about 0.5 mTorr to about 10 Torr, and the hydrocarbon-containing gas mixture includes acetylene (C 2 H 2 ). The method further includes generating a plasma at the substrate level by applying a first RF bias and a second RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamondoid carbon film has a density of about 1.8 g/cc to about 2.5 g/cc and a stress of about -600 MPa to about -300 MPa.

[0008] 또 다른 구현예에서, 기판을 프로세싱하는 방법이 제공된다. 그 방법은 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계를 포함한다. 프로세싱 용적부는 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지된다. 그 방법은 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스 및 제2 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 더 포함한다. 다이아몬드형 탄소 막은 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도 및 약 -1000 MPa 내지 약 -100 MPa, 예를 들어 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는다. 그 방법은 다이아몬드형 탄소 막 위에 패터닝된 포토레지스트 층(patterned photoresist layer)을 형성하는 단계를 더 포함한다. 그 방법은 패터닝된 포토레지스트 층과 대응하는 패턴으로 다이아몬드형 탄소 막을 에칭하는 단계를 더 포함한다. 그 방법은 기판 내로 패턴을 에칭하는 단계를 더 포함한다. 그 방법은 다이아몬드형 탄소 막의 에칭된 부분들 내로 재료를 증착하는 단계를 더 포함한다.[0008] In another implementation, a method of processing a substrate is provided. The method includes flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck. The processing volume is maintained at a pressure of about 0.5 mTorr to about 10 Torr. The method further includes generating a plasma at the substrate level by applying a first RF bias and a second RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate. The diamondoid carbon film has a density of about 1.8 g/cc to about 2.5 g/cc and a stress of about -1000 MPa to about -100 MPa, such as about -600 MPa to about -300 MPa. The method further includes forming a patterned photoresist layer over the diamond-like carbon film. The method further includes etching the diamond-like carbon film in a pattern corresponding to the patterned photoresist layer. The method further includes etching the pattern into the substrate. The method further includes depositing a material into the etched portions of the diamond-like carbon film.

[0009] 또 다른 구현예에서, EUV 리소그래피 프로세스를 위한 하부 층(underlayer)으로서 사용하기 위한 막이 제공된다. 막은 막 내의 탄소 원자들의 총량을 기준으로 40% 내지 90%의 sp3 혼성화 탄소 원자(hybridized carbon atom) 함량; 1.8 g/cc 내지 2.5 g/cc의 밀도; 및 150 GPa 내지 400 GPa의 탄성 모듈러스(elastic modulus)를 갖는다. 일부 구현예들에서, 막은 2.0 g/cc 내지 2.5 g/cc의 밀도 및 180 GPa 내지 200 GPa의 탄성 모듈러스를 갖는다. 일부 구현예들에서, 막은 -600 MPa의 응력; 2.0 내지 3.0인 굴절률(refractive index); 및 0.2 내지 0.3의 흡광 계수(extinction coefficient)를 갖는다.[0009] In another implementation, a film for use as an underlayer for an EUV lithography process is provided. The film has an sp 3 hybridized carbon atom content of 40% to 90% based on the total amount of carbon atoms in the film; a density of 1.8 g/cc to 2.5 g/cc; and an elastic modulus of 150 GPa to 400 GPa. In some embodiments, the membrane has a density of 2.0 g/cc to 2.5 g/cc and an elastic modulus of 180 GPa to 200 GPa. In some embodiments, the membrane has a stress of -600 MPa; a refractive index between 2.0 and 3.0; and an extinction coefficient of 0.2 to 0.3.

[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 구현예들의 보다 구체적인 설명이 구현예들을 참조로 하여 이루어질 수 있는데, 이러한 구현예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 구현예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 본원에 설명된 구현예들의 실시에 사용될 수 있는 증착 시스템의 개략적인 단면도를 도시하고;
[0012] 도 1b는 본원에 설명된 구현예들의 실시에 사용될 수 있는 다른 증착 시스템의 개략적인 단면도를 도시하고;
[0013] 도 2는 본원에 설명된 구현예들의 실시를 위한 도 1a 및 도 1b의 장치에서 사용될 수 있는 정전 척의 개략적인 단면도를 도시하고;
[0014] 도 3은 본 개시내용의 하나 이상의 구현예들에 따라 기판 상에 배치된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 방법의 흐름도를 도시하고;
[0015] 도 4a 및 도 4b는 본 개시내용의 하나 이상의 구현예들에 따라 기판 상에 형성된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 시퀀스의 일 구현예를 도시하고;
[0016] 도 5는 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 밀도를 나타내는 그래프이고;
[0017] 도 6은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 응력을 나타내는 그래프이며;
[0018] 도 7은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 압력의 함수로써 밀도 및 응력을 나타내는 그래프이다.
[0019] 이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 요소들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 구현예의 요소들 및 특징들이 추가의 언급없이 다른 구현예들에 유익하게 통합될 수 있음이 고려된다.
[0010] In such a way that the above-listed features of the present disclosure may be understood in detail, a more detailed description of the foregoing briefly summarized implementations may be made with reference to implementations, some of which are illustrated in the accompanying drawings exemplified in the However, it should be noted that the accompanying drawings depict only typical implementations of the present disclosure and are therefore not to be regarded as limiting the scope of the present disclosure, as the present disclosure will allow other equally effective implementations. because it can
[0011] FIG. 1A shows a schematic cross-sectional view of a deposition system that can be used in the practice of implementations described herein;
[0012] FIG. 1B shows a schematic cross-sectional view of another deposition system that can be used in the practice of implementations described herein;
[0013] FIG. 2 shows a schematic cross-sectional view of an electrostatic chuck that can be used in the apparatus of FIGS. 1A and 1B for practicing implementations described herein;
[0014] Figure 3 shows a flow diagram of a method for forming a diamond-like carbon layer on a film stack disposed on a substrate in accordance with one or more implementations of the present disclosure;
[0015] Figures 4A and 4B show one implementation of a sequence for forming a diamond-like carbon layer on a film stack formed on a substrate in accordance with one or more implementations of the present disclosure;
5 is a graph showing density as a function of bias power for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure;
[0017] Figure 6 is a graph showing stress as a function of bias power for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure;
7 is a graph showing density and stress as a function of pressure for a diamond-like carbon layer formed in accordance with one or more embodiments of the present disclosure.
[0019] For ease of understanding, the same reference numbers have been used where possible to indicate like elements that are common to the drawings. It is contemplated that elements and features of one implementation may be advantageously incorporated into other implementations without further recitation.

[0020] 하기의 개시내용은 기판 상에 다이아몬드형 탄소 막들을 증착하기 위한 기술들을 설명한다. 특정 세부사항들은 본 개시내용의 다양한 구현예들에 대한 철저한 이해를 제공하기 위해 하기의 설명 및 도 1 내지 도 7에 기술되어 있다. 플라즈마 프로세싱 및 다이아몬드형 탄소 막 증착과 종종 연관된 잘 알려진 구조체들 및 시스템들을 설명하는 다른 세부사항들은 다양한 구현예들의 설명을 불필요하게 모호하게 하는 것을 회피하기 위해 하기의 개시내용에는 기술되지 않는다.[0020] The following disclosure describes techniques for depositing diamond-like carbon films on a substrate. Specific details are set forth in the description below and in FIGS. 1-7 to provide a thorough understanding of various implementations of the present disclosure. Other details describing well-known structures and systems often associated with plasma processing and diamond-like carbon film deposition are not set forth in the following disclosure to avoid unnecessarily obscuring the description of various implementations.

[0021] 도면들에 도시된 많은 세부사항들, 치수들, 각도들 및 다른 특징들은 특정 구현예들을 예시하는 것일 뿐이다. 따라서, 다른 구현예들은 본 개시내용의 사상 또는 범위로부터 벗어남이 없이 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 또한, 본 개시내용의 추가 구현예들은 후술되는 몇몇의 세부사항들 없이도 실시될 수 있다.[0021] The many details, dimensions, angles and other features shown in the drawings merely illustrate particular implementations. Accordingly, other implementations may have other details, components, dimensions, angles and features without departing from the spirit or scope of the present disclosure. Moreover, further implementations of the present disclosure may be practiced without some of the details set forth below.

[0022] 본원에 설명된 구현예들은 임의의 적합한 박막 증착 시스템을 사용하여 수행될 수 있는 PECVD 프로세스를 참조하여 하기에서 설명될 것이다. 적합한 시스템들의 예들은 DXZ® 프로세싱 챔버를 사용할 수 있는 CENTURA® 시스템들, PRECISION 5000® 시스템들, PRODUCER® 시스템들, PRODUCER® GT™ 시스템들, PRODUCER® XP Precision™ 시스템들, PRODUCER® SE™ 시스템들, Sym3® 프로세싱 챔버, 및 Mesa™ 프로세싱 챔버를 포함하며, 이들 모두는 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.로부터 상업적으로 입수 가능하다. PECVD 프로세스들을 수행할 수 있는 다른 툴들(tools)이 또한 본원에 설명된 구현예들로부터 이익을 얻도록 적합화될 수 있다. 또한, 본원에 설명된 PECVD 프로세스들을 가능하게 하는 임의의 시스템이 유리하게 사용될 수 있다. 본원에 설명된 장치 설명은 예시적인 것이며, 본원에 설명된 구현예들의 범위를 제한하는 것으로 이해되거나 해석되어서는 안 된다.[0022] Implementations described herein will be described below with reference to a PECVD process that can be performed using any suitable thin film deposition system. Examples of suitable systems are CENTURA® systems capable of using a DXZ® processing chamber, PRECISION 5000® systems, PRODUCER® systems, PRODUCER® GT™ systems, PRODUCER® XP Precision™ systems, PRODUCER® SE™ systems , the Sym3® processing chamber, and the Mesa™ processing chamber, all commercially available from Applied Materials, Inc. of Santa Clara, CA. Other tools capable of performing PECVD processes may also be adapted to benefit from the implementations described herein. Additionally, any system that enables the PECVD processes described herein may be advantageously used. The device descriptions set forth herein are illustrative and should not be understood or construed as limiting the scope of the implementations described herein.

[0023] 메모리 및 다른 디바이스들을 위한 현재의 하드마스크 응용들은 대체로, 본질적으로 비정질인 두꺼운 탄소 막들(예를 들어, 300 나노미터 내지 1.5 미크론)을 사용하지만, 그들의 에칭 선택비는 점점 더 엄격해지는 요구사항들 및 향후의 노드들(upcoming nodes)의 고-종횡비 에칭(high-aspect ratio etch)을 충족시키기에는 더 이상 충분하지 않다. 보다 큰 에칭 선택비를 달성하기 위해, 막의 밀도 및 영률(Young's modulus)이 개선될 필요가 있다. 보다 높은 에칭 선택비 및 개선된 영률을 달성함에 있어서 주요 과제들 중 하나는, 결과적인 높은 웨이퍼 보우(wafer bow) 때문에 응용들에 적합하지 않게 하는 그러한 막의 높은 압축 응력이다. 따라서, 낮은 응력(예를 들어, < -500 MPa)과 함께 높은 에칭 선택비를 갖는 고밀도 및 모듈러스(예를 들어, 보다 높은 sp3 함량, 보다 더 다이아몬드형)를 가지는 탄소(다이아몬드형) 막들에 대한 필요성이 존재한다.[0023] Current hardmask applications for memory and other devices generally use thick carbon films (e.g., 300 nanometers to 1.5 microns) that are essentially amorphous, but their etch selectivity demands increasingly stringent demands. is no longer sufficient to meet the high-aspect ratio etch requirements and the high-aspect ratio etch of upcoming nodes. To achieve a higher etching selectivity, the density and Young's modulus of the film need to be improved. One of the major challenges in achieving higher etch selectivity and improved Young's modulus is the high compressive stress of such films, making them unsuitable for applications because of the resulting high wafer bow. Thus, for carbon (diamond-like) films with high density and modulus (eg higher sp 3 content, more diamondoid) with high etch selectivity with low stress (eg <-500 MPa) there is a need for

[0024] 본원에 설명된 구현예들은 고밀도(예를 들어, > 1.8 g/cc), 높은 모듈러스(예를 들어, > 150 GPa) 및 낮은 응력(예를 들어, < -500 MPa)을 갖는 탄소 막들을 제조하는 개선된 방법들을 포함한다. 본원에 설명된 구현예들에 따라 제조된 탄소 막들은 본질적으로 비정질이며, 현재의 패터닝 막들보다 낮은 응력(< -500 MPa)과 함께 훨씬 더 큰 모듈러스(예를 들어, > 150 GPa)를 갖는 보다 높은 에칭 선택비를 갖는다. 본원에 설명된 구현예들에 따라 제조된 탄소 막들은 낮은 응력을 가질 뿐만 아니라, 높은 sp3 탄소 함량을 갖는다. 일반적으로, 본원에 설명된 증착 프로세스는 또한 하드마스크 응용들을 위한 현재 통합 방식들과 완전히 호환된다.[0024] Embodiments described herein are carbon with high density (eg, > 1.8 g/cc), high modulus (eg, > 150 GPa) and low stress (eg, < -500 MPa). It includes improved methods of making membranes. Carbon films prepared according to the embodiments described herein are essentially amorphous and have much higher modulus (eg, >150 GPa) with lower stress (< -500 MPa) than current patterning films. It has a high etch selectivity. Carbon films prepared according to embodiments described herein have low stress as well as high sp 3 carbon content. In general, the deposition process described herein is also fully compatible with current integration schemes for hardmask applications.

[0025] 일부 구현예들에서, 본원에 설명된 다이아몬드형 탄소 막들은 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 비시클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나딘), 아다만틴(C10H16), 노르보르넨(C7H10), 또는 이들의 조합들과 같은(그러나 이에 제한되지는 않음) 전구체들을 포함하는 탄화수소-함유 가스 혼합물을 사용하여 화학적 기상 증착(플라즈마 강화 및/또는 열) 프로세스들에 의해 형성될 수 있다. 증착 프로세스는 -50 ℃ 내지 600 ℃의 범위인 온도에서 수행될 수 있다. 증착 프로세스는 프로세싱 용적부에서 0.1 mTorr 내지 10 Torr의 범위인 압력에서 수행될 수 있다. 탄화수소-함유 가스 혼합물은 He, Ar, Xe, N2, H2 중 어느 하나 또는 이들의 임의의 조합을 더 포함할 수 있다. 탄화수소-함유 가스 혼합물은 막 품질을 향상시키기 위해 Cl2, CF4 및/또는 NF3과 같은 에천트 가스들을 더 포함할 수 있다. 플라즈마(예를 들어, 용량성 결합 플라즈마)는 상부 및 하부 전극들 또는 측면 전극들로부터 형성될 수 있다. 전극들은 단일 전력 전극, 이중 전력 전극들, 또는 그 초과의 전극들로 형성될 수 있으며, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 및 100 MHz와 같은(그러나 이에 제한되지는 않음) 다중 주파수들이 하드마스크 및/또는 에칭 스톱(etch stop) 또는 평활한 탄소 막들을 필요로 하는 임의의 다른 응용으로서 사용하기 위한 다이아몬드형 탄소의 박막을 증착시키기 위해 본원에 열거된 임의의 또는 모든 반응물 가스들(reactant gases)과 함께 CVD 시스템에서 대안적으로 또는 동시에 사용된다. 다이아몬드형 탄소 막의 높은 에칭 선택비는 현 세대의 막들보다 높은 밀도 및 모듈러스를 가짐으로써 달성된다. 이론에 얽매이지 않지만, 보다 높은 밀도 및 모듈러스는 막 내의 높은 함량의 sp3 혼성화 탄소 원자들의 결과이며, 이는 결국 저압 및 플라즈마 전력의 조합에 의해 달성될 수 있는 것으로 여겨진다.[0025] In some embodiments, the diamondoid carbon films described herein are C 2 H 2 , C 3 H 6 , CH 4 , C 4 H 8 , 1,3-dimethyladamantane, bicyclo[2.2. such as (but not limited to) 1]hepta-2,5-diene (2,5-norbornadine), adamantine (C 10 H 16 ), norbornene (C 7 H 10 ), or combinations thereof may be formed by chemical vapor deposition (plasma enhanced and/or thermal) processes using a hydrocarbon-containing gas mixture containing precursors. The deposition process may be performed at a temperature ranging from -50 °C to 600 °C. The deposition process may be performed at a pressure ranging from 0.1 mTorr to 10 Torr in the processing volume. The hydrocarbon-containing gas mixture may further include any one or any combination of He, Ar, Xe, N 2 , H 2 . The hydrocarbon-containing gas mixture may further include etchant gases such as Cl 2 , CF 4 and/or NF 3 to improve film quality. A plasma (eg, capacitively coupled plasma) may be formed from the top and bottom electrodes or side electrodes. The electrodes may be formed of single power electrodes, dual power electrodes, or more electrodes, such as (but not limited to) 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, and 100 MHz. not) any or all of the listed herein for depositing thin films of diamond-like carbon for use as multiple frequencies hardmasks and/or etch stops or any other application requiring smooth carbon films. Used alternatively or simultaneously in a CVD system with all of the reactant gases. The high etch selectivity of diamondoid carbon films is achieved by having a higher density and modulus than current generation films. Without being bound by theory, it is believed that the higher density and modulus are a result of the high content of sp 3 hybridized carbon atoms in the film, which in turn can be achieved by a combination of low pressure and plasma power.

[0026] 일부 구현예들에서, 수소 라디칼(hydrogen radical)은 RPS를 통해 공급되며, 이는 sp2 혼성화 탄소 원자들의 선택적 에칭을 초래하고, 그에 따라 막의 sp3 혼성화 탄소 원자 분율을 더욱 증가시키며, 그에 따라 에칭 선택비를 더욱 증가시킨다.[0026] In some embodiments, hydrogen radicals are supplied through the RPS, which results in selective etching of the sp 2 hybridized carbon atoms, thereby further increasing the sp 3 hybridized carbon atom fraction of the film, thereby As a result, the etching selectivity is further increased.

[0027] 일부 구현예들에서, 다이아몬드형 탄소 막은 10 ℃로 유지되는 기판 페디스털(substrate pedestal)을 갖는 챔버에서 증착되었고, 압력은 2 mTorr로 유지되었고, 플라즈마는 정전 척에 2500 와트(13.56 MHz)의 바이어스를 인가함으로써 웨이퍼 레벨에서 생성되었다. 일부 구현예들에서, 2 MHz에서 1000 와트의 추가 RF가 또한 정전 척으로 전달되고, 그에 따라 웨이퍼 레벨에서 이중-바이어스 플라즈마가 생성되었다.[0027] In some implementations, a diamond-like carbon film was deposited in a chamber with a substrate pedestal maintained at 10 °C, a pressure maintained at 2 mTorr, and a plasma applied to an electrostatic chuck at 2500 Watts (13.56 MHz) was created at the wafer level. In some implementations, 1000 Watts of additional RF at 2 MHz was also delivered to the electrostatic chuck, thereby creating a double-biased plasma at the wafer level.

[0028] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 본원에 설명된 임의의 막일 수 있다.[0028] In some implementations, a film for use as an underlying layer for an EUV lithography process can be any film described herein.

[0029] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 막 내의 탄소 원자들의 총량을 기준으로 40% 내지 90%의 sp3 혼성화 탄소 원자 함량; 1.8 g/cc 내지 2.5 g/cc의 밀도; 및 150 GPa 내지 400 GPa의 탄성 모듈러스를 갖는다.[0029] In some implementations, a film for use as an underlying layer for an EUV lithography process has an sp 3 hybridized carbon atom content of 40% to 90% based on the total amount of carbon atoms in the film; a density of 1.8 g/cc to 2.5 g/cc; and an elastic modulus of 150 GPa to 400 GPa.

[0030] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 2.0 g/cc 내지 2.2 g/cc의 밀도; 및 약 180 GPa 내지 약 200 GPa인 탄성 모듈러스를 갖는다. 일부 구현예들에서, 막은 약 2.1 g/cc의 밀도 및 약 195 GPa의 탄성 모듈러스를 갖는다.[0030] In some implementations, a film for use as an underlying layer for an EUV lithography process has a density of 2.0 g/cc to 2.2 g/cc; and an elastic modulus that is between about 180 GPa and about 200 GPa. In some embodiments, the membrane has a density of about 2.1 g/cc and an elastic modulus of about 195 GPa.

[0031] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 -600 MPa의 응력; 2.0 내지 3.0인 굴절률; 및 0.2 내지 0.3의 흡광 계수를 갖는다.[0031] In some implementations, a film for use as an underlying layer for an EUV lithography process has a stress of -600 MPa; a refractive index between 2.0 and 3.0; and an extinction coefficient of 0.2 to 0.3.

[0032] 도 1a는 본원에 설명된 구현예들에 따라 다이아몬드형 탄소 층 증착을 수행하는 데 사용될 수 있는 기판 프로세싱 시스템(132)의 개략도를 도시하고 있다. 기판 프로세싱 시스템(132)은 가스 패널(gas panel)(130) 및 제어기(110)에 결합된 프로세스 챔버(100)를 포함한다. 프로세스 챔버(100)는, 일반적으로 프로세싱 용적부(126)를 한정하는, 상부 벽(124), 측벽(101) 및 하부 벽(122)을 포함한다. 프로세스 챔버(100)의 프로세싱 용적부(126)에는 기판 지지 조립체(146)가 제공된다. 기판 지지 조립체(146)는 일반적으로 스템(stem)(160)에 의해 지지된 정전 척(150)을 포함한다. 정전 척(150)은 전형적으로 알루미늄, 세라믹 및 다른 적합한 재료들로 제조될 수 있다. 정전 척(150)은 변위 메커니즘(displacement mechanism)(도시되지 않음)을 사용하여 프로세스 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.[0032] FIG. 1A shows a schematic diagram of a substrate processing system 132 that can be used to perform diamond-like carbon layer deposition according to implementations described herein. The substrate processing system 132 includes a process chamber 100 coupled to a gas panel 130 and a controller 110 . The process chamber 100 includes an upper wall 124 , a side wall 101 , and a lower wall 122 , which generally define a processing volume 126 . The processing volume 126 of the process chamber 100 is provided with a substrate support assembly 146 . The substrate support assembly 146 generally includes an electrostatic chuck 150 supported by a stem 160 . The electrostatic chuck 150 may typically be made of aluminum, ceramic, and other suitable materials. The electrostatic chuck 150 may be vertically moved inside the process chamber 100 using a displacement mechanism (not shown).

[0033] 진공 펌프(102)가 프로세스 챔버(100)의 하부에 형성된 포트(port)에 결합된다. 진공 펌프(102)는 프로세스 챔버(100)에서 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(102)는 또한 프로세스 챔버(100)로부터 프로세스의 프로세싱-후 가스들 및 부산물들을 진공 배기시킨다.[0033] A vacuum pump 102 is coupled to a port formed at the bottom of the process chamber 100. A vacuum pump 102 is used to maintain a desired gas pressure in the process chamber 100 . The vacuum pump 102 also evacuates the post-processing gases and by-products of the process from the process chamber 100 .

[0034] 기판 프로세싱 시스템(132)은 챔버 압력을 제어하기 위한 추가 장비, 예를 들어 챔버 압력을 제어하기 위해 프로세스 챔버(100)와 진공 펌프(102) 사이에 포지셔닝된 밸브들(예를 들어, 스로틀 밸브들(throttle valves) 및 차단 밸브들)을 더 포함할 수 있다.[0034] The substrate processing system 132 may include additional equipment for controlling the chamber pressure, such as valves (eg, valves positioned between the process chamber 100 and the vacuum pump 102 to control the chamber pressure). throttle valves and shut-off valves) may further be included.

[0035] 정전 척(150) 위의 프로세스 챔버(100)의 상부 상에는 복수의 개구들(128)을 갖는 가스 분배 조립체(120)가 배치된다. 가스 분배 조립체(120)의 개구들(128)은 프로세스 가스들을 프로세스 챔버(100) 내로 도입하는 데 이용된다. 개구들(128)은 상이한 프로세스 요구사항들에 대한 다양한 프로세스 가스들의 유동을 용이하게 하기 위해 상이한 크기들, 수, 분포들, 형상, 설계 및 직경들을 가질 수 있다. 가스 분배 조립체(120)는, 다양한 가스들이 프로세싱 동안에 프로세싱 용적부(126)에 공급될 수 있게 하는 가스 패널(130)에 연결된다. 가스 분배 조립체(120)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성되어 프로세스 가스들의 열 분해를 향상시켜서 기판(190)의 표면(191) 상에 재료의 증착을 초래한다.[0035] A gas distribution assembly 120 having a plurality of openings 128 is disposed on top of the process chamber 100 above the electrostatic chuck 150. The openings 128 of the gas distribution assembly 120 are used to introduce process gases into the process chamber 100 . Apertures 128 may have different sizes, numbers, distributions, shapes, designs and diameters to facilitate the flow of various process gases for different process requirements. The gas distribution assembly 120 is connected to a gas panel 130 that allows various gases to be supplied to the processing volume 126 during processing. A plasma is formed from the process gas mixture exiting the gas distribution assembly 120 to enhance thermal decomposition of the process gases resulting in the deposition of material on the surface 191 of the substrate 190 .

[0036] 가스 분배 조립체(120) 및 정전 척(150)은 프로세싱 용적부(126)에 한 쌍의 이격된 전극들을 형성할 수 있다. 하나 이상의 RF 전원(140)은 선택적인 정합 네트워크(matching network)(138)를 통해 가스 분배 조립체(120)에 바이어스 전위를 제공하여 가스 분배 조립체(120)와 정전 척(150) 사이에서의 플라즈마 생성을 용이하게 한다. 대안적으로, RF 전원(140) 및 정합 네트워크(138)는 가스 분배 조립체(120) 또는 정전 척(150)에 결합되거나, 가스 분배 조립체(120) 및 정전 척(150) 둘 모두에 결합되거나, 프로세스 챔버(100)의 외부에 배치된 안테나(도시되지 않음)에 결합될 수 있다. 일부 구현예들에서, RF 전원(140)은 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz의 주파수에서 전력을 생성할 수 있다. 일 구현예에서, RF 전원(140)은 약 50 KHz 내지 약 13.6 MHz의 주파수에서 약 100 와트 내지 약 3,000 와트를 제공할 수 있다. 다른 구현예에서, RF 전원(140)은 약 50 KHz 내지 약 13.6 MHz의 주파수에서 약 500 와트 내지 약 1,800 와트를 제공할 수 있다.[0036] The gas distribution assembly 120 and the electrostatic chuck 150 may form a pair of spaced apart electrodes in the processing volume 126. One or more RF power sources 140 provide a bias potential to the gas distribution assembly 120 through an optional matching network 138 to create a plasma between the gas distribution assembly 120 and the electrostatic chuck 150. facilitates Alternatively, RF power source 140 and matching network 138 are coupled to gas distribution assembly 120 or electrostatic chuck 150, or to both gas distribution assembly 120 and electrostatic chuck 150, or It may be coupled to an antenna (not shown) disposed outside of the process chamber 100 . In some implementations, RF power supply 140 can generate power at a frequency of 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz. In one implementation, RF power supply 140 may provide from about 100 Watts to about 3,000 Watts at a frequency of about 50 KHz to about 13.6 MHz. In another implementation, RF power supply 140 may provide between about 500 Watts and about 1,800 Watts at a frequency between about 50 KHz and about 13.6 MHz.

[0037] 제어기(110)는 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데 이용되는 중앙 프로세싱 유닛(CPU)(112), 메모리(116) 및 지원 회로(114)를 포함한다. CPU(112)는 산업 세팅에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들(software routines)은 랜덤 액세스 메모리(random access memory), 판독 전용 메모리(read only memory), 플로피(floppy) 또는 하드디스크 드라이브(hard disk drive) 또는 다른 형태의 디지털 저장장치와 같은 메모리(116)에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 결합되고, 캐시(cache), 클록 회로들(clock circuits), 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(110)와 기판 프로세싱 시스템(132)의 다양한 구성요소들 사이의 양방향 통신들은 총괄하여 신호 버스들(signal buses)(118)로 지칭되는 다수의 신호 케이블들을 통해 핸들링(handling)되며, 이들 중 일부가 도 1a에 도시되어 있다.[0037] The controller 110 includes a central processing unit (CPU) 112, memory 116 and support circuitry 114 used to control the process sequence and regulate the gas flows from the gas panel 130. do. CPU 112 may be any type of general purpose computer processor that may be used in an industrial setting. Software routines may be stored in memory (such as random access memory, read only memory, floppy or hard disk drive, or other form of digital storage). 116) can be stored. Support circuitry 114 is typically coupled to CPU 112 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bi-directional communications between the controller 110 and the various components of the substrate processing system 132 are handled via a number of signal cables, collectively referred to as signal buses 118, of which A portion is shown in FIG. 1A.

[0038] 도 1b는 본원에 설명된 구현예들의 실시에 사용될 수 있는 다른 기판 프로세싱 시스템(180)의 개략적인 단면도를 도시하고 있다. 기판 프로세싱 시스템(180)은, 기판 프로세싱 시스템(180)이 프로세싱 가스들을 가스 패널(130)로부터 측벽(101)을 통해 기판(190)의 표면(191)을 가로질러 유동시키도록 구성된다는 점을 제외하고는, 도 1a의 기판 프로세싱 시스템(132)과 유사하다. 또한, 도 1a에 도시된 가스 분배 조립체(120)는 전극(182)으로 대체된다. 전극(182)은 이차 전자를 생성하도록 구성될 수 있다. 일 구현예에서, 전극(182)은 실리콘-함유 전극이다.[0038] FIG. 1B shows a schematic cross-sectional view of another substrate processing system 180 that can be used in the practice of implementations described herein. The substrate processing system 180 is configured to flow processing gases from the gas panel 130 through the sidewall 101 and across the surface 191 of the substrate 190 . and is similar to the substrate processing system 132 of FIG. 1A. Also, the gas distribution assembly 120 shown in FIG. 1A is replaced with an electrode 182. Electrodes 182 may be configured to generate secondary electrons. In one implementation, electrode 182 is a silicon-containing electrode.

[0039] 도 2는 본원에 설명된 구현예들의 실시에 사용될 수 있는, 도 1a 및 도 1b의 프로세싱 시스템들에 사용되는 기판 지지 조립체(146)의 개략적인 단면도를 도시하고 있다. 도 2를 참조하면, 정전 척(150)은 정전 척(150)의 상부 표면(192) 상에 지지된 기판(190)의 온도를 제어하기에 적합한 히터 요소(heater element)(170)를 포함할 수 있다. 히터 요소(170)는 정전 척(150) 내에 매립될 수 있다. 정전 척(150)은 히터 전원(heater power source)(106)으로부터 히터 요소(170)에 전류를 인가함으로써 저항 가열될 수 있다. 히터 전원(106)은 RF 필터(RF filter)(216)를 통해 결합될 수 있다. RF 필터(216)는 RF 에너지로부터 히터 전원(106)을 보호하는 데 사용될 수 있다. 히터 요소(170)는 니켈-철-크롬 합금(예를 들어, INCOLOY®) 시스 튜브(sheath tube)로 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 히터 전원(106)으로부터 공급된 전류는 히터 요소(170)에 의해 발생된 열을 제어하도록 제어기(110)에 의해 조절되고, 그에 따라 막 증착 동안에 기판(190) 및 정전 척(150)을 실질적으로 일정한 온도로 유지한다. 공급된 전류는 약 -50 ℃ 내지 약 600 ℃ 사이에서 정전 척(150)의 온도를 선택적으로 제어하도록 조정될 수 있다.[0039] FIG. 2 shows a schematic cross-sectional view of a substrate support assembly 146 used in the processing systems of FIGS. 1A and 1B, which may be used in the practice of implementations described herein. Referring to FIG. 2 , the electrostatic chuck 150 may include a heater element 170 suitable for controlling the temperature of a substrate 190 supported on an upper surface 192 of the electrostatic chuck 150 . can The heater element 170 may be embedded within the electrostatic chuck 150 . The electrostatic chuck 150 may be resistively heated by applying current to the heater element 170 from the heater power source 106 . The heater power source 106 may be coupled through an RF filter 216. An RF filter 216 may be used to protect the heater power source 106 from RF energy. Heater element 170 may be made of nickel-chromium wire encapsulated with a nickel-iron-chromium alloy (eg, INCOLOY® ) sheath tube. The current supplied from the heater power source 106 is regulated by the controller 110 to control the heat generated by the heater element 170, thereby substantially dissipating the substrate 190 and the electrostatic chuck 150 during film deposition. Keep at a constant temperature. The supplied current may be adjusted to selectively control the temperature of the electrostatic chuck 150 between about -50 °C and about 600 °C.

[0040] 도 1을 참조하면, 정전 척(150)의 온도를 통상적인 방식으로 모니터링하기 위해 열전쌍(thermocouple)과 같은 온도 센서(172)가 정전 척(150) 내에 매립될 수 있다. 측정된 온도는 기판을 원하는 온도로 유지하기 위해 히터 요소(170)에 공급되는 전력을 제어하도록 제어기(110)에 의해 사용된다.Referring to FIG. 1 , a temperature sensor 172 , such as a thermocouple, may be embedded within the electrostatic chuck 150 to monitor the temperature of the electrostatic chuck 150 in a conventional manner. The measured temperature is used by controller 110 to control power supplied to heater element 170 to maintain the substrate at a desired temperature.

[0041] 정전 척(150)은 전도성 재료의 메시(mesh)일 수 있는 척킹 전극(chucking electrode)(210)을 포함한다. 척킹 전극(210)은 정전 척(150) 내에 매립될 수 있다. 척킹 전극(210)은 척킹 전원(chucking power source)(212)에 결합되어, 에너자이징되는 경우, 기판(190)을 정전 척(150)의 상부 표면(192)에 정전기적으로 클램핑(clamp)한다.[0041] The electrostatic chuck 150 includes a chucking electrode 210, which may be a mesh of conductive material. The chucking electrode 210 may be embedded in the electrostatic chuck 150 . The chucking electrode 210 is coupled to a chucking power source 212 and, when energized, electrostatically clamps the substrate 190 to the upper surface 192 of the electrostatic chuck 150.

[0042] 척킹 전극(210)은 모노폴라(monopolar) 또는 바이폴라(bipolar) 전극으로 구성될 수 있거나, 다른 적합한 배열을 가질 수 있다. 척킹 전극(210)은 RF 필터(214)를 통해 척킹 전원(212)에 결합될 수 있고, 척킹 전원(212)은 정전 척(150)의 상부 표면(192)에 기판(190)을 정전기적으로 고정하기 위해 직류(DC) 전력을 제공한다. RF 필터(214)는 프로세스 챔버(100) 내에 플라즈마를 형성하는 데 이용되는 RF 전력이 전기 장비를 손상시키거나 챔버 외부에 전기적 위험을 야기하는 것을 방지한다. 정전 척(150)은 AlN 또는 Al2O3과 같은 세라믹 재료로 제조될 수 있다. 대안적으로, 정전 척(150)은 폴리이미드, 폴리에테르에테르케톤(PEEK), 폴리아릴에테르케톤(PAEK) 등과 같은 중합체로 제조될 수 있다.[0042] The chucking electrode 210 may consist of a monopolar or bipolar electrode, or may have other suitable arrangements. The chucking electrode 210 may be coupled via an RF filter 214 to a chucking power source 212, which electrostatically holds the substrate 190 on the top surface 192 of the electrostatic chuck 150. Provides direct current (DC) power for fixing. The RF filter 214 prevents RF power used to form plasma within the process chamber 100 from damaging electrical equipment or causing electrical hazards outside the chamber. The electrostatic chuck 150 may be made of a ceramic material such as AlN or Al 2 O 3 . Alternatively, the electrostatic chuck 150 may be made of a polymer such as polyimide, polyetheretherketone (PEEK), polyaryletherketone (PAEK), or the like.

[0043] 전력 인가 시스템(220)은 기판 지지 조립체(146)에 결합된다. 전력 인가 시스템(220)은 히터 전원(106), 척킹 전원(212), 제1 무선 주파수(RF) 전원(230) 및 제2 RF 전원(240)을 포함할 수 있다. 전력 인가 시스템(220)의 구현예들은 제어기(110)와, 제어기(110) 및 제1 RF 전원(230) 및 제2 RF 전원(240) 둘 모두와 통신하는 센서 디바이스(sensor device)(250)를 추가로 포함할 수 있다.[0043] The power application system 220 is coupled to the substrate support assembly 146. The power application system 220 may include a heater power source 106 , a chucking power source 212 , a first radio frequency (RF) power source 230 and a second RF power source 240 . Implementations of the power application system 220 include a controller 110 and a sensor device 250 in communication with both the controller 110 and the first RF power supply 230 and the second RF power supply 240. may additionally include.

[0044] 제어기(110)는 또한 기판(190) 상에 재료 층을 증착하기 위해 제1 RF 전원(230) 및 제2 RF 전원(240)으로부터 RF 전력을 인가함으로써 프로세싱 가스로부터 플라즈마를 제어하는 데 이용될 수 있다.[0044] The controller 110 is also used to control plasma from the processing gas by applying RF power from the first RF power source 230 and the second RF power source 240 to deposit a material layer on the substrate 190. can be used

[0045] 전술한 바와 같이, 정전 척(150)은, 제1 RF 전극으로서 기능하면서도 일 양상에서 기판(190)을 척킹하도록 기능할 수 있는 척킹 전극(210)을 포함한다. 정전 척(150)은 또한 제2 RF 전극(260)을 포함할 수 있고, 척킹 전극(210)과 함께, RF 전력을 인가하여 플라즈마를 튜닝(tuning)할 수 있다. 제1 RF 전원(230)은 제2 RF 전극(260)에 결합될 수 있는 한편, 제2 RF 전원(240)은 척킹 전극(210)에 결합될 수 있다. 제1 RF 전원(230) 및 제2 RF 전원(240) 각각에는 제1 정합 네트워크 및 제2 정합 네트워크가 제공될 수 있다. 제2 RF 전극(260)은 도시된 바와 같은 전도성 재료의 고형 금속 플레이트(solid metal plate)일 수 있다. 대안적으로, 제2 RF 전극(260)은 전도성 재료의 메시일 수 있다.[0045] As described above, the electrostatic chuck 150 includes a chucking electrode 210 that can function to chuck the substrate 190 in one aspect while also functioning as a first RF electrode. The electrostatic chuck 150 may also include a second RF electrode 260 , and together with the chucking electrode 210 , RF power may be applied to tune the plasma. The first RF power source 230 can be coupled to the second RF electrode 260 while the second RF power source 240 can be coupled to the chucking electrode 210 . A first matching network and a second matching network may be provided to each of the first RF power source 230 and the second RF power source 240 . The second RF electrode 260 may be a solid metal plate of conductive material as shown. Alternatively, the second RF electrode 260 may be a mesh of conductive material.

[0046] 제1 RF 전원(230) 및 제2 RF 전원(240)은 동일한 주파수 또는 상이한 주파수에서 전력을 생성할 수 있다. 일부 구현예들에서, 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 독립적으로 전력을 생성할 수 있다. 일부 구현예들에서, 제1 RF 전원(230)은 13.56 MHz의 주파수에서 전력을 생성할 수 있고, 제2 RF 전원(240)은 2 MHz의 주파수에서 전력을 생성할 수 있거나, 그 반대로도 가능하다. 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두로부터의 RF 전력은 플라즈마를 튜닝하기 위해 변화될 수 있다. 예를 들어, 센서 디바이스(250)는 제1 RF 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 모두로부터의 RF 에너지를 모니터링하는 데 사용될 수 있다. 센서 디바이스(250)로부터의 데이터는 제어기(110)로 전달될 수 있고, 제어기(110)는 제1 RF 전원(230) 및 제2 RF 전원(240)에 의해 인가된 전력을 변화시키는 데 이용될 수 있다.[0046] The first RF power source 230 and the second RF power source 240 may generate power at the same frequency or different frequencies. In some implementations, one or both of the first RF power supply 230 and the second RF power supply 240 is between about 350 KHz and about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz). , 40 MHz, 60 MHz or 100 MHz). In some implementations, the first RF power supply 230 can generate power at a frequency of 13.56 MHz and the second RF power supply 240 can generate power at a frequency of 2 MHz, or vice versa. do. The RF power from one or both of the first RF power supply 230 and the second RF power supply 240 can be varied to tune the plasma. For example, sensor device 250 can be used to monitor RF energy from one or both of first RF power source 230 and second RF power source 240 . Data from the sensor device 250 may be passed to the controller 110, which may be used to change the power applied by the first RF power source 230 and the second RF power source 240. can

[0047] 증착 직후(as-deposited)의 다이아몬드형 탄소 내의 sp3 혼성화 탄소 원자들의 양/백분율은 응용마다 변할 수 있다. 본 개시내용의 다양한 구현예들에서, 증착 직후의 다이아몬드형 탄소 막은 적어도 40, 45, 50, 55, 60, 65, 70, 75, 80, 또는 85 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 증착 직후의 다이아몬드형 탄소 막은 최대 45, 50, 55, 60, 65, 70, 75, 80, 85, 또는 90 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 증착 직후의 다이아몬드형 탄소 막은 약 50 내지 약 90 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다. 증착 직후의 다이아몬드형 탄소 막은 약 60 내지 약 70 퍼센트의 sp3 혼성화 탄소 원자들을 함유할 수 있다.[0047] The amount/percentage of sp 3 hybridized carbon atoms in as-deposited diamondoid carbon may vary from application to application. In various implementations of the present disclosure, the as-deposited diamondoid carbon film may contain at least 40, 45, 50, 55, 60, 65, 70, 75, 80, or 85 percent sp 3 hybridized carbon atoms. . The as-deposited diamondoid carbon film may contain up to 45, 50, 55, 60, 65, 70, 75, 80, 85, or 90 percent sp 3 hybridized carbon atoms. The as-deposited diamondoid carbon film may contain from about 50 to about 90 percent sp 3 hybridized carbon atoms. The as-deposited diamondoid carbon film may contain from about 60 to about 70 percent sp 3 hybridized carbon atoms.

[0048] 일반적으로, 하기의 예시적인 증착 프로세스 파라미터들은 증착 직후의 다이아몬드형 탄소 층을 형성하는 데 사용될 수 있다. 웨이퍼 온도는 약 -50 ℃ 내지 약 350 ℃(예를 들어, 약 10 ℃ 내지 약 100 ℃; 또는 약 10 ℃ 내지 약 50 ℃)의 범위일 수 있다. 챔버 압력은 약 0.5 mTorr 내지 약 10 Torr(예를 들어, 약 2 mTorr 내지 약 50 mTorr; 또는 약 2 mTorr 내지 약 10 mTorr)의 챔버 압력의 범위일 수 있다. 탄화수소-함유 가스 혼합물의 유량은 약 10 sccm 내지 약 1,000 sccm(예를 들어, 약 100 sccm 내지 약 200 sccm, 또는 약 150 sccm 내지 약 200 sccm)일 수 있다. 희석 가스의 유량은 개별적으로 약 50 sccm 내지 약 50,000 sccm(예를 들어, 약 50 sccm 내지 약 500 sccm; 또는 약 50 sccm 내지 약 100 sccm)의 범위일 수 있다.[0048] In general, the exemplary deposition process parameters below may be used to form an as-deposited diamond-like carbon layer. The wafer temperature may range from about -50 °C to about 350 °C (eg, about 10 °C to about 100 °C; or about 10 °C to about 50 °C). The chamber pressure may range from about 0.5 mTorr to about 10 Torr (eg, from about 2 mTorr to about 50 mTorr; or from about 2 mTorr to about 10 mTorr). The flow rate of the hydrocarbon-containing gas mixture may be between about 10 seem and about 1,000 seem (eg, between about 100 seem and about 200 seem, or between about 150 seem and about 200 seem). The diluent gas flow rate may individually range from about 50 sccm to about 50,000 sccm (eg, from about 50 sccm to about 500 sccm; or from about 50 sccm to about 100 sccm).

Figure 112019130578851-pct00001
Figure 112019130578851-pct00001

[0049] 다이아몬드형 탄소 층은 약 5 Å 내지 약 20,000 Å(예를 들어, 약 300 Å 내지 약 5000 Å; 약 2000 Å 내지 약 3000 Å, 또는 약 5 Å 내지 약 200 Å)의 두께까지 증착될 수 있다. 표 1에 나타낸 상기 프로세스 파라미터들은 캘리포니아주 산타클라라 소재의 Applied Materials, Inc.로부터 입수 가능한 증착 챔버에서 300 ㎜의 기판에 대한 프로세스 파라미터들의 예들을 제공한다.[0049] The diamondoid carbon layer may be deposited to a thickness of about 5 Å to about 20,000 Å (eg, about 300 Å to about 5000 Å; about 2000 Å to about 3000 Å, or about 5 Å to about 200 Å). can The process parameters shown in Table 1 provide examples of process parameters for a 300 mm substrate in a deposition chamber available from Applied Materials, Inc., Santa Clara, Calif.

[0050] 증착 직후의 다이아몬드형 탄소 막은 2.0 초과, 예를 들어 약 2.0 내지 약 3.0, 예컨대 2.3의 굴절률 또는 n-값((633 nm에서의) n)을 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 0.1 초과, 예를 들어 약 0.2 내지 약 0.3, 예컨대 0.25의 흡광 계수 또는 k-값((633 nm에서의) K)을 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 약 -100 MPa 미만, 예를 들어 약 -1000 MPa 내지 약 -100 MPa, 약 -600 MPa 내지 약 -300 MPa, 약 -600 MPa 내지 약 -500 MPa, 예컨대 약 -550 MPa의 응력(MPa)을 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 1.8 g/cc 초과, 예를 들어 약 2.0 g/cc 이상, 약 2.5 g/cc 이상, 예컨대 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도(g/cc)를 가질 수 있다. 증착 직후의 다이아몬드형 탄소 막은 150 GPa 초과, 예를 들어 약 200 내지 약 400 GPa의 탄성 모듈러스(GPa)를 가질 수 있다.[0050] The as-deposited diamondoid carbon film may have a refractive index or n-value (n (at 633 nm)) greater than 2.0, for example from about 2.0 to about 3.0, such as 2.3. The as-deposited diamondoid carbon film may have an extinction coefficient or k-value (K (at 633 nm)) greater than 0.1, for example from about 0.2 to about 0.3, such as 0.25. The diamond-like carbon film as-deposited is less than about -100 MPa, such as about -1000 MPa to about -100 MPa, about -600 MPa to about -300 MPa, about -600 MPa to about -500 MPa, such as about -550 MPa. It may have a stress (MPa) of MPa. The diamond-like carbon film as-deposited has a density (g/cc) greater than 1.8 g/cc, such as greater than or equal to about 2.0 g/cc, greater than or equal to about 2.5 g/cc, such as greater than or equal to about 1.8 g/cc to about 2.5 g/cc. can have The as-deposited diamondoid carbon film may have a modulus of elasticity (GPa) greater than 150 GPa, for example from about 200 to about 400 GPa.

[0051] 도 3은 본 개시내용의 일 구현예에 따라 기판 상에 배치된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 방법(300)의 흐름도를 도시하고 있다. 막 스택 상에 형성된 다이아몬드형 탄소 층은 예를 들어 막 스택에 계단형 구조체들(stair-like structures)을 형성하기 위한 하드마스크로서 이용될 수 있다. 도 4a 및 도 4b는 방법(300)에 따라 기판 상에 배치된 막 스택 상에 다이아몬드형 탄소 층을 형성하기 위한 시퀀스를 도시하는 개략적인 단면도들이다. 방법(300)이, 3 차원 반도체 디바이스들을 위한 계단형 구조체들을 막 스택에 제조하는 데 이용되는 막 스택 상에 형성될 수 있는 하드마스크 층을 참조하여 하기에서 설명되지만, 방법(300)은 또한 다른 디바이스 제조 응용들에 유리하게 사용될 수 있다. 또한, 도 3에 나타낸 동작들이 도 3에 나타낸 순서와 상이한 순서로 그리고/또는 동시에 수행될 수 있다는 것도 이해되어야 한다.[0051] Figure 3 shows a flow diagram of a method 300 for forming a diamond-like carbon layer on a film stack disposed on a substrate in accordance with one implementation of the present disclosure. A diamond-like carbon layer formed on the film stack can be used, for example, as a hardmask for forming stair-like structures in the film stack. 4A and 4B are schematic cross-sectional views illustrating a sequence for forming a diamond-like carbon layer on a film stack disposed on a substrate according to method 300. Although method 300 is described below with reference to a hardmask layer that can be formed on a film stack that is used to fabricate stepped structures for three-dimensional semiconductor devices in the film stack, method 300 can also be used in other ways. It can be advantageously used in device manufacturing applications. It should also be understood that the operations shown in FIG. 3 may be performed in a different order and/or concurrently than the order shown in FIG. 3 .

[0052] 방법(300)은 동작(310)에서, 도 4a에 도시된 기판(400)과 같은 기판을, 도 1a 또는 도 1b에 도시된 프로세스 챔버(100)와 같은 프로세스 챔버 내에 포지셔닝시킴으로써 시작된다. 기판(400)은 도 1a, 도 1b 및 도 2에 도시된 기판(190)일 수 있다. 기판(400)은 정전 척, 예를 들어 정전 척(150)의 상부 표면(192) 상에 포지셔닝될 수 있다. 기판(400)은 실리콘계 재료, 또는 필요에 따라 임의의 적합한 절연 재료 또는 전도성 재료일 수 있으며, 계단형 구조체들과 같은 구조체(402)를 막 스택(404)에 형성하는 데 이용될 수 있는 막 스택(404)이 기판(400) 상에 배치된다.[0052] Method 300 begins at operation 310 by positioning a substrate, such as substrate 400 shown in FIG. 4A, into a process chamber, such as process chamber 100 shown in FIG. 1A or 1B. . The substrate 400 may be the substrate 190 shown in FIGS. 1A, 1B and 2 . Substrate 400 may be positioned on top surface 192 of an electrostatic chuck, for example electrostatic chuck 150 . Substrate 400 can be a silicon-based material, or any suitable insulating or conductive material as desired, and a film stack that can be used to form a structure 402, such as stepped structures, into film stack 404. 404 is disposed on the substrate 400 .

[0053] 도 4a에 도시된 예시적인 구현예에 나타낸 바와 같이, 기판(400)은 실질적으로 평탄한 표면, 평평하지 않은 표면(uneven surface), 또는 구조체가 그 위에 형성되어 있는 실질적으로 평탄한 표면을 가질 수 있다. 막 스택(404)은 기판(400) 상에 형성된다. 일 구현예에서, 막 스택(404)은 프론트 엔드(front end) 또는 백 엔드(back end) 프로세스에서 게이트 구조체(gate structure), 접촉 구조체 또는 상호연결 구조체를 형성하는 데 이용될 수 있다. 방법(300)은 NAND 구조체와 같은 메모리 구조체에 사용되는 계단형 구조체들을 막 스택(404)에 형성하기 위해 막 스택(404) 상에 수행될 수 있다. 일 구현예에서, 기판(400)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 기판들 및 패터닝되거나 패터닝되지 않은 기판들 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(400)은 다양한 치수들, 예컨대 200 ㎜, 300 ㎜ 및 450 ㎜ 또는 다른 직경의 기판들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본원에 설명된 구현예들 및 예들은 200 ㎜ 직경, 300 ㎜ 직경 또는 450 ㎜ 직경의 기판을 갖는 기판들 상에 실행된다. SOI 구조체가 기판(400)에 이용되는 구현예에서, 기판(400)은 실리콘 결정질 기판 상에 배치된 매립된 유전체 층을 포함할 수 있다. 본원에 나타낸 구현예에서, 기판(400)은 결정질 실리콘 기판일 수 있다.[0053] As shown in the example implementation shown in FIG. 4A, the substrate 400 may have a substantially planar surface, an uneven surface, or a substantially planar surface having structures formed thereon. can A film stack 404 is formed on a substrate 400 . In one implementation, the film stack 404 can be used to form a gate structure, contact structure or interconnect structure in a front end or back end process. Method 300 may be performed on film stack 404 to form stepped structures in film stack 404 used in a memory structure, such as a NAND structure. In one implementation, the substrate 400 is crystalline silicon (eg, Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, Doped and undoped silicon substrates and patterned and unpatterned substrates silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire and It may be of the same material. Substrate 400 may have rectangular or square panels as well as substrates of various dimensions, such as 200 mm, 300 mm and 450 mm or other diameters. Unless otherwise stated, implementations and examples described herein are practiced on substrates having a 200 mm diameter, 300 mm diameter or 450 mm diameter substrate. In implementations in which an SOI structure is used for substrate 400, substrate 400 may include a buried dielectric layer disposed on a silicon crystalline substrate. In the implementation shown herein, substrate 400 may be a crystalline silicon substrate.

[0054] 일 구현예에서, 기판(400) 상에 배치된 막 스택(404)은 다수의 수직 적층된 층들을 가질 수 있다. 막 스택(404)은 막 스택(404)에 반복적으로 형성된 제1 층(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)을 포함하는 쌍들을 포함할 수 있다. 쌍들은 제1 층들과 제2 층들의 원하는 개수의 쌍들이 도달될 때까지 반복적으로 형성된, 교호하는 제1 층(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)을 포함한다.[0054] In one implementation, the film stack 404 disposed on the substrate 400 may have multiple vertically stacked layers. The film stack 404 includes a first layer (shown as 408a 1 , 408a 2 , 408a 3 , ..., 408a n ) and a second layer 408b 1 , 408b 2 , 408b 3 that are iteratively formed on the film stack 404 . , ..., shown as 408b n ). Pairs are formed repeatedly until the desired number of pairs of first and second layers are reached, alternating first layers (shown as 408a 1 , 408a 2 , 408a 3 , ..., 408a n ) and second layers. layers (shown as 408b 1 , 408b 2 , 408b 3 , ..., 408b n ).

[0055] 막 스택(404)은 3 차원 메모리 칩(memory chip)과 같은 반도체 칩의 일부일 수 있다. 제1 층들(408a1, 408a2, 408a3, ……, 408an으로 도시됨) 및 제2 층들(408b1, 408b2, 408b3, ……, 408bn으로 도시됨)의 3 개의 반복 층들이 도 4a 및 도 4b에 도시되어 있지만, 필요에 따라 제1 및 제2 층들의 임의의 원하는 수의 반복 쌍들이 이용될 수 있다는 것이 주목된다.[0055] The film stack 404 may be part of a semiconductor chip such as a three-dimensional memory chip. Three repeating layers of first layers (shown as 408a 1 , 408a 2 , 408a 3 , ..., 408a n ) and second layers (shown as 408b 1 , 408b 2 , 408b 3 , ..., 408b n ) 4A and 4B, it is noted that any desired number of repeating pairs of first and second layers may be used as desired.

[0056] 일 구현예에서, 막 스택(404)은 3 차원 메모리 칩을 위한 다중 게이트 구조체들을 형성하는 데 이용될 수 있다. 막 스택(404)에 형성된 제1 층들(408a1, 408a2, 408a3, ……, 408an)은 제1 유전체 층일 수 있고, 제2 층들(408b1, 408b2, 408b3, ……, 408bn)은 제2 유전체 층일 수 있다. 제1 층들(408a1, 408a2, 408a3, ……, 408an) 및 제2 층들(408b1, 408b2, 408b3, ……, 408bn)을 형성하는 데 이용될 수 있는 적합한 유전체 층들은, 그 중에서도, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 산탄화물, 티타늄 질화물, 산화물과 질화물의 복합물, 질화물 층을 개재하는 적어도 하나 이상의 산화물 층들, 및 이들의 조합들을 포함한다. 일부 구현예들에서, 유전체 층들은 4 초과의 유전 상수를 갖는 하이(high)-k 재료일 수 있다. 하이-k 재료들의 적합한 예들은, 그 중에서도, 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈륨 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무트 스트론튬 티타늄(BST) 및 백금 지르코늄 티타늄(PZT)을 포함한다.[0056] In one implementation, the film stack 404 may be used to form multiple gate structures for a three-dimensional memory chip. The first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n formed in the film stack 404 may be a first dielectric layer, and the second layers 408b 1 , 408b 2 , 408b 3 , ..., 408b n ) may be the second dielectric layer. A suitable dielectric layer that may be used to form the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n and the second layers 408b 1 , 408b 2 , 408b 3 , ..., 408b n These include, among others, silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon oxycarbide, titanium nitride, composites of oxides and nitrides, at least one oxide layer interspersed with a nitride layer, and combinations thereof. In some implementations, the dielectric layers can be a high-k material with a dielectric constant greater than 4. Suitable examples of high-k materials are hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), hafnium silicon oxide (HfSiO 2 ), hafnium aluminum oxide (HfAlO), zirconium silicon oxide (ZrSiO 2 ), tantalum, among others. oxide (TaO 2 ), aluminum oxide, aluminum doped hafnium dioxide, bismuth strontium titanium (BST) and platinum zirconium titanium (PZT).

[0057] 하나의 특정 예에서, 제1 층들(408a1, 408a2, 408a3, ……, 408an)은 실리콘 산화물 층들이고, 제2 층들(408b1, 408b2, 408b3, ……, 408bn)은 제1 층들(408a1, 408a2, 408a3, ……, 408an) 상에 배치된 실리콘 질화물 층들 또는 폴리실리콘 층들이다. 일 구현예에서, 제1 층들(408a1, 408a2, 408a3, ……, 408an)의 두께는 약 50 Å 내지 약 1000 Å, 예컨대 약 500 Å으로 제어될 수 있고, 각각의 제2 층들(408b1, 408b2, 408b3, ……, 408bn)의 두께는 약 50 Å 내지 약 1000 Å, 예컨대 약 500 Å으로 제어될 수 있다. 막 스택(404)은 약 100 Å 내지 약 2000 Å의 총 두께를 가질 수 있다. 일 구현예에서, 막 스택(404)의 총 두께는 약 3 미크론 내지 약 10 미크론이며, 기술이 발전함에 따라 변할 것이다.[0057] In one particular example, the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n are silicon oxide layers, and the second layers 408b 1 , 408b 2 , 408b 3 , ..., 408b n ) are silicon nitride layers or polysilicon layers disposed on the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n . In one implementation, the thickness of the first layers 408a 1 , 408a 2 , 408a 3 , ..., 408a n can be controlled to between about 50 Å and about 1000 Å, such as about 500 Å, and each of the second layers The thicknesses of (408b 1 , 408b 2 , 408b 3 , ..., 408b n ) may be controlled between about 50 Å and about 1000 Å, such as about 500 Å. The film stack 404 may have a total thickness of about 100 Å to about 2000 Å. In one implementation, the total thickness of the film stack 404 is between about 3 microns and about 10 microns, and will change as technology advances.

[0058] 다이아몬드형 탄소 층은 기판(400) 상에 존재하는 막 스택(404)을 갖거나 갖지 않는 기판(400)의 임의의 표면들 또는 임의의 부분 상에 형성될 수 있다는 것이 주목된다.[0058] It is noted that the diamondoid carbon layer can be formed on any surfaces or any portion of the substrate 400, with or without the film stack 404 present on the substrate 400.

[0059] 동작(320)에서, 척킹 전압이 정전 척에 인가되어 기판(400)을 정전 척에 클램핑한다. 일부 구현예들에서, 기판(400)이 정전 척(150)의 상부 표면(192) 상에 포지셔닝되는 경우, 상부 표면(192)은 프로세싱 동안에 기판(400)에 대한 지지를 제공하고 기판(400)을 클램핑한다. 정전 척(150)은 기판(400)을 상부 표면(192)에 대해 밀접하게 평탄화시켜, 후면 증착(backside deposition)을 방지한다. 전기 바이어스가 척킹 전극(210)을 통해 기판(400)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 척킹 전원(212)과 전자 연통할 수 있다. 일 구현예에서, 척킹 전압은 약 10 볼트 내지 약 3000 볼트이다. 일 구현예에서, 척킹 전압은 약 100 볼트 내지 약 2000 볼트이다. 일 구현예에서, 척킹 전압은 약 200 볼트 내지 약 1000 볼트이다.[0059] In operation 320, a chucking voltage is applied to the electrostatic chuck to clamp the substrate 400 to the electrostatic chuck. In some implementations, when the substrate 400 is positioned on the top surface 192 of the electrostatic chuck 150, the top surface 192 provides support for the substrate 400 during processing and the substrate 400 clamp the The electrostatic chuck 150 closely plans the substrate 400 to the top surface 192, preventing backside deposition. An electrical bias is provided to the substrate 400 through the chucking electrode 210 . The chucking electrode 210 may be in electronic communication with a chucking power supply 212 that supplies a biasing voltage to the chucking electrode 210 . In one implementation, the chucking voltage is between about 10 volts and about 3000 volts. In one implementation, the chucking voltage is between about 100 volts and about 2000 volts. In one implementation, the chucking voltage is between about 200 volts and about 1000 volts.

[0060] 동작(320) 동안에, 몇몇의 프로세스 파라미터들이 프로세스에 따라 조절될 수 있다. 300 ㎜의 기판을 프로세싱하기에 적합한 일 구현예에서, 프로세싱 용적부의 프로세스 압력은 약 0.1 mTorr 내지 약 10 Torr(예를 들어, 약 2 mTorr 내지 약 50 mTorr; 또는 약 5 mTorr 내지 약 20 mTorr)로 유지될 수 있다. 300 ㎜의 기판을 프로세싱하기에 적합한 일 구현예에서, 프로세싱 온도 및/또는 기판 온도는 약 -50 ℃ 내지 약 350 ℃(예를 들어, 약 0 ℃ 내지 약 50 ℃; 또는 약 10 ℃ 내지 약 20 ℃)로 유지될 수 있다.[0060] During operation 320, several process parameters may be adjusted according to the process. In one embodiment suitable for processing a 300 mm substrate, the process pressure in the processing volume is between about 0.1 mTorr and about 10 Torr (eg, between about 2 mTorr and about 50 mTorr; or between about 5 mTorr and about 20 mTorr). can be maintained In one embodiment suitable for processing a 300 mm substrate, the processing temperature and/or substrate temperature is between about -50 °C and about 350 °C (e.g., between about 0 °C and about 50 °C; or between about 10 °C and about 20 °C). °C) can be maintained.

[0061] 일 구현예에서, 일정한 척킹 전압이 기판(400)에 인가된다. 일 구현예에서, 척킹 전압이 정전 척(150)에 펄싱(pulsing)될 수 있다. 일부 구현예들에서, 척킹 전압을 인가하면서 후면 가스가 기판(400)에 인가되어 기판의 온도를 제어할 수 있다. 후면 가스들은 헬륨(He), 아르곤(Ar) 등을 포함(그러나 이에 제한되지 않음)할 수 있다.[0061] In one implementation, a constant chucking voltage is applied to the substrate 400. In one implementation, a chucking voltage may be pulsed to the electrostatic chuck 150 . In some implementations, a backside gas may be applied to the substrate 400 while applying a chucking voltage to control the temperature of the substrate. Backside gases may include (but are not limited to) helium (He), argon (Ar), and the like.

[0062] 동작(330)에서, 제1 RF 바이어스를 정전 척에 인가함으로써 기판 레벨에서 플라즈마가 생성된다. 기판 레벨에서 생성된 플라즈마는 기판과 정전 척 사이의 플라즈마 영역에서 생성될 수 있다. 제1 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 약 10 와트 내지 약 3000 와트일 수 있다. 일 구현예에서, 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2500 와트 내지 약 3000 와트의 전력으로 제공된다. 일 구현예에서, 제1 RF 바이어스는 제2 RF 전극(260)을 통해 정전 척(150)에 제공된다. 제2 RF 전극(260)은 제2 RF 전극(260)에 바이어싱 전압을 공급하는 제1 RF 전원(230)과 전자 연통할 수 있다. 일 구현예에서, 바이어스 전력은 약 10 와트 내지 약 3000 와트이다. 일 구현예에서, 바이어스 전력은 약 2000 와트 내지 약 3000 와트이다. 일 구현예에서, 바이어스 전력은 약 2500 와트 내지 약 3000 와트이다. 제1 RF 전원(230)은 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 전력을 생성할 수 있다.[0062] In operation 330, a plasma is created at the substrate level by applying a first RF bias to the electrostatic chuck. Plasma generated at the substrate level may be generated in a plasma region between the substrate and the electrostatic chuck. The first RF bias may be between about 10 Watts and about 3000 Watts at a frequency between about 350 KHz and about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). there is. In one implementation, the first RF bias is provided with a power of about 2500 Watts to about 3000 Watts at a frequency of about 13.56 MHz. In one implementation, the first RF bias is provided to the electrostatic chuck 150 through the second RF electrode 260 . The second RF electrode 260 may be in electronic communication with the first RF power supply 230 that supplies a biasing voltage to the second RF electrode 260 . In one implementation, the bias power is between about 10 Watts and about 3000 Watts. In one implementation, the bias power is between about 2000 Watts and about 3000 Watts. In one implementation, the bias power is between about 2500 Watts and about 3000 Watts. The first RF power supply 230 may generate power at a frequency between about 350 KHz and about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). .

[0063] 일부 구현예들에서, 동작(330)은 제2 RF 바이어스를 정전 척에 인가하는 단계를 더 포함한다. 제2 RF 바이어스는 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 약 10 와트 내지 약 3000 와트일 수 있다. 일 구현예에서, 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 1200 와트의 전력으로 제공된다. 일 구현예에서, 제2 RF 바이어스는 척킹 전극(210)을 통해 기판(400)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 제2 RF 전원(240)과 전자 연통할 수 있다. 일 구현예에서, 바이어스 전력은 약 10 와트 내지 약 3000 와트이다. 일 구현예에서, 바이어스 전력은 약 500 와트 내지 약 1500 와트이다. 일 구현예에서, 바이어스 전력은 약 800 와트 내지 약 1200 와트이다. 제2 RF 전원(240)은 약 350 KHz 내지 약 100 MHz(예를 들어, 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz 또는 100 MHz)의 주파수에서 전력을 생성할 수 있다. 일 구현예에서, 동작(320)에서 공급된 척킹 전압은 동작(330) 동안에 유지된다.[0063] In some implementations, operation 330 further includes applying a second RF bias to the electrostatic chuck. The second RF bias may be between about 10 Watts and about 3000 Watts at a frequency between about 350 KHz and about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). there is. In one implementation, the second RF bias is provided with a power of about 800 Watts to about 1200 Watts at a frequency of about 2 MHz. In one implementation, the second RF bias is provided to the substrate 400 through the chucking electrode 210 . The chucking electrode 210 may be in electronic communication with the second RF power source 240 that supplies a biasing voltage to the chucking electrode 210 . In one implementation, the bias power is between about 10 Watts and about 3000 Watts. In one implementation, the bias power is between about 500 Watts and about 1500 Watts. In one implementation, the bias power is between about 800 Watts and about 1200 Watts. The second RF power supply 240 may generate power at a frequency between about 350 KHz and about 100 MHz (e.g., 350 KHz, 2 MHz, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, or 100 MHz). . In one implementation, the chucking voltage supplied in operation 320 is maintained during operation 330 .

[0064] 일부 구현예들에서, 동작(330) 동안에, 제1 RF 바이어스는 척킹 전극(210)을 통해 기판(400)에 제공되고, 제2 RF 바이어스는 제2 RF 전극(260)을 통해 기판(400)에 제공될 수 있다. 일 구현예에서, 제1 RF 바이어스는 약 2500 와트(13.56 MHz)이고, 제2 RF 바이어스는 약 1000 와트(2 MHz)이다.[0064] In some implementations, during operation 330, a first RF bias is provided to the substrate 400 via the chucking electrode 210 and a second RF bias is provided to the substrate via the second RF electrode 260. (400). In one implementation, the first RF bias is about 2500 Watts (13.56 MHz) and the second RF bias is about 1000 Watts (2 MHz).

[0065] 동작(340) 동안에, 탄화수소-함유 가스 혼합물이 프로세싱 용적부(126) 내로 유동되어 막 스택 상에 다이아몬드형 탄소 막을 형성한다. 탄화수소-함유 가스 혼합물은 가스 분배 조립체(120)를 통해 또는 측벽(101)을 통해 가스 패널(130)로부터 프로세싱 용적부(126) 내로 유동될 수 있다. 탄화수소-함유 가스 혼합물은 적어도 하나의 탄화수소 화합물을 포함할 수 있다. 탄화수소-함유 가스 혼합물은 불활성 가스, 희석 가스, 질소-함유 가스, 에천트 가스 또는 이들의 조합들을 더 포함할 수 있다. 탄화수소는 임의의 액체 또는 가스일 수 있지만, 바람직한 전구체는 재료 계량, 제어 및 챔버로의 전달에 필요한 하드웨어를 단순화하기 위해 실온에서 증기일 것이다. 일부 구현예들에서, 동작(320) 동안에 공급된 척킹 전압은 동작(340) 동안에 유지된다. 일부 구현예들에서, 동작(320) 동안에 설정된 프로세스 조건들 및 동작(330) 동안에 형성된 플라즈마는 동작(340) 동안에 유지된다.[0065] During operation 340, a hydrocarbon-containing gas mixture is flowed into processing volume 126 to form a diamond-like carbon film on the film stack. A hydrocarbon-containing gas mixture may flow from the gas panel 130 through the gas distribution assembly 120 or through the sidewall 101 into the processing volume 126 . The hydrocarbon-containing gas mixture may include at least one hydrocarbon compound. The hydrocarbon-containing gas mixture may further include an inert gas, a diluent gas, a nitrogen-containing gas, an etchant gas, or combinations thereof. The hydrocarbon can be any liquid or gas, but a preferred precursor would be a vapor at room temperature to simplify the hardware required for material metering, control, and delivery to the chamber. In some implementations, the chucking voltage supplied during operation 320 is maintained during operation 340 . In some implementations, the process conditions established during operation 320 and the plasma formed during operation 330 are maintained during operation 340 .

[0066] 일 구현예에서, 탄화수소 화합물은 가스 탄화수소이다. 일 구현예에서, 탄화수소 화합물은 일반 화학식 CxHy를 가지며, 여기서 x는 1 내지 20의 범위를 갖고, y는 1 내지 20의 범위를 갖는다. 적합한 탄화수소 화합물들은, 예를 들어 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 비시클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나딘), 아다만틴(C10H16), 노르보르넨(C7H10), 또는 이들의 조합들을 포함한다. 일 예에서, 보다 많은 표면 이동도(surface mobility)를 허용하는 보다 안정적인 중간 종들을 형성하므로, C2H2가 바람직하다.[0066] In one embodiment, the hydrocarbon compound is a gaseous hydrocarbon. In one embodiment, the hydrocarbon compound has the general formula C x H y , where x ranges from 1 to 20 and y ranges from 1 to 20. Suitable hydrocarbon compounds are, for example, C 2 H 2 , C 3 H 6 , CH 4 , C 4 H 8 , 1,3-dimethyladamantane, bicyclo[2.2.1]hepta-2,5-diene ( 2,5-norbornadine), adamantine (C 10 H 16 ), norbornene (C 7 H 10 ), or combinations thereof. In one example, C 2 H 2 is preferred because it forms more stable intermediate species that allow more surface mobility.

[0067] 일 구현예에서, 탄화수소 화합물은 알칸(alkane)(예를 들어, CnH2n+2, 여기서 n은 1 내지 20임)이다. 적합한 탄화수소 화합물들은, 예를 들어 알케인들, 예컨대 메탄(CH4), 에탄(C2H6), 프로필렌(C3H6), 프로판(C3H8), 부탄(C4H10) 및 그 이성질체 이소부탄, 펜탄(C5H12), 헥산(C6H14) 및 그 이성질체들 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 그 이성질체들 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄 및 2,2-디메틸부탄, 또는 이들의 조합들을 포함한다.[0067] In one embodiment, the hydrocarbon compound is an alkane (eg, C n H 2n+2 , where n is 1 to 20). Suitable hydrocarbon compounds are, for example, alkanes such as methane (CH 4 ), ethane (C 2 H 6 ), propylene (C 3 H 6 ), propane (C 3 H 8 ), butane (C 4 H 10 ) and its isomers Isobutane, pentane (C 5 H 12 ), hexane (C 6 H 14 ) and its isomers Isopentane and neopentane, hexane (C 6 H 14 ) and its isomers 2-methylpentane, 3-methyl pentane, 2,3-dimethylbutane and 2,2-dimethylbutane, or combinations thereof.

[0068] 일 구현예에서, 탄화수소 화합물은 알켄(alkene)(예를 들어, CnH2n, 여기서 n은 1 내지 20임)이다. 적합한 탄화수소 화합물들은, 예를 들어 알켄들, 예컨대 아세틸렌, 에틸렌, 프로필렌, 부틸렌 및 그 이성질체들, 펜텐 및 그 이성질체들 등, 디엔들, 예컨대 부타디엔, 이소프렌, 펜타디엔, 헥사디엔, 또는 이들의 조합들을 포함한다. 추가의 적합한 탄화수소들은, 예를 들어 할로겐화 알켄들, 예컨대 모노플루오로에틸렌, 디플루오로에틸렌들, 트리플루오로에틸렌, 테트라플루오로에틸엔, 모노클로로에틸렌, 디클로로에틸렌들, 트리클로로에틸렌, 테트라클로로에틸렌, 또는 이들의 조합들을 포함한다.[0068] In one embodiment, the hydrocarbon compound is an alkene (eg, C n H 2n , where n is 1 to 20). Suitable hydrocarbon compounds include, for example, alkenes such as acetylene, ethylene, propylene, butylene and its isomers, pentene and its isomers, and the like, dienes such as butadiene, isoprene, pentadiene, hexadiene, or combinations thereof include them Further suitable hydrocarbons are, for example, halogenated alkenes, such as monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafluoroethylene, monochloroethylene, dichloroethylenes, trichlorethylene, tetrachloroethylene. ethylene, or combinations thereof.

[0069] 일 구현예에서, 탄화수소 화합물은 알킨(alkyne)(예를 들어, CnH2n-2, 여기서 n은 1 내지 20임)이다. 적합한 탄화수소 화합물들은, 예를 들어 알킨들, 예컨대 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌, 또는 이들의 조합들을 포함한다.[0069] In one embodiment, the hydrocarbon compound is an alkyne (eg, C n H 2n-2 , where n is 1 to 20). Suitable hydrocarbon compounds include, for example, alkynes, such as propyne (C 3 H 4 ), butylene (C 4 H 8 ), vinylacetylene, or combinations thereof.

[0070] 일 구현예에서, 탄화수소 화합물은 방향족 탄화수소 화합물, 예컨대 벤젠, 스티렌, 톨루엔, 크실렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레졸, 푸란 등, 알파-테르피넨, 시멘, 1,1,3,3-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸푸르푸릴에테르, 화학식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠들, 테트라플루오로벤젠들, 헥사플루오로벤젠을 포함하는 할로겐화 방향족 화합물들, 또는 이들의 조합들이다.[0070] In one embodiment, the hydrocarbon compound is an aromatic hydrocarbon compound such as benzene, styrene, toluene, xylene, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furan, etc., alpha-terpinene, cymene. , 1,1,3,3-tetramethylbutylbenzene, t-butylether, t-butylethylene, methyl-methacrylate, and t-butylfurfurylether, having the formulas C 3 H 2 and C 5 H 4 compounds, halogenated aromatic compounds including monofluorobenzene, difluorobenzenes, tetrafluorobenzenes, hexafluorobenzene, or combinations thereof.

[0071] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 하나 이상의 희석 가스들을 더 포함한다. 그 중에서도, 헬륨(He), 아르곤(Ar), 크세논(Xe), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 적합한 희석 가스들이, 원하는 경우, 가스 혼합물에 첨가될 수 있다. Ar, He 및 N2는 다이아몬드형 탄소 층의 밀도 및 증착 속도를 제어하는 데 사용된다. 일부 경우들에서, N2 및/또는 NH3의 첨가는 아래에서 논의되는 바와 같이, 다이아몬드형 탄소 층의 수소 비율을 제어하는 데 사용될 수 있다. 대안적으로, 증착 동안에는 희석 가스들이 사용되지 않을 수 있다.[0071] In some implementations, the hydrocarbon-containing gas mixture further includes one or more diluent gases. Suitable diluent gases, such as, among others, helium (He), argon (Ar), xenon (Xe), hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ), or combinations thereof, if desired. , can be added to the gas mixture. Ar, He and N 2 are used to control the density and deposition rate of the diamondoid carbon layer. In some cases, the addition of N 2 and/or NH 3 can be used to control the hydrogen proportion of the diamondoid carbon layer, as discussed below. Alternatively, diluent gases may not be used during deposition.

[0072] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 하나 이상의 질소-함유 가스들을 더 포함한다. 적합한 질소-함유 화합물들은, 예를 들어 피리딘, 지방족 아민, 아민들, 니트릴들, 암모니아 및 유사한 화합물들을 포함한다.[0072] In some implementations, the hydrocarbon-containing gas mixture further includes one or more nitrogen-containing gases. Suitable nitrogen-containing compounds include, for example, pyridine, aliphatic amines, amines, nitriles, ammonia and similar compounds.

[0073] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 불활성 가스를 더 포함한다. 일부 구현예들에서, 아르곤(Ar) 및/또는 헬륨(He)과 같은 불활성 가스는 탄화수소-함유 가스 혼합물과 함께 프로세싱 용적부(126) 내로 공급될 수 있다. 다이아몬드형 탄소 층의 밀도 및 증착 속도를 제어하기 위해 질소(N2) 및 산화질소(NO)와 같은 다른 불활성 가스들이 또한 사용될 수 있다. 추가적으로, 다양한 다른 프로세싱 가스들이 탄화수소-함유 가스 혼합물에 첨가되어 다이아몬드형 탄소 재료의 특성들을 변경시킬 수 있다. 일 구현예에서, 다른 프로세싱 가스들은 반응성 가스들, 예컨대 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들일 수 있다. H2 및/또는 NH3의 첨가는 증착된 다이아몬드형 탄소 층의 수소 비율(예를 들어, 탄소 대 수소 비율)을 제어하는 데 사용될 수 있다. 다이아몬드형 탄소 막에 존재하는 수소 비율은 반사율과 같은 층 특성들에 대한 제어를 제공한다.[0073] In some embodiments, the hydrocarbon-containing gas mixture further includes an inert gas. In some implementations, an inert gas such as argon (Ar) and/or helium (He) may be supplied into the processing volume 126 along with the hydrocarbon-containing gas mixture. Other inert gases such as nitrogen (N 2 ) and nitric oxide (NO) may also be used to control the density and deposition rate of the diamondoid carbon layer. Additionally, various other processing gases can be added to the hydrocarbon-containing gas mixture to alter the properties of the diamondoid carbon material. In one implementation, the other processing gases may be reactive gases, such as hydrogen (H 2 ), ammonia (NH 3 ), a mixture of hydrogen (H 2 ) and nitrogen (N 2 ), or combinations thereof. The addition of H 2 and/or NH 3 can be used to control the hydrogen ratio (eg, carbon to hydrogen ratio) of the deposited diamondoid carbon layer. The percentage of hydrogen present in the diamondoid carbon film provides control over layer properties such as reflectance.

[0074] 일부 구현예들에서, 탄화수소-함유 가스 혼합물은 에천트 가스를 더 포함한다. 적합한 에천트 가스들은 염소(Cl2), 사불화탄소(CF4), 삼불화질소(NF3), 또는 이들의 조합들을 포함한다. 이론에 얽매이지 않지만, 에천트 가스들은 막으로부터 sp2 혼성화 탄소 원자들을 선택적으로 에칭하고, 그에 따라 막 내의 sp3 혼성화 탄소 원자들의 분율을 증가시키며, 이는 막의 에칭 선택비를 증가시키는 것으로 여겨진다.[0074] In some embodiments, the hydrocarbon-containing gas mixture further includes an etchant gas. Suitable etchant gases include chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), nitrogen trifluoride (NF 3 ), or combinations thereof. Without being bound by theory, it is believed that the etchant gases selectively etch sp 2 hybridized carbon atoms from the film, thereby increasing the fraction of sp 3 hybridized carbon atoms in the film, which increases the etch selectivity of the film.

[0075] 일부 구현예에서, 동작(340) 동안에 다이아몬드형 탄소 층(412)이 기판 상에 형성된 후에, 다이아몬드형 탄소 층(412)은 수소 라디칼들에 노출된다. 일부 구현예들에서, 다이아몬드형 탄소 층은 동작(340)의 증착 프로세스 동안에 수소 라디칼들에 노출된다. 일부 구현예들에서, 수소 라디칼들은 RPS에서 형성되고 프로세싱 영역으로 전달된다. 이론에 얽매이지 않지만, 다이아몬드형 탄소 층을 수소 라디칼들에 노출시키는 것은 sp2 혼성화 탄소 원자들의 선택적 에칭을 초래하고, 그에 따라 막의 sp3 혼성화 탄소 원자 분율을 증가시키며, 그에 따라 에칭 선택비를 증가시키는 것으로 여겨진다.[0075] In some implementations, after diamondoid carbon layer 412 is formed on the substrate during operation 340, diamondoid carbon layer 412 is exposed to hydrogen radicals. In some implementations, the diamondoid carbon layer is exposed to hydrogen radicals during the deposition process of operation 340 . In some implementations, hydrogen radicals are formed in the RPS and delivered to the processing region. Without being bound by theory, exposing the diamondoid carbon layer to hydrogen radicals results in selective etching of the sp 2 hybridized carbon atoms, thereby increasing the sp 3 hybridized carbon atom fraction of the film and thus increasing the etch selectivity. It is considered to

[0076] 동작(350)에서, 다이아몬드형 탄소 층(412)이 기판 상에 형성된 후에, 기판이 척킹 해제(de-chucking)된다. 동작(350) 동안에, 척킹 전압이 턴-오프 된다. 반응성 가스들은 턴-오프 되고 프로세싱 챔버로부터 선택적으로 퍼지된다. 일 구현예에서, 동작(350) 동안에, RF 전력이 감소(예를 들어, ~200 W)된다. 선택적으로, 제어기(110)는 정전하들이 RF 경로를 통해 접지로 소산되는지를 결정하기 위해 임피던스 변화(impedance change)를 모니터링한다. 기판이 정전 척으로부터 척킹 해제되면, 잔류 가스들은 프로세싱 챔버로부터 퍼지된다. 프로세싱 챔버는 펌핑 다운되고, 기판은 리프트 핀들 위로 이동하여, 챔버 밖으로 이송된다.[0076] In operation 350, after the diamond-like carbon layer 412 is formed on the substrate, the substrate is de-chucking. During operation 350, the chucking voltage is turned off. Reactive gases are turned off and optionally purged from the processing chamber. In one implementation, during operation 350, the RF power is reduced (eg, -200 W). Optionally, controller 110 monitors the impedance change to determine if static charges are dissipated through the RF path to ground. When the substrate is unchucked from the electrostatic chuck, residual gases are purged from the processing chamber. The processing chamber is pumped down and the substrate is moved over the lift pins and transported out of the chamber.

[0077] 다이아몬드형 탄소 층(412)이 기판 상에 형성된 후에, 다이아몬드형 탄소 층(412)은 계단형 구조체와 같은 3 차원 구조체를 형성하기 위한 패터닝 마스크(patterning mask)로서 에칭 프로세스에 이용될 수 있다. 다이아몬드형 탄소 층(412)은 표준 포토레지스트 패터닝 기술들을 사용하여 패터닝될 수 있다. 패터닝된 포토레지스트(도시되지 않음)가 다이아몬드형 탄소 층(412) 위에 형성될 수 있다. 다이아몬드형 탄소 층(412)은 패터닝된 포토레지스트 층과 대응하는 패턴으로 에칭된 후에, 패턴이 기판(400) 내로 에칭될 수 있다. 다이아몬드형 탄소 층(412)의 에칭된 부분들 내에 재료가 증착될 수 있다. 다이아몬드형 탄소 층(412)은 과산화수소 및 황산을 포함하는 용액을 사용하여 제거될 수 있다. 과산화수소 및 황산을 포함하는 하나의 예시적인 용액은 피라냐 용액(Piranha solution) 또는 피라냐 에칭(Piranha etch)으로 알려져 있다. 다이아몬드형 탄소 층(412)은 또한 산소 및 할로겐들(예를 들어, 불소 또는 염소)을 함유하는 에칭 화학물질들, 예를 들어 Cl2/O2, CF4/O2, Cl2/O2/CF4를 사용하여 제거될 수 있다. 다이아몬드형 탄소 층(412)은 화학 기계적 연마(chemical mechanical polishing; CMP) 프로세스에 의해 제거될 수 있다.[0077] After the diamondoid carbon layer 412 is formed on the substrate, the diamondoid carbon layer 412 can be used in an etching process as a patterning mask to form a three-dimensional structure, such as a stepped structure. there is. Diamond-like carbon layer 412 may be patterned using standard photoresist patterning techniques. A patterned photoresist (not shown) may be formed over the diamond-like carbon layer 412 . After the diamond-like carbon layer 412 is etched into a pattern corresponding to the patterned photoresist layer, the pattern may be etched into the substrate 400 . Material may be deposited within the etched portions of the diamond-like carbon layer 412 . Diamond-like carbon layer 412 may be removed using a solution comprising hydrogen peroxide and sulfuric acid. One exemplary solution comprising hydrogen peroxide and sulfuric acid is known as Piranha solution or Piranha etch. Diamond-like carbon layer 412 may also contain etching chemicals containing oxygen and halogens (eg, fluorine or chlorine), such as Cl 2 /O 2 , CF 4 /O 2 , Cl 2 /O 2 Can be removed using /CF 4 . Diamond-like carbon layer 412 may be removed by a chemical mechanical polishing (CMP) process.

[0078] 예들: [0078] Examples:

[0079] 하기의 비제한적인 예들은 본원에 설명된 구현예들을 추가로 설명하도록 제공된다. 그러나, 이 예들은 모든 것을 포함하도록 의도된 것이 아니며, 본원에 설명된 구현예들의 범위를 제한하도록 의도되지 않는다.[0079] The following non-limiting examples are provided to further illustrate implementations described herein. However, these examples are not intended to be all-inclusive and are not intended to limit the scope of the implementations described herein.

[0080] 일 구현예에서, 본 개시내용의 저응력, 고밀도 다이아몬드형 탄소 막은, 10 ℃의 온도에서 프로세스 가스들로서 150 sccm의 C2H2 및 100 sccm의 He를 유동시키고, 희석 가스로서 Ar 및/또는 He를 갖는 CVD 반응기에서 기판 페디스털(정전 척)을 통해 2500 와트의 RF(13.56 MHz) 전력 및 1000 와트의 RF(2 MHz) 전력을 인가함으로써 제조되었다. 결과적인 다이아몬드형 탄소 막은 1.94 g/cc의 밀도 및 -350 MPa의 응력, 및 현재 이용 가능한 비정질 탄소 막들보다 큰 에칭 선택비를 가졌다.[0080] In one embodiment, a low-stress, high-density diamondoid carbon film of the present disclosure flows 150 sccm of C 2 H 2 and 100 sccm of He as process gases at a temperature of 10 °C, Ar and / or by applying 2500 Watts of RF (13.56 MHz) power and 1000 Watts of RF (2 MHz) power through the substrate pedestal (electrostatic chuck) in a CVD reactor with He. The resulting diamond-like carbon film had a density of 1.94 g/cc and a stress of -350 MPa, and an etch selectivity greater than currently available amorphous carbon films.

Figure 112019130578851-pct00002
Figure 112019130578851-pct00002

[0081] 도 5는 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 밀도를 나타내는 그래프(500)이다. 그래프(500)는 2 MHz의 주파수 및 13.56 MHz의 주파수에서 증착된 다이아몬드형 탄소 막들에 대한 바이어스 전력의 함수로써 밀도를 나타낸다. y-축은 증착된 막들의 밀도(g/cc)를 나타내고 x-축은 바이어스 전력(와트)을 나타낸다. 도 5에 도시된 바와 같이, 대부분에서, 바이어스 전력이 증가함에 따라, 증착 직후의 막의 밀도가 증가한다.[0081] Figure 5 is a graph 500 illustrating density as a function of bias power for a diamondoid carbon layer formed in accordance with one or more implementations of the present disclosure. Graph 500 shows density as a function of bias power for diamond-like carbon films deposited at a frequency of 2 MHz and a frequency of 13.56 MHz. The y-axis represents the density of the deposited films (g/cc) and the x-axis represents the bias power (watts). As shown in Fig. 5, in most cases, as the bias power increases, the density of the film immediately after deposition increases.

[0082] 도 6은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 바이어스 전력의 함수로써 응력을 나타내는 그래프(600)이다. 그래프(600)는 2 MHz의 주파수 및 13.56 MHz의 주파수에서 증착된 다이아몬드형 탄소 막들에 대한 바이어스 전력의 함수로써 응력을 나타낸다. y-축은 증착된 막들의 응력(MPa)을 나타내고, x-축은 바이어스 전력(와트)을 나타낸다. 도 6에 도시된 바와 같이, 대부분에서, 바이어스 전력이 증가함에 따라, 증착 직후의 막의 응력이 증가한다.[0082] Figure 6 is a graph 600 illustrating stress as a function of bias power for a diamond-like carbon layer formed in accordance with one or more implementations of the present disclosure. Graph 600 shows stress as a function of bias power for diamond-like carbon films deposited at a frequency of 2 MHz and a frequency of 13.56 MHz. The y-axis represents the stress of the deposited films (MPa) and the x-axis represents the bias power (Watts). As shown in Fig. 6, in most cases, as the bias power increases, the stress of the film immediately after deposition increases.

[0083] 도 7은 본 개시내용의 하나 이상의 구현예들에 따라 형성된 다이아몬드형 탄소 층에 대한 압력의 함수로써 밀도 및 응력을 나타내는 그래프(700)이다. y-축은 증착된 막들의 밀도(g/cc) 및 응력(MPa)을 나타내고, x-축은 프로세스 압력(mTorr)을 나타낸다. 도 7에 도시된 바와 같이, 압력이 낮을수록 약간 밀도가 높아지고 응력이 낮아지며, 압력이 높을수록 압축 응력이 높아진다.[0083] Figure 7 is a graph 700 showing density and stress as a function of pressure for a diamondoid carbon layer formed in accordance with one or more embodiments of the present disclosure. The y-axis represents density (g/cc) and stress (MPa) of the deposited films, and the x-axis represents process pressure (mTorr). As shown in FIG. 7 , the lower the pressure, the slightly higher the density and the lower the stress, and the higher the pressure, the higher the compressive stress.

[0084] 극자외선("EUV") 패터닝 방식들 [0084] Extreme Ultraviolet ("EUV") Patterning Schemes

[0085] 하부 층의 선택은 극자외선("EUV") 패터닝 방식들에서 금속-함유 포토레지스트들을 사용하는 경우에 반도체 디바이스들에 있어서의 나노불량들(nanofailures)(예를 들어, 브리징 결함들(bridging defects) 및 간격 결함들)을 방지하는 데 중요하다. EUV 패터닝(리소그래피) 방식들에 대한 종래의 하부 층들은 스핀 온 카본(spin on carbon; SOC) 재료들이다. 그러나, 패터닝 동안에, 주석과 같은 금속들은, 예를 들어 SOC 재료들을 통해 확산하여 반도체 디바이스에 나노불량들을 야기한다. 그러한 나노불량들은 반도체 성능을 감소, 저하 및 저해하는 작용을 한다.[0085] The selection of the bottom layer is a critical factor in preventing nanofailures (e.g., bridging defects ( This is important to avoid bridging defects and spacing defects. Conventional lower layers for EUV patterning (lithography) schemes are spin on carbon (SOC) materials. However, during patterning, metals such as tin diffuse through SOC materials, for example, causing nanodefects in the semiconductor device. Such nanodefects act to reduce, degrade, and inhibit semiconductor performance.

[0086] 한편, 본원에 설명된 고밀도 탄소 막들은 개선된 경도 및 밀도와 같은 우수한 막 품질들을 갖는다. 그러한 경도 및 밀도는 고밀도 탄소 막이 금속 침투(metal infiltration)에 대한 보다 강력한 배리어(barrier)로서 작용하고, 종래의 SOC 막들보다 큰 정도로 나노불량들을 방지하고 최소한 감소시킬 수 있게 한다.[0086] On the other hand, the high-density carbon films described herein have excellent film qualities such as improved hardness and density. Such hardness and density allow the high-density carbon film to act as a stronger barrier to metal infiltration and to prevent or at least reduce nanodefects to a greater extent than conventional SOC films.

[0087] 일부 구현예들에서, 극자외선("EUV") 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막이 제공된다. 일부 구현예들에서, 막(및/또는 증착 직후의 막)은 하기의 특성들 중 하나 이상을 갖는다:[0087] In some implementations, a film for use as an underlying layer for an extreme ultraviolet ("EUV") lithography process is provided. In some implementations, the film (and/or the film as-deposited) has one or more of the following characteristics:

[0088] 1) 증착 직후의 막 내의 탄소 원자들의 총량을 기준으로 적어도 40, 45, 50, 55, 60, 65, 70, 75, 80, 또는 85 퍼센트인 sp3 혼성화 탄소 원자들의 양/백분율(즉, sp3 혼성화 탄소 원자 함량). 이들 또는 다른 구현예들에서, sp3 혼성화 탄소 원자들의 양/백분율은 증착 직후의 막 내의 탄소 원자들의 총량을 기준으로 최대 45, 50, 55, 60, 65, 70, 75, 80, 85, 또는 90 퍼센트이다. 이들 또는 다른 구현예들에서, sp3 혼성화 탄소 원자들의 양/백분율은 증착 직후의 막 내의 탄소 원자들의 총량을 기준으로 약 50 내지 약 90 퍼센트(예컨대, 약 60 내지 약 70 퍼센트)이다.1) Amount/percentage of sp 3 hybridized carbon atoms that is at least 40, 45, 50, 55, 60, 65, 70, 75, 80, or 85 percent based on the total amount of carbon atoms in the film as-deposited ( ie sp 3 hybridized carbon atom content). In these or other embodiments, the amount/percentage of sp 3 hybridized carbon atoms, based on the total amount of carbon atoms in the as-deposited film, is at most 45, 50, 55, 60, 65, 70, 75, 80, 85, or 90 percent. In these or other embodiments, the amount/percentage of sp 3 hybridized carbon atoms is from about 50 to about 90 percent (eg, from about 60 to about 70 percent) based on the total amount of carbon atoms in the film as-deposited.

[0089] 2) 약 5 Å 내지 약 20,000 Å(예를 들어, 약 300 Å 내지 약 5000 Å, 예컨대 약 2000 Å 내지 약 3000 Å)인 두께. 대안적으로, 약 5 Å 내지 약 200 Å인 두께.2) a thickness that is between about 5 Å and about 20,000 Å (eg, between about 300 Å and about 5000 Å, such as between about 2000 Å and about 3000 Å). Alternatively, a thickness that is between about 5 Å and about 200 Å.

[0090] 3) 2.0 초과, 예를 들어 약 2.0 내지 약 3.0, 예컨대 2.3인 굴절률 또는 n-값((633 nm에서의) n).3) a refractive index or n-value (n (at 633 nm)) greater than 2.0, for example between about 2.0 and about 3.0, such as 2.3.

[0091] 4) 0.1 초과, 예를 들어 약 0.2 내지 약 0.3, 예컨대 0.25인 흡광 계수 또는 k-값((633 nm에서의) K).4) Extinction coefficient or k-value (K (at 633 nm)) greater than 0.1, for example from about 0.2 to about 0.3, such as 0.25.

[0092] 5) 약 -300 MPa 미만, 예를 들어 약 -600 MPa 내지 약 -300 MPa, 약 -600 MPa 내지 약 -500 MPa, 예컨대 약 -550 MPa인 응력(MPa).5) Stress (MPa) that is less than about -300 MPa, eg about -600 MPa to about -300 MPa, about -600 MPa to about -500 MPa, such as about -550 MPa.

[0093] 6) 1.8 g/cc 초과, 예를 들어 약 2.0 g/cc 이상, 약 2.5 g/cc 이상, 예컨대 약 1.8 g/cc 내지 약 2.5 g/cc인 밀도(g/cc).6) Density (g/cc) greater than 1.8 g/cc, eg greater than about 2.0 g/cc, greater than about 2.5 g/cc, such as from about 1.8 g/cc to about 2.5 g/cc.

[0094] 7) 150 GPa 초과, 예를 들어 약 200 내지 약 400 GPa인 탄성 모듈러스(GPa).7) An elastic modulus (GPa) that is greater than 150 GPa, such as from about 200 to about 400 GPa.

[0095] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 본원에 설명된 임의의 막일 수 있다.[0095] In some implementations, a film for use as an underlying layer for an EUV lithography process can be any film described herein.

[0096] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 막 내의 탄소 원자들의 총량을 기준으로 40% 내지 90%의 sp3 혼성화 탄소 원자 함량; 1.8 g/cc 내지 2.5 g/cc의 밀도; 및 150 GPa 내지 400 GPa인 탄성 모듈러스를 갖는다.[0096] In some implementations, a film for use as an underlying layer for an EUV lithography process has an sp 3 hybridized carbon atom content of 40% to 90% based on the total amount of carbon atoms in the film; a density of 1.8 g/cc to 2.5 g/cc; and an elastic modulus that is between 150 GPa and 400 GPa.

[0097] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 2.0 g/cc 내지 2.2 g/cc의 밀도; 및 약 180 GPa 내지 약 200 GPa의 탄성 모듈러스를 갖는다. 일부 구현예들에서, 막은 약 2.1 g/cc의 밀도 및 약 195 GPa의 탄성 모듈러스를 갖는다.[0097] In some implementations, a film for use as an underlying layer for an EUV lithography process has a density of 2.0 g/cc to 2.2 g/cc; and an elastic modulus of about 180 GPa to about 200 GPa. In some embodiments, the membrane has a density of about 2.1 g/cc and an elastic modulus of about 195 GPa.

[0098] 일부 구현예들에서, EUV 리소그래피 프로세스를 위한 하부 층으로서 사용하기 위한 막은 -600 MPa의 응력; 2.0 내지 3.0인 굴절률; 및 0.2 내지 0.3의 흡광 계수를 갖는다.[0098] In some implementations, a film for use as an underlying layer for an EUV lithography process has a stress of -600 MPa; a refractive index between 2.0 and 3.0; and an extinction coefficient of 0.2 to 0.3.

[0099] 따라서, 반도체 디바이스들의 3 차원 적층을 제조하기 위한 계단형 구조체들을 형성하는 데 이용될 수 있는 다이아몬드형 탄소 하드마스크 층을 형성하기 위한 방법들 및 장치가 제공된다. 원하는 강인한 막 특성들 및 에칭 선택비를 갖는 다이아몬드형 하드마스크 층을 이용함으로써, 막 스택에 형성된 결과적인 구조체들의 개선된 치수 및 프로파일 제어가 얻어질 수 있고, 칩 디바이스들의 전기적 성능이 반도체 디바이스들의 3 차원 적층을 위한 응용들에서 향상될 수 있다.[0099] Accordingly, methods and apparatus are provided for forming a diamond-like carbon hardmask layer that can be used to form stepped structures for fabricating three-dimensional stacks of semiconductor devices. By using a diamond-shaped hardmask layer having the desired robust film properties and etch selectivity, improved dimensional and profile control of the resulting structures formed in the film stack can be obtained, and the electrical performance of chip devices can be improved to those of semiconductor devices. It can be improved in applications for dimensional stacking.

[00100] 요약하면, 본 개시내용의 이익들 중 일부는 기판 상에 다이아몬드형 하드마스크 막들을 증착하기 위한 프로세스를 제공하는 것이다. 전형적인 PECVD 하드마스크 막들은 매우 낮은 퍼센트의 혼성화 sp3 원자들 및 그에 따른 낮은 모듈러스 및 에칭 선택비를 갖는다. 본원에 설명된 일부 구현예들에서, 낮은 프로세스 압력들(mTorr 대 Torr) 및 하부 구동 플라즈마(bottom driven plasma)는 약 60% 이상의 혼성화 sp3 원자들을 갖는 막들의 제조를 가능하게 하여, 이전에 이용 가능한 하드마스크 막들에 비해 에칭 선택비가 개선되게 한다. 또한, 본원에 설명된 구현예들 중 일부는 낮은 기판 온도들에서 수행되며, 이는 현재 가능한 것보다 훨씬 낮은 온도들에서 다른 유전체 막들의 증착을 가능하게 하여, CVD에 의해 현재 해결될 수 없는 낮은 열적 비용을 갖는 응용들을 가능하게 한다. 추가적으로, 본원에 설명된 구현예들 중 일부는 EUV 리소그래피 프로세스를 위한 하부 층으로서 사용될 수 있다.[00100] In summary, some of the benefits of the present disclosure are to provide a process for depositing diamond-shaped hardmask films on a substrate. Typical PECVD hardmask films have a very low percentage of hybridized sp 3 atoms and thus low modulus and etch selectivity. In some implementations described herein, low process pressures (mTorr vs. Torr) and a bottom driven plasma enable the fabrication of films with about 60% or more hybridized sp 3 atoms, previously used. Allows for improved etch selectivity over possible hardmask films. Additionally, some of the implementations described herein are performed at low substrate temperatures, which allows deposition of other dielectric films at temperatures much lower than currently possible, resulting in low thermal degradation currently unsolvable by CVD. It enables applications with cost. Additionally, some of the implementations described herein can be used as an underlying layer for an EUV lithography process.

[00101] 본 개시내용의 요소들 또는 그것의 예시적인 양상들 또는 구현예(들)를 도입하는 경우, 단수 표현들은 하나 이상의 요소들이 존재한다는 것을 의미하도록 의도된다.[00101] When introducing elements of the present disclosure or example aspect or implementation(s) thereof, the singular forms are intended to mean that one or more elements are present.

[00102] 용어들 "포함하는", "구비하는" 및 "갖는"은 포괄적인 것이며, 열거된 요소들 이외의 추가 요소들이 존재할 수 있다는 것을 의미하도록 의도된다.[00102] The terms "comprising," "including," and "having" are intended to be inclusive and mean that additional elements may be present other than those listed.

[00103] 전술한 바가 본 개시내용의 구현예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있으며, 본 개시내용의 범위는 하기의 청구범위에 의해 결정된다.[00103] While the foregoing relates to embodiments of the present disclosure, other and additional embodiments of the present disclosure may be devised without departing from the basic scope of the present disclosure, the scope of which is as follows: determined by the claims.

Claims (15)

기판을 프로세싱하는 방법으로서,
정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부(processing volume) 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 상기 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지됨 ―; 및
상기 기판 상에 다이아몬드형 탄소 막(diamond-like carbon film)을 증착하기 위해 제1 RF 바이어스를 상기 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 포함하며,
상기 다이아몬드형 탄소 막은 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는,
기판을 프로세싱하는 방법.
As a method of processing a substrate,
flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a pressure of about 0.5 mTorr to about 10 Torr; and
generating a plasma at substrate level by applying a first RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate;
wherein the diamond-like carbon film has a density of about 1.8 g/cc to about 2.5 g/cc and a stress of about -600 MPa to about -300 MPa;
How to process a substrate.
제1 항에 있어서,
상기 기판 레벨에서 플라즈마를 생성하는 단계는 제2 RF 바이어스를 상기 정전 척에 인가하는 단계를 더 포함하는,
기판을 프로세싱하는 방법.
According to claim 1,
generating a plasma at the substrate level further comprises applying a second RF bias to the electrostatic chuck;
How to process a substrate.
제2 항에 있어서,
상기 제1 RF 바이어스는 약 350 KHz 내지 약 100 MHz의 주파수에서 약 10 와트 내지 약 3000 와트의 전력으로 제공되는,
기판을 프로세싱하는 방법.
According to claim 2,
The first RF bias is provided with a power of about 10 Watts to about 3000 Watts at a frequency of about 350 KHz to about 100 MHz.
How to process a substrate.
제3 항에 있어서,
상기 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2500 와트 내지 약 3000 와트의 전력으로 제공되는,
기판을 프로세싱하는 방법.
According to claim 3,
The first RF bias is provided with a power of about 2500 Watts to about 3000 Watts at a frequency of about 13.56 MHz.
How to process a substrate.
제4 항에 있어서,
상기 제2 RF 바이어스는 약 350 KHz 내지 약 100 MHz의 주파수에서 약 10 와트 내지 약 3000 와트의 전력으로 제공되는,
기판을 프로세싱하는 방법.
According to claim 4,
The second RF bias is provided with a power of about 10 Watts to about 3000 Watts at a frequency of about 350 KHz to about 100 MHz.
How to process a substrate.
제5 항에 있어서,
상기 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 1200 와트의 전력으로 제공되는,
기판을 프로세싱하는 방법.
According to claim 5,
The second RF bias is provided with a power of about 800 Watts to about 1200 Watts at a frequency of about 2 MHz.
How to process a substrate.
제1 항에 있어서,
상기 정전 척 상에 포지셔닝된 상기 기판에 척킹 전압(chucking voltage)을 인가하는 단계를 더 포함하는,
기판을 프로세싱하는 방법.
According to claim 1,
further comprising applying a chucking voltage to the substrate positioned on the electrostatic chuck.
How to process a substrate.
제1 항에 있어서,
상기 다이아몬드형 탄소 막은 약 50 퍼센트 내지 약 90 퍼센트의 sp3 혼성화 탄소 원자들을 함유하는,
기판을 프로세싱하는 방법.
According to claim 1,
wherein the diamondoid carbon film contains from about 50 percent to about 90 percent sp 3 hybridized carbon atoms;
How to process a substrate.
제1 항에 있어서,
상기 기판은 약 10 ℃ 내지 약 100 ℃의 온도로 유지되는,
기판을 프로세싱하는 방법.
According to claim 1,
The substrate is maintained at a temperature of about 10 ° C to about 100 ° C,
How to process a substrate.
제1 항에 있어서,
상기 다이아몬드형 탄소 막은 150 GPa 초과의 탄성 모듈러스(elastic modulus)를 갖는,
기판을 프로세싱하는 방법.
According to claim 1,
wherein the diamondoid carbon film has an elastic modulus greater than 150 GPa;
How to process a substrate.
제1 항에 있어서,
상기 탄화수소-함유 가스 혼합물은 C2H2, C3H6, CH4, C4H8, 1,3-디메틸아다만탄, 비시클로[2.2.1]헵타-2,5-디엔 (2,5-노르보르나딘), 아다만틴(C10H16), 노르보르넨(C7H10), 및 이들의 조합들로 구성된 그룹으로부터 선택된 탄화수소 전구체(hydrocarbon precursor)를 포함하는,
기판을 프로세싱하는 방법.
According to claim 1,
The hydrocarbon-containing gas mixture is C 2 H 2 , C 3 H 6 , CH 4 , C 4 H 8 , 1,3-dimethyladamantane, bicyclo[2.2.1]hepta-2,5-diene (2 ,5-norbornadine), adamantine (C 10 H 16 ), norbornene (C 7 H 10 ), and a hydrocarbon precursor selected from the group consisting of combinations thereof,
How to process a substrate.
제11 항에 있어서,
상기 탄화수소-함유 가스 혼합물은 He, Ar, Xe, N2, H2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 희석 가스(dilution gas)를 더 포함하는,
기판을 프로세싱하는 방법.
According to claim 11,
wherein the hydrocarbon-containing gas mixture further comprises a dilution gas selected from the group consisting of He, Ar, Xe, N 2 , H 2 , and combinations thereof;
How to process a substrate.
기판을 프로세싱하는 방법으로서,
정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 프로세싱 용적부 내로 탄화수소-함유 가스 혼합물을 유동시키는 단계 ― 상기 기판은 약 0.5 mTorr 내지 약 10 Torr의 압력으로 유지되고, 상기 탄화수소-함유 가스 혼합물은 아세틸렌(C2H2)을 포함함 ―; 및
상기 기판 상에 다이아몬드형 탄소 막을 증착하기 위해 제1 RF 바이어스 및 제2 RF 바이어스를 상기 정전 척에 인가함으로써 기판 레벨에서 플라즈마를 생성하는 단계를 포함하며,
상기 다이아몬드형 탄소 막은 약 1.8 g/cc 내지 약 2.5 g/cc의 밀도 및 약 -600 MPa 내지 약 -300 MPa의 응력을 갖는,
기판을 프로세싱하는 방법.
As a method of processing a substrate,
flowing a hydrocarbon-containing gas mixture into a processing volume of a process chamber having a substrate positioned on an electrostatic chuck, wherein the substrate is maintained at a pressure of about 0.5 mTorr to about 10 Torr, and the hydrocarbon-containing gas mixture is acetylene including (C 2 H 2 ); and
generating a plasma at the substrate level by applying a first RF bias and a second RF bias to the electrostatic chuck to deposit a diamond-like carbon film on the substrate;
wherein the diamond-like carbon film has a density of about 1.8 g/cc to about 2.5 g/cc and a stress of about -600 MPa to about -300 MPa;
How to process a substrate.
제13 항에 있어서,
상기 제1 RF 바이어스는 약 13.56 MHz의 주파수에서 약 2500 와트 내지 약 3000 와트의 전력으로 제공되고, 상기 제2 RF 바이어스는 약 2 MHz의 주파수에서 약 800 와트 내지 약 1200 와트의 전력으로 제공되는,
기판을 프로세싱하는 방법.
According to claim 13,
the first RF bias is provided with a power of about 2500 Watts to about 3000 Watts at a frequency of about 13.56 MHz and the second RF bias is provided with a power of about 800 Watts to about 1200 Watts at a frequency of about 2 MHz;
How to process a substrate.
제13 항에 있어서,
상기 다이아몬드형 탄소 막은 극자외선("EUV") 리소그래피 프로세스에서 하부 층으로서 사용되는,
기판을 프로세싱하는 방법.
According to claim 13,
wherein the diamond-like carbon film is used as a bottom layer in an extreme ultraviolet ("EUV") lithography process;
How to process a substrate.
KR1020197037346A 2017-06-08 2018-05-15 High-density low-temperature carbon films for hardmask and other patterning applications KR102505216B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217033975A KR102604084B1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762516828P 2017-06-08 2017-06-08
US62/516,828 2017-06-08
PCT/US2018/032684 WO2018226370A1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217033975A Division KR102604084B1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications

Publications (2)

Publication Number Publication Date
KR20200006129A KR20200006129A (en) 2020-01-17
KR102505216B1 true KR102505216B1 (en) 2023-02-28

Family

ID=64563698

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217033975A KR102604084B1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications
KR1020197037346A KR102505216B1 (en) 2017-06-08 2018-05-15 High-density low-temperature carbon films for hardmask and other patterning applications

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217033975A KR102604084B1 (en) 2017-06-08 2018-05-15 High-density low temperature carbon films for hardmask and other patterning applications

Country Status (6)

Country Link
US (1) US11043372B2 (en)
JP (3) JP7235683B2 (en)
KR (2) KR102604084B1 (en)
CN (3) CN110622280B (en)
TW (2) TWI785060B (en)
WO (1) WO2018226370A1 (en)

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
JP7407121B2 (en) 2018-04-09 2023-12-28 アプライド マテリアルズ インコーポレイテッド Carbon hard masks and related methods for patterning applications
KR20200139842A (en) 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 RF ground configuration for pedestal
KR20240097984A (en) 2018-05-03 2024-06-27 어플라이드 머티어리얼스, 인코포레이티드 Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI830751B (en) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 Low temperature high-quality dielectric films and method of forming the same
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN112740360A (en) 2018-10-26 2021-04-30 应用材料公司 High density carbon films for patterning applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP7509548B2 (en) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー Cyclic deposition method and apparatus for filling recesses formed in a substrate surface - Patents.com
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11587773B2 (en) * 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242799A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
TW202113121A (en) 2019-05-29 2021-04-01 美商蘭姆研究公司 High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11550222B2 (en) 2019-08-01 2023-01-10 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
WO2021080701A1 (en) * 2019-10-25 2021-04-29 Applied Materials, Inc. Method for depositing high quality pvd films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
WO2022005704A1 (en) * 2020-06-29 2022-01-06 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220006455A (en) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US11421324B2 (en) * 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US11699585B2 (en) 2020-10-21 2023-07-11 Applied Materials, Inc. Methods of forming hardmasks
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
US20230054444A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. Bipolar esc with balanced rf impedance
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230335402A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Methods of forming thermally stable carbon film

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007084919A (en) * 2005-07-29 2007-04-05 Applied Materials Inc Chemical vapor deposition chamber with dual frequency bias and method for manufacturing photomask using the same

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60261143A (en) * 1984-06-07 1985-12-24 Fujitsu Ltd Manufacture of semiconductor device
JPS6240375A (en) * 1985-08-14 1987-02-21 Kanegafuchi Chem Ind Co Ltd Hard carbon film
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH07268622A (en) 1994-03-01 1995-10-17 Applied Sci & Technol Inc Microwave plasma sticking source
JP3119172B2 (en) * 1995-09-13 2000-12-18 日新電機株式会社 Plasma CVD method and apparatus
TW422892B (en) * 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
MY132894A (en) 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
WO2000071780A1 (en) * 1999-05-19 2000-11-30 Mitsubishi Shoji Plastics Corporation Dlc film, dlc-coated plastic container, and method and apparatus for manufacturing dlc-coated plastic container
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
JP4599734B2 (en) * 2001-03-14 2010-12-15 ソニー株式会社 Method for forming polycrystalline semiconductor thin film and method for manufacturing semiconductor device
JP4686914B2 (en) 2001-06-20 2011-05-25 凸版印刷株式会社 Manufacturing method of stencil mask
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
JP2004228383A (en) * 2003-01-23 2004-08-12 Nikon Corp Exposure apparatus
JP2004246987A (en) * 2003-02-14 2004-09-02 Fujitsu Ltd Wafer structure for forming element, manufacturing method of element, magnetic recording head, and magnetic disk device
JP4653964B2 (en) * 2003-04-08 2011-03-16 株式会社栗田製作所 DLC film forming method and DLC film-formed product
JP4256763B2 (en) 2003-11-19 2009-04-22 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4946296B2 (en) 2006-03-30 2012-06-06 凸版印刷株式会社 Reflective photomask blank and manufacturing method thereof, reflective photomask, and semiconductor device manufacturing method
KR100812504B1 (en) 2006-09-05 2008-03-11 성균관대학교산학협력단 Deposition method of conductive and high hardness carbon thin film and the application as the electrodes for thin-film electroluminescent device
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US20090029067A1 (en) * 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5144562B2 (en) 2008-03-31 2013-02-13 日本碍子株式会社 DLC film mass production method
JP4755262B2 (en) 2009-01-28 2011-08-24 株式会社神戸製鋼所 Method for producing diamond-like carbon film
US8455060B2 (en) * 2009-02-19 2013-06-04 Tel Epion Inc. Method for depositing hydrogenated diamond-like carbon films using a gas cluster ion beam
WO2011006018A2 (en) * 2009-07-08 2011-01-13 Plasmasi, Inc. Apparatus and method for plasma processing
KR20110115291A (en) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc coating apparatus
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
KR20120121340A (en) 2011-04-26 2012-11-05 삼성전자주식회사 Methods of manufacturing a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
US20120276743A1 (en) * 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
CN103594495A (en) * 2012-08-16 2014-02-19 中国科学院微电子研究所 Semiconductor device and method for manufacturing the same
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
EP3007206A4 (en) * 2013-05-24 2017-03-15 Mitsui Chemicals, Inc. Pellicle and euv exposure device comprising same
US9984915B2 (en) * 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US9583358B2 (en) * 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
TWI656575B (en) 2014-09-03 2019-04-11 美商應用材料股份有限公司 Nanocrystalline diamond carbon film for 3D NAND hard mask applications
US9368721B1 (en) * 2014-11-25 2016-06-14 Intermolecular, Inc. Diamond like carbon (DLC) as a thermal sink in a selector stack for non-volatile memory application
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
TW202403083A (en) * 2018-06-19 2024-01-16 美商應用材料股份有限公司 Gapfill deposition method and diamond-like carbon gapfill material

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007084919A (en) * 2005-07-29 2007-04-05 Applied Materials Inc Chemical vapor deposition chamber with dual frequency bias and method for manufacturing photomask using the same

Also Published As

Publication number Publication date
TW202206642A (en) 2022-02-16
CN113936997A (en) 2022-01-14
JP2023134494A (en) 2023-09-27
JP7301931B2 (en) 2023-07-03
JP2022023933A (en) 2022-02-08
TWI819388B (en) 2023-10-21
TWI785060B (en) 2022-12-01
JP2020523786A (en) 2020-08-06
CN110622280B (en) 2023-11-24
WO2018226370A1 (en) 2018-12-13
CN117524848A (en) 2024-02-06
US20180358222A1 (en) 2018-12-13
JP7235683B2 (en) 2023-03-08
CN110622280A (en) 2019-12-27
US11043372B2 (en) 2021-06-22
TW201908518A (en) 2019-03-01
KR20200006129A (en) 2020-01-17
KR102604084B1 (en) 2023-11-17
KR20210129276A (en) 2021-10-27

Similar Documents

Publication Publication Date Title
KR102505216B1 (en) High-density low-temperature carbon films for hardmask and other patterning applications
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US20200266064A1 (en) Method of processing a substrate
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
KR20230027297A (en) Methods for producing high-density nitrogen-doped carbon films for hardmasks and other patterning applications

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant