KR102502237B1 - 위상 배열 안테나 모듈 및 이를 포함하는 통신 장치 - Google Patents

위상 배열 안테나 모듈 및 이를 포함하는 통신 장치 Download PDF

Info

Publication number
KR102502237B1
KR102502237B1 KR1020180075949A KR20180075949A KR102502237B1 KR 102502237 B1 KR102502237 B1 KR 102502237B1 KR 1020180075949 A KR1020180075949 A KR 1020180075949A KR 20180075949 A KR20180075949 A KR 20180075949A KR 102502237 B1 KR102502237 B1 KR 102502237B1
Authority
KR
South Korea
Prior art keywords
signal
rfic
port
circuit
antenna module
Prior art date
Application number
KR1020180075949A
Other languages
English (en)
Other versions
KR20190134435A (ko
Inventor
유현석
손상원
시우 추앙 아이반 루
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to DE102019107258.1A priority Critical patent/DE102019107258A1/de
Priority to CN201910256634.6A priority patent/CN110534870A/zh
Priority to TW108114881A priority patent/TWI811351B/zh
Priority to US16/410,078 priority patent/US10826197B2/en
Publication of KR20190134435A publication Critical patent/KR20190134435A/ko
Priority to US17/033,315 priority patent/US11605904B2/en
Application granted granted Critical
Publication of KR102502237B1 publication Critical patent/KR102502237B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/26Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture
    • H01Q3/30Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the relative phase or relative amplitude of energisation between two or more active radiating elements; varying the distribution of energy across a radiating aperture varying the relative phase between the radiating elements of an array
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/0413MIMO systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)

Abstract

안테나 모듈은, 본 개시의 예시적 실시예에 따라, 기저대역 신호를 처리하거나 생성하는 후위(back-end) RFIC(Radio Frequency Integrated Circuit)와 접속하는 제1 포트 및 제2 포트, 복수의 안테나들을 포함하고, 상이한 방향들로 편파된(polarized) 제1 RF 신호 및 제2 RF 신호를 통신하는 위상 배열(phased array), 제1 RF 신호를 처리하거나 생성하는 제1 RF 회로 및 제2 RF 신호를 처리하거나 생성하는 제2 RF 회로를 포함하는 전위(front-end) RFIC, 및 제1 RF 회로 및 제2 RF 회로 각각을 제어 신호에 따라 제1 포트 또는 제2 포트에 접속시키는 스위치 회로를 포함할 수 있다.

Description

위상 배열 안테나 모듈 및 이를 포함하는 통신 장치{PHASED ARRAY ANTENNA MODULE AND COMMUNICATION DEVICE INCLUDING THE SAME}
본 개시의 기술적 사상은 무선 통신에 관한 것으로서, 구체적으로 위상 배열 안테나 모듈 및 이를 포함하는 통신 장치에 관한 것이다.
무선 통신 장치는 높은 전송량(throughput)을 위하여 MINO(Multiple-Input and Multiple-Output)를 지원할 수 있고, 복수의 안테나들을 포함하는 안테나 모듈을 포함할 수 있다. 또한, 밀리미터파(mmWave)와 같이 강한 직진성을 가지는 높은 주파수 대역을 지원하기 위하여, 일부 안테나 모듈을 통한 신호의 통신이 장애물 또는 무선 통신 장치의 방향에 의해서 방해되더라도 다른 안테나 모듈을 통해서 신호의 통신이 가능하도록, 무선 통신 장치는 상호 이격된 복수의 안테나 모듈들을 포함할 수 있다. 이에 따라 복수의 안테나 모듈들을 통해서 수신되는 신호들 및 복수의 안테나 모듈들을 통해서 송신되는 신호들을 효율적으로 처리하기 위한 구조가 요구된다.
본 개시의 기술적 사상은 상호 이격된 복수의 안테나 모듈들을 통해서 송신되거나 수신되는 신호들을 효율적으로 처리하기 위한 안테나 모듈 및 이를 포함하는 통신 장치를 제공한다.
상기와 같은 목적을 달성하기 위하여, 본 개시의 기술적 사상의 일측면에 따른 안테나 모듈은, 기저대역 신호를 처리하거나 생성하는 후위(back-end) RFIC(Radio Frequency Integrated Circuit)와 접속하는 제1 포트 및 제2 포트, 복수의 안테나들을 포함하고, 상이한 방향들로 편파된(polarized) 제1 RF 신호 및 제2 RF 신호를 통신하는 위상 배열(phased array), 제1 RF 신호를 처리하거나 생성하는 제1 RF 회로 및 제2 RF 신호를 처리하거나 생성하는 제2 RF 회로를 포함하는 전위(front-end) RFIC, 및 제1 RF 회로 및 제2 RF 회로 각각을 제어 신호에 따라 제1 포트 또는 제2 포트에 접속시키는 스위치 회로를 포함할 수 있다.
본 개시의 기술적 사상의 일측면에 따른 통신 장치는, 제1 라인 및 제2 라인, 기저대역 신호를 처리하거나 생성하는 후위(back-end) RFIC(Radio Frequency Integrated Circuit), 및 제1 라인 및 제2 라인을 통해서 후위 RFIC와 접속되고, 상이한 방향들로 편파된(polarized) 제1 RF 신호 및 제2 RF 신호를 통신하는 위상 배열을 포함하는 제1 안테나 모듈을 포함할 수 있고, 제1 안테나 모듈은, 제1 RF 신호에 대응하는 제1 내부 신호 및 제2 RF 신호에 대응하는 제2 내부 신호 각각이 제어 신호에 따라 제1 라인 또는 제2 라인을 통과하도록, 후위 RFIC와 통신할 수 있다.
본 개시의 기술적 사상의 일측면에 따른 통신 장치는, 기저대역 신호를 처리하거나 생성하는 후위(back-end) RFIC(Radio Frequency Integrated Circuit), 및 상이한 방향들로 편파된 제1 RF 신호 및 제2 RF 신호를 통신하는 위상 배열을 각각 포함하는, 제1, 제2 및 제3 안테나 모듈을 포함할 수 있고, 후위 RFIC는 제1, 제2, 제3 및 제4 4-웨이(4-way) 스위치를 포함할 수 있고, 제1 안테나 모듈은, 제1 4-웨이 스위치의 제2 포트 및 제2 4-웨이 스위치의 제1 포트에 각각 접속될 수 있고, 제2 안테나 모듈은, 제2 4-웨이 스위치의 제2 포트 및 제3 4-웨이 스위치의 제1 포트에 각각 접속될 수 있고, 제3 안테나 모듈은, 제3 4-웨이 스위치의 제2 포트 및 제4 4-웨이 스위치의 제2 포트에 각각 접속될 수 있다.
본 개시의 예시적 실시예에 따른 안테나 모듈 및 통신 장치에 의하면, 복수의 안테나 모듈들을 위한 접속들의 개수를 감소시킴으로써 복수의 안테나 모듈들을 포함하는 통신 장치는 단순한 구조를 가질 수 있다.
또한, 본 개시의 예시적 실시예에 따른 안테나 모듈 및 통신 장치에 의하면, 통신 장치는 증가된 개수의 MIMO 스트림들을 지원할 수 있고, 이에 따라 높은 전송량이 달성될 수 있다.
본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.
본 명세서에 첨부된 도면들은 도해의 편의를 위하여 스케일에 맞지 아니할 수 있고, 구성요소들을 과장하거나 축소하여 도시할 수 있다.
도 1은 본 개시의 예시적 실시예에 따른 통신 장치를 포함하는 무선 통신 시스템을 나타내는 블록도이다.
도 2는 본 개시의 예시적 실시예에 따른 안테나 모듈을 나타내는 사시도이다.
도 3은 본 개시의 예시적 실시예에 따른 안테나 모듈의 일부를 나타내는 단면도이다.
도 4는 본 개시의 예시적 실시예에 따른 안테나 모듈 및 후위 RFIC를 나타내는 블록도이다.
도 5a 및 도 5b는 본 개시의 예시적 실시예들에 따라 도 4의 스위치 회로의 동작의 예시들을 나타내는 도면들이다.
도 6a 및 도 6b는 본 개시의 예시적 실시예들에 따라 전위 RFIC에 포함된 RF 회로의 예시들을 나타내는 블록도들이다.
도 7a 및 도 7b는 본 개시의 예시적 실시예들에 다른 후위 RFIC 및 데이터 프로세서의 예시들을 나타내는 블록도들이다.
도 8은 본 개시의 예시적 실시예에 따른 후위 RFIC 및 안테나 모듈들을 나타내는 블록도이다.
도 9는 본 개시의 예시적 실시예에 따른 통신 장치를 나타내는 블록도이다.
도 10은 본 개시의 예시적 실시예에 따른 통신 장치의 동작 방법을 나타내는 순서도이다.
도 11은 본 개시의 예시적 실시예에 따른 안테나 모듈을 포함하는 통신 장치의 예시들을 나타내는 블록도이다.
도 1은 본 개시의 예시적 실시예에 따른 통신 장치를 포함하는 무선 통신 시스템(5)을 나타내는 블록도이다. 무선 통신 시스템(5)은, 비제한 적인 예시로서 5G(5th generation wireless) 시스템, LTE(Long Term Evolution) 시스템, LTE-Advanced 시스템, CDMA(Code Division Multiple Access) 시스템, GSM(Global System for Mobile Communications) 시스템 등과 같은 셀룰러 네트워크(cellular network)를 이용하는 무선 통신 시스템일 수도 있고, WLAN(Wireless Local Area Network) 시스템 또는 다른 임의의 무선 통신 시스템일 수 있다. 이하에서, 무선 통신 시스템(5)은 셀룰러 네트워크를 이용하는 무선 통신 시스템을 주로 참조하여 설명될 것이나 본 개시의 예시적 실시예들이 이에 제한되지 아니하는 점은 이해될 것이다. 도 1에 도시된 바와 같이, 무선 통신 시스템(5)에서 무선 통신 장치들(3, 100)이 상호 통신할 수 있고, 본 명세서에서 무선 통신 장치들(3, 100)은 통신 장치들로서 지칭될 수도 있다.
기지국(Base Station; BS)(3)은 일반적으로 사용자 기기 및/또는 다른 기지국과 통신하는 고정된 지점(fixed station)을 지칭할 수 있고, 사용자 기기 및/또는 타 기지국과 통신함으로써 데이터 및 제어정보를 교환할 수 있다. 예를 들면, 기지국(3)은 Node B, eNB(evolved-Node B), 섹터(Sector), 싸이트(Site), BTS(Base Transceiver System), AP(Access Pint), 릴레이 노드(Relay Node), RRH(Remote Radio Head), RU(Radio Unit), 스몰 셀(small cell) 등으로 지칭될 수도 있다. 본 명세서에서, 기지국(3) 또는 셀은 CDMA에서의 BSC(Base Station Controller), WCDMA의 Node-B, LTE에서의 eNB 또는 섹터(싸이트) 등이 커버하는 일부 영역 또는 기능을 나타내는 포괄적인 의미로 해석될 수 있고, 메가셀, 매크로셀, 마이크로셀, 피코셀, 펨토셀 및 릴레이 노드, RRH, RU, 스몰 셀 통신범위 등 다양한 커버리지 영역을 모두 포괄할 수 있다.
사용자 기기(User Equipment; UE)(100)는 고정되거나 이동성을 가질 수 있고, 기지국(3)과 통신하여 데이터 및/또는 제어정보를 송수신할 수 있는 임의의 기기들을 지칭할 수 있다. 예를 들면, 사용자 기기(100)는 단말 기기(terminal equipment), MS(Mobile Station), MT(Mobile Terminal), UT(User Terminal), SS(Subscribe Station), 무선 장치(wireless device), 휴대 장치(handheld device) 등으로 지칭될 수 있다.
사용자 기기(100) 및 기지국(3) 사이 무선 통신 네트워크는 가용 네트워크 자원들을 공유함으로써 다수의 사용자들이 통신하는 것을 지원할 수 있다. 예를 들면, 무선 통신 네트워크에서 CDMA(Code Division Multiple Access), FDMA(Frequency Division Multiple Access), TDMA(Time Division Multiple Access), OFDMA(Orthogonal Frequency Division Multiple Access), SC-FDMA(Single Carrier Frequency Division Multiple Access), OFDM-FDMA, OFDM-TDMA, OFDM-CDMA 등과 같은 다양한 다중 접속 방식으로 정보가 전달할 수 있다. 도 1에 도시된 바와 같이, 사용자 기기(100) 및 기지국(3)은 상향링크(UL) 및 하향링크(DL)를 통해서 상호 통신할 수 있다. 일부 실시예들에서, D2D(Device-to-Device)와 같이, 사이드 링크(sidelink)를 통해서 사용자 기기들이 상호 통신할 수도 있다.
도 1에 도시된 바와 같이, 사용자 기기(100)는 복수의 안테나 모듈들(110, 120, 130, 140) 및 후위(back-end) RFIC(Radio Frequency Integrated Circuit)(150) 및 데이터 프로세서(160)를 포함할 수 있다. 복수의 안테나 모듈들(110, 120, 130, 140)은 후위 RFIC(150)와 통신할 수 있고, 후위 RFIC(150)는 데이터 프로세서(160)와 통신할 수 있다. 비록 도 1의 예시에서 4개의 안테나 모듈들(110, 120, 130, 140)을 포함하는 사용자 기기(100)가 도시되었으나, 일부 실시예들에서 사용자 기기(100)는 4개 미만 혹은 5개 이상의 안테나 모듈들을 포함할 수도 있는 점이 유의된다.
밀리미터파(mmWave)와 같이 높은 주파수 대역에서 짧은 파장의 신호는 강한 직진성을 가질 수 있고, 이에 따라 장애물에 의해서 감쇠되기 쉬울 수 있고 안테나의 방향에 따라 감소된 수신율을 제공할 수 있다. 사용자 기기(100)는 사용자의 신체와 같은 장애물에 의해서 일부 안테나 모듈을 통한 신호의 송수신이 차단되되거나 사용자 기기(100)의 방향에도 불구하고 기지국(3)과의 통신이 가능하도록, 복수의 안테나 모듈들(110, 120, 130, 140)을 포함할 수 있다. 도 1에 도시된 바와 같이, 사용자 기기(100)에 포함된 복수의 안테나 모듈들(110, 120, 130, 140)은 상호 이격되어 배치될 수 있고, 일부 실시예들에서, 복수의 안테나 모듈들(110, 120, 130, 140)은 사용자 기기(100)의 가장자리에서 상호 이격되어 배치될 수 있다.
복수의 안테나 모듈들(110, 120, 130, 140) 각각은 위상 배열(phased array)을 포함할 수 있다. 예를 들면, 제1 안테나 모듈(110)은 위상 배열(111)을 포함할 수 있고, 위상 배열(111)은 복수의 안테나들을 포함할 수 있다. 위상 배열(111)의 복수의 안테나들은, 일부 실시예들에서 빔(beam)을 형성하는데 사용될 수도 있고, 일부 실시예들에서 MIMO(Multi-Input and Multi-Output)을 위해 사용될 수도 있다. 또한, 일부 실시예들에서, 위상 배열(111)은 미리 정해진 방향으로 편파된(polarized) 신호를 송신하거나 수신하도록 구성된 안테나를 포함할 수도 있고, 2이상의 상이한 방향들로 편파된 신호들을 동시에 송신하거나 수신하도록 구성된 안테나를 포함할 수도 있다.
복수의 안테나 모듈들(110, 120, 130, 140) 각각은 전위(front-end) RFIC(112)를 포함할 수 있다. 예를 들면, 제1 안테나 모듈(110)은 전위 RFIC(112)를 포함할 수 있고, 전위 RFIC(112)는 위상 배열(111)의 복수의 안테나들과 연결될(coupled) 수 있다. 전위 RFIC(112)는, 수신 모드에서 위상 배열(111)로부터 수신된 신호들을 처리함으로써 생성된 신호를 후위 RFIC(150)에 제공할 수 있고, 송신 모드에서 후위 RFIC(150)로부터 수신된 신호를 처리함으로써 생성된 신호를 위상 배열(111)에 제공할 수 있다.
후위 RFIC(150)는 기저대역(baseband) 신호를 처리하거나 생성할 수 있다. 예를 들면, 후위 RFIC(150)는 데이터 프로세서(160)로부터 기저대역 신호를 수신할 수 있고, 기저대역 신호를 처리함으로써 생성된 신호를 복수의 안테나 모듈들(110, 120, 130, 140) 중 적어도 하나에 제공할 수 있다. 또한, 후위 RFIC(150)는 복수의 안테나 모듈들(110, 120, 130, 140) 중 적어도 하나로부터 수신된 신호를 처리함으로써 생성된 기저대역 신호를 데이터 프로세서(160)에 제공할 수 있다.
데이터 프로세서(160)는 기지국(3)에 송신할 데이터로부터 기저대역 신호를 생성하여 후위 RFIC(150)에 제공할 수도 있고, 기지국(3)으로부터 수신된 데이터를 후위 RFIC(150)로부터 수신된 기저대역 신호로부터 추출할 수 있다. 예를 들면, 데이터 프로세서(160)는 적어도 하나의 디지털-아날로그 컨버터(Digital-to-Analog Converter; DAC)를 포함할 수 있고, 적어도 하나의 디지털-아날로그 컨버터(DAC)는 기지국(3)에 송신할 데이터로부터 변조된 디지털 데이터를 변환함으로써 기저대역 신호를 출력할 수 있다. 또한, 데이터 프로세서(160)는 적어도 하나의 아날로그-디지털 컨버터(Analog-to Digital Converter; ADC)를 포함할 수 있고, 적어도 하나의 아날로그-디지털 컨버터(ADC)는 기저대역 신호를 변환함으로써 디지털 데이터를 출력할 수 있다. 일부 실시예들에서, 데이터 프로세서(160)는 일련의 명령어들을 실행하는 적어도 하나의 코어를 포함할 수 있고, 모뎀으로 지칭될 수도 있다.
전술된 바와 같이, 하나의 안테나 모듈에 포함된 위상 배열(예컨대, 111)이 복수의 신호들에 대응할 수 있고 사용자 기기(100)는 복수의 안테나 모듈들(110, 120, 130, 140)을 포함할 수 있으므로, 복수의 안테나 모듈들(110, 120, 130, 140)과 후위 RFIC(150) 사이 증가된 개수의 접속(connection)들이 요구될 수 있다. 만약 증가된 개수의 접속들에 기인하여 후위 RFIC(150)가 증가된 개수의 핀들을 가지는 경우, 후위 RFIC(150)의 크기가 증가할 뿐만 아니라 후위 RFIC(150)는 접속들에 대응하는 증가된 개수의 구성요소들을 포함할 수 있다. 또한, 복수의 안테나 모듈들(110, 120, 130, 140) 및 후위 RFIC(150) 사이 신호 라인들의 개수가 증가하는 경우, 사용자 기기(100)의 구조가 복잡해질 뿐만 아니라 신호 라인들의 배치를 위한 공간에 기인하여 사용자 기기(100)의 공간 효율성이 악회될 수 있고, 사용자 기기(100)의 소형화가 제한될 수 있다.
복수의 안테나 모듈들(110, 120, 130, 140)의 위상 배열들에 대응하는 복수의 신호들 중 일부가 기지국(3)과의 통신에 사용될 수 있다. 예를 들면, 복수의 안테나 모듈들(110, 120, 130, 140) 중 장애물 및/또는 사용자 기기(100)의 방향에 기인하여 양호하지 아니한 통신을 제공하는 안테나 모듈은 기지국(3)과의 통신에서 배제될 수 있다. 또한, 특정 방향으로 편파된 신호를 통한 통신이 양호하지 아니한 경우, 해당 신호의 송신 및/또는 수신이 배제될 수 있다. 이하에서 도면들을 참조하여 후술되는 바와 같이, 복수의 안테나 모듈들(110, 120, 130, 140)에 대응하는 복수의 신호들 각각을 누락하지 아니하면서도 통신에 필요한 신호들을 처리할 수 있도록, 사용자 기기(100)에서 복수의 안테나 모듈들(110, 120, 130, 140) 및 후위 RFIC(150)가 상호연결될 수 있다. 이에 따라, 사용자 기기(100)에서 복수의 안테나 모듈들(110, 120, 130, 140) 및 후위 RFIC(150) 사이 접속들의 개수가 감소할 수 있고, 사용자 기기(100)는 단순한 구조를 가질 수 있다. 또한, 주어진 개수의 접속들에 있어서 사용자 기기(100)는 증가된 개수의 MIMO 스트림들을 지원할 수 있고, 결과적으로 사용자 기기(100)는 높은 전송량을 제공할 수 있다. 이하에서 본 개시의 예시적 실시예들은, 통신 장치의 예시로서 사용자 기기(100)를 주로 참조하여 설명될 것이나, 기지국(3)과 같이 사용자 기기(100)와 상이한 통신 장치에도 본 개시의 예시적 실시예들이 적용될 수 있는 점은 이해될 것이다.
도 2는 본 개시의 예시적 실시예에 따른 안테나 모듈을 나타내는 사시도이고, 도 3은 본 개시의 예시적 실시예에 따른 안테나 모듈의 일부를 나타내는 단면도이다. 구체적으로, 도 3은 도 2의 안테나 모듈(200)을 Y축에 수직한 평면으로 자른 단면을 나타낸다. 본 명세서에서, 상호 직교하는 X축 방향 및 Y축 방향은 제1 수평 방향 및 제2 수평 방향으로 각각 지칭될 수 있고, X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있다. 또한, 수평면에 수직한 방향, 즉 Z축 방향은 수직 방향으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z축 방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 -Z축 방향으로 배치된 구성요소는 다른 구성요소보다 아래에 있는 것으로 지칭될 수 있다. 또한, 구성요소의 표면들 중, +Z축 방향의 표면은 구성요소의 상면으로 지칭될 수 있고, -Z축 방향의 표면은 구성요소의 하면으로 지칭될 수 있다. 도 2 및 도 3에서 제시된 안테나 모듈은 예시일 뿐이며, 도 2 및 도 3에 도시된 바와 상이한 구조의 안테나 모듈에도 본 개시의 예시적 실시예들이 적용될 수 있는 점은 이해될 것이다.
안테나 모듈(200)은, 도 1을 참조하여 전술된 바와 같이, 복수의 안테나들을 포함하는 위상 배열(220) 및 전위 RFIC(210)를 포함할 수 있다. 일부 실시예들에서, 안테나 모듈(200)은 반도체 공정에 의해서 제조될 수 있고, 도 2에 도시된 바와 같이, 위상 배열(220)은 전위 RFIC(210) 상에 배치될 수 있다. 밀리미터파(mmWave)와 같은 높은 주파수 대역에서는 대부분의 손실(loss) 파라미터들이 악화될 수 있으므로, 낮은 주파수 대역, 예컨대 6GHz 미만의 대역에서 사용되는 안테나 모듈의 레이아웃을 그대로 채용하는 것이 용이하지 아니할 수 있다. 특히, 안테나에 신호를 공급하거나 안테나로부터 신호를 추출하는 급전 라인에 의한 신호 감쇠를 감소시키기 위하여, 도 2에 도시된 바와 같이, 위상 배열(220) 및 전위 RFIC(210)가 인접하게 배치될 수 있다. 도 2에 도시된 바와 같이, 전위 RFIC(210) 상에 안테나들, 즉 위상 배열(220)이 배치되는 구조는 시스템-인-패키지(System-in-Package; SiP) 구조로서 지칭될 수 있다.
도 2를 참조하면, 위상 배열(220)은 패치(patch)들(예컨대, 222) 및 다이폴(dipole) 안테나들(예컨대, 221)을 포함할 수 있다. 예를 들면, 패치(또는 패치 안테나)(222)는 +Z축 방향으로 전자기파의 방사를 제공하거나 -Z축 방향의 전자기파를 흡수할 수 있는 한편, 다이폴 안테나(221)는 위상 배열(220)의 커버리지를 확장할 수 있다. 도 2에 도시된 패치 안테나들 및 다이폴 안테나의 배치는 예시에 불과하며, 도 2에 도시된 바와 상이하게 안테나들이 배치될 수 있는 점이 유의된다.
도 3을 참조하면, 위상 배열(220)은 상호 평행하게 Z축 방향으로 이격되어 배치된 상위 패치(top patch)(223) 및 하위 패치(bottom patch)(222)를 포함할 수 있고, +Z축 방향으로 전자기파의 방사를 제공할 수 있다. 상위 패치(223) 및 하위 패치(222)는 금속과 같은 전도성 물질로 구성될 수 있고, 도 2에 도시된 바와 같이 직사각형의 형상을 가질 수 있고, 원형이나 기타 다른 형상을 가질 수도 있다. 도 3에 도시된 바와 같이, 위상 배열(220)은 하위 패치(222) 아래에 접지판(224)을 더 포함할 수 있고, 일부 실시예들에서 상위 패치(223)는 생략될 수 있다. 또한, 위상 배열(220)은 급전 라인(225) 및 복수의 매립(buried) 비아들(226)을 포함할 수 있다. 급전 라인(225)은 하위 패치(222)와 연결될 수 있는 한편, 복수의 매립 비아들(226)은 정전위가 인가되도록 구성될 수 있고, 예컨대 도 3에 도시된 바와 같이 접지판(224)과 연결될 수 있다.
위상 배열(220)의 하면에 전위 RFIC(210)가 실장될 수 있고, 전위 RFIC(210)는 급전 라인(225)을 통해서 하위 패치(222)와 전기적으로 연결될 수 있다. 일부 실시예들에서, 위상 배열(220) 및 전위 RFIC(210)는 C4(controlled collapse chip connection)를 통해서 연결될 수 있다. 도 2 및 도 3에 도시된 안테나 모듈(200)의 구조는 예시에 불과하며, 일부 실시예들에서 도 2 또는 도 3과 상이한 구조를 가지는 안테나 모듈이 가능한 점은 이해될 것이다.
도 4는 본 개시의 예시적 실시예에 따른 안테나 모듈(400) 및 후위 RFIC(300)를 나타내는 블록도이다. 도 4에 도시된 바와 같이, 안테나 모듈(400) 및 후위 RFIC(300)는 제1 라인(301) 및 제2 라인(302)을 통해서 통신할 수 있고, 일부 실시예들에서 제1 라인(301) 및 제2 라인(302) 각각은 차동(differential) 신호를 전송하기 차동 라인일 수 있다.
안테나 모듈(400)은 제1 라인(301)과 연결된 제1 포트(441) 및 제2 라인(302)과 연결된 제2 포트(442)를 포함할 수 있다. 제1 포트(441) 및 제1 라인(301)을 통해서 전송되는 신호는 제1 내부 신호(INT1)로서 지칭될 수 있고, 제2 포트(442) 및 제2 라인(302)을 통해서 전송되는 신호는 제2 내부 신호(INT2)로서 지칭될 수 있다. 일부 실시예들에서, 제1 내부 신호(INT1) 및 제2 내부 신호(INT2) 각각은 차동 신호일 수 있고, 제1 포트(441) 및 제2 포트(442) 각각 역시 차동 신호를 위한 차동 포트일 수 있다. 안테나 모듈(400)은, 도 1을 참조하여 전술된 바와 같이, 위상 배열(410) 및 전위 RFIC(420)를 포함할 수 있고, 스위치 회로(430)를 더 포함할 수 있다. 일부 실시예들에서, 안테나 모듈(400)이 도 2 및 도 3을 참조하여 전술된 바와 같이 SiP 구조를 가지는 경우, 스위치 회로(430)는 도 2의 전위 RFIC(210)와 함께 위상 배열(220) 아래에 배치될 수 있다. 본 명세서에서, 스위치 회로(430)는 스위치로서 지칭될 수도 있다. 또한, 안테나 모듈(400)은 제1 포트(441) 및 제2 포트(442)를 포함할 수 있고, 제1 포트(441) 및 제2 포트(442)를 통해서 후위 RFIC(300)와 접속될 수 있다.
위상 배열(410)은 복수의 안테나들을 포함할 수 있고, 제1 방향으로 편파된 제1 RF 신호(RF1) 및 제2 방향으로 편파된 제2 RF 신호(RF2)를 통신할 수 있다. 본 명세서에서, 제1 방향은 수평 방향으로 지칭될 수 있고, 제1 RF 신호(RF1)는 수평파로서 지칭될 수 있다. 또한, 본 명세서에서, 제2 방향은 수직 방향으로 지칭될 수 있고, 제2 RF 신호(RF2)는 수직파로서 지칭될 수 있다. 제1 RF 신호(RF1) 및 제2 RF 신호(RF2) 각각은 반송파(carrier)에 의해서 RF 대역에 있을 수 있다.
전위 RFIC(420)는 제1 RF 회로(421) 및 제2 RF 회로(422)를 포함할 수 있다. 제1 RF 회로(421)는 수신 모드에서 위상 배열(410)로부터 수신된 제1 RF 신호(RF1)를 처리함으로써 제1 전위 신호(FE1)를 생성할 수 있는 한편, 송신 모드에서 스위치 회로(430)로부터 수신된 제2 전위 신호(FE2)를 처리함으로써 제1 RF 신호(RF1)를 생성할 수 있다. 유사하게, 제2 RF 회로(422)는 수신 모드에서 위상 배열(410)로부터 수신된 제2 RF 신호(RF2)를 처리함으로써 제2 전위 신호(FE2)를 생성할 수 있는 한편, 송신 모드에서 스위치 회로(430)로부터 수신된 제2 전위 신호(FE2)를 처리함으로써 제2 RF 신호(RF2)를 생성할 수 있다. 전위 RFIC(420)의 예시는 도 6a 및 도 6b를 참조하여 후술될 것이다.
스위치 회로(430)는 제어 신호에 따라 제1 RF 회로(421) 및 제2 RF 회로(422) 각각을 제1 포트(441) 또는 제2 포트(442)에 접속시킬 수 있다. 일부 실시예들에서, 스위치 회로(430)는 제1 RF 회로(421) 및 제2 RF 회로(422)를 제어 신호에 따라 제1 포트(441) 및 제2 포트(442)에 상호 배타적으로 접속시킬 수 있다. 예를 들면, 스위치 회로(430)는 4-웨이 스위치를 포함할 수 있다. 이에 따라, 제1 RF 회로(421)에 제공되거나 제1 RF 회로(421)로부터 출력되는 제1 전위 신호(FE1)는 제1 포트(441) 또는 제2 포트(442)를 통과할 수 있는 한편, 제2 RF 회로(422)에 제공되거나 제2 RF 회로(422)로부터 출력되는 제2 전위 신호(FE2)는 제2 포트(442) 또는 제1 포트(441)를 통과할 수 있다. 스위치 회로(430)의 동작의 예시들은 도 5a 및 도 5b를 참조하여 후술될 것이다. 일부 실시예들에서, 4-웨이 스위치는 계층적으로 연결된 복수의 2-웨이 스위치들을 포함할 수 있다.
전술된 바와 같이, 특정 방향으로 편파된 신호가 안테나 모듈(400) 및 후위 RFIC(300)과 연결된 라인들 중 미리 정해진 라인을 통해서 전송되는 대신, 제어 신호에 따라 상이한 라인을 통해서 전송될 수 있고, 이에 따라 안테나 모듈(400) 및 후위 RFIC(300)는 제한된 개수의 라인들을 통해서 효율적으로 상호 통신할 수 있다. 스위치 회로(430)는 복수의 트랜지스터들을 포함할 수 있고, 제어 신호에 따라 신호의 경로를 가변시키는 임의의 구조를 가질 수 있다. 도 9를 참조하여 후술되는 바와 같이, 스위치 회로(430)를 제어하기 위한 제어 신호는 데이터 프로세서(예컨대, 도 1의 160)에 의해서 제공될 수 있다.
도 4의 예시에서, 2개의 상이한 방향들로 각각 편파된 제1 RF 신호(RF1) 및 제2 RF 신호(RF2)를 처리하는 안테나 모듈(400) 및 후위 RFIC(300)가 도시되었으나, 일부 실시예들에서 안테나 모듈(400) 및 후위 RFIC(300)는 3개 이상의 상이한 방향들로 각각 편파된 RF 신호들을 처리할 수도 있다. 예를 들면, 3개의 상이한 방향들로 각각 편파된 RF 신호들을 처리하기 위하여, 전위 RFIC(420)는 상호 독립적인 3개의 RF 회로들을 포함할 수 있고, 스위치 회로(430)는 3개의 RF 회로들 각각을 제어 신호에 따라, 후위 RFIC(300)외 접속되는 3개의 포트들 중 하나에 접속시킬 수 있다.
도 5a 및 도 5b는 본 개시의 예시적 실시예들에 따라 도 4의 스위치 회로(430)의 동작의 예시들을 나타내는 도면들이다. 구체적으로, 도 5a 및 도 5b는 제어 신호에 따라 스위치 회로(430)를 통과하는 신호들을 나타내는 도면들이다. 도 4를 참조하여 전술된 바와 같이, 스위치 회로(430)는 제1 RF 회로(421) 및 제2 RF 회로(422) 각각을 제어 신호에 따라 제1 포트(441) 또는 제2 포트(442)에 접속시킬 수 있다. 이하에서, 도 5a 및 도 5b는 도 4를 참조하여 설명될 것이다.
일부 실시예들에서, 스위치 회로(430)는 제1 RF 회로(421) 및 제2 RF 회로(422)를 제어 신호에 따라 제1 포트(441) 및 제2 포트(442)에 상호 배타적으로 접속시킬 수 있다. 도 5a를 참조하면, 스위치 회로(430a)는 제어 신호에 따라, 제1 전위 신호(FE1) 및 제1 내부 신호(INT1)가 대응하고 제2 전위 신호(FE2) 및 제2 내부 신호(INT2)가 대응하도록, 신호들의 경로들을 형성할 수 있다. 이에 따라, 제1 RF 회로(421)는 제1 포트(441)에 접속될 수 있는 한편, 제2 RF 회로(422)는 제2 포트(442)에 접속될 수 있다. 다른 한편으로, 도 5b를 참조하면, 스위치 회로(430b)는 제어 신호에 따라, 제1 전위 신호(FE1) 및 제2 내부 신호(INT2)가 대응하고 제2 전위 신호(FE2) 및 제1 내부 신호(INT1)가 대응하도록, 신호들의 경로들을 형성할 수 있다. 이에 따라, 스위치 회로(430)에 의해서, 제1 전위 신호(FE1)는 제1 내부 신호(INT1)에 대응될 수도 있고, 제2 내부 신호(INT2)에 대응될 수도 있다. 유사하게, 스위치 회로(430)에 의해서, 제2 전위 신호(FE2)는 제2 내부 신호(INT2)에 대응될 수도 있고, 제1 내부 신호(INT1)에 대응될 수도 있다.
도 6a 및 도 6b는 본 개시의 예시적 실시예들에 따라 전위 RFIC에 포함된 RF 회로의 예시들을 나타내는 블록도들이다. 구체적으로, 도 6a 및 도 6b는 제1 방향으로 편파된 제1 RF 신호(RF1)를 처리하거나 생성하는, 도 4의 제1 RF 회로(421)의 예시들을 나타낸다. 일부 실시예들에서, 제2 방향으로 편파된 제2 RF 신호(RF2)를 처리하거나 생성하는, 도 4의 제2 RF 회로(422) 역시 도 6a 및 도 6b에 도시된 구조들과 유사한 구조를 가질 수 있다. 도 6a 및 도 6b의 예시들에서, 제1 RF 회로들(600a, 600b)은 위상 배열에 포함된 4개의 안테나들과 통신할 수 있고, 이에 따라 제1 RF 신호(RF1)는 제1 방향으로 편파된 4개의 RF 신호들(RF11, RF12, RF13, RF14)을 포함할 수 있다. 이하에서, 도 6a 및 도 6b는 도 4를 참조하여 설명될 것이고, 도 6a 및 도 6b에 대한 설명 중 중복되는 내용은 생략될 것이다.
도 6a를 참조하면, 제1 RF 회로(600a)는 4개의 전위 RF 회로들(610a, 620a, 630a, 640a), 버퍼들(650a, 660a) 및 스위치(670a)를 포함할 수 있다. 4개의 전위 RF 회로들(610a, 620a, 630a, 640a)은 4개의 RF 신호들(RF11, RF12, RF13, RF14)을 각각 처리하거나 생성할 수 있고, 버퍼들(650a, 660a)에 연결될 수 있다. 일부 실시예들에서, 4개의 전위 RF 회로들(610a, 620a, 630a, 640a)은 동일한 구조를 가질 수 있고, 이하에서 제1 전위 RF 회로(610a)가 도 6a를 참조하여 설명될 것이다.
도 6a에 도시된 바와 같이, 제1 전위 RF 회로(610a)는 제1 RF 신호(RF1) 중 하나의 RF 신호(RF11)를 전송하거나 수신할 수 있고, 스위치(611a), 저잡음 증폭기(Low Noise Amplifier; LNA)(612a), RX 위상 천이기(phase shifter)(613a), 전력 증폭기(Power Amplifier; PA)(615a) 및 TX 위상 천이기(616a)를 포함할 수 있다. 비록 도시되지 아니하였으나, 일부 실시예들에서 제1 전위 RF 회로(610a)는 적어도 하나의 필터를 더 포함할 수도 있다.
스위치(611a)는 수신 모드에서 RF 신호(RF11)를 저잡음 증폭기(612a)에 제공할 수 있는 한편, 송신 모드에서 전력 증폭기(615a)의 출력 신호를 RF 신호(RF11)로서 출력할 수 있다. 도 6a는 송신 모드에서 스위치(611a)의 예시를 나타내고, 일부 실시예들에서, 스위치(611)는 SPDT(Single Pole Double Throw) 구조를 가질 수 있다. 수신 모드에서 저잡음 증폭기(612a)는 스위치(611)로부터 수신된 RF 신호(RF11)를 증폭할 수 있고, RX 위상 천이기(613a)는 저잡음 증폭기(612a)의 출력 신호의 위상을 천이시킬 수 있다. RX 위상 천이기(613a)의 출력 신호는 TX 버퍼(650a)에 제공될 수 있다. 송신 모드에서 TX 위상 천이기(616a)는 TX 버퍼(660a)로부터 수신된 신호의 위상을 천이시킬 수 있고, 전력 증폭기(615a)는 TX 위상 천이기(616a)의 출력 신호를 증폭할 수 있다. 전력 증폭기(615a)의 출력 신호는 스위치(611a)를 통해 RF 신호(RF11)로서 출력될 수 있다.
RX 버퍼(650a)는 수신 모드에서 4개의 전위 RF 회로들(610a, 620a, 630a, 640a)로부터 제공되는 출력 신호들을 버퍼링(또는 증폭)할 수 있고, RX 버퍼(650a)의 출력 신호는 스위치(670a)에 제공될 수 있다. TX 버퍼(660a)는 송신 모드에서 스위치(670a)로부터 제공된 신호를 버퍼링(또는 증폭)할 수 있고, TX 버퍼(660a)의 출력 신호는 4개의 전위 RF 회로들(610a, 620a, 630a, 640a)에 제공될 수 있다. 스위치(670a)는, 제1 전위 RF 회로(610a)에 포함된 스위치(611a)와 유사하게, 송신 모드 및 수신 모드에 따라 상이한 신호의 경로들을 제공할 수 있다. 예를 들면, 스위치(670a)는 수신 모드에서 RX 버퍼(650a)의 출력 신호를 제1 전위 신호(FE1)로서 출력할 수 있는 한편, 송신 모드에서 제1 전위 신호(FE1)를 TX 버퍼(660a)에 제공할 수 있다. 도 6a는 송신 모드에서 스위치(670a)의 예시를 나타낸다.
제1 RF 회로(600a)는, 도 6b를 참조하여 후술되는 제1 RF 회로(600b)와 상이하게, RF 대역에 있는 제1 전위 신호(FE1)를 처리하거나 생성할 수 있다. 예를 들면, 제1 RF 회로(600a)는 수신 모드에서 RF 대역에 있는 제1 RF 신호(RF1)를 처리함으로써 RF 대역에 있는 제1 전위 신호(FE1)를 생성할 수 있는 한편, 송신 모드에서 RF 대역에 있는 제1 전위 신호(FE1)를 처리함으로써 RF 대역에 있는 제1 RF 신호(RF1)를 생성할 수 있다. 이에 따라, 도 6a의 제1 RF 회로(600a)를 포함하는 안테나 모듈(예컨대, 도 4의 400) 및 후위 RFIC(예컨대, 도 4의 300) 사이에서 이동하는 내부 신호들(예컨대, 도 4의 INT1, INT2)은 RF 대역에 있을 수 있다.
도 6b를 참조하면, 제1 RF 회로(600b)는 4개의 전위 회로들(610b, 620b, 630b, 640b), 버퍼들(650b, 660b) 및 스위치(670b)를 포함할 수 있다. 제1 전위 RF 회로(610b)는 제1 RF 신호(RF1) 중 하나의 RF 신호(RF11)를 전송하거나 수신할 수 있고, 스위치(611b), 저잡음 증폭기(612b), RX 위상 천이기(613b), RX 믹서(614b), 전력 증폭기(615b), TX 위상 천이기(616b) 및 TX 믹서(617b)를 포함할 수 있다. 도 6a의 제1 전위 RF 회로(610a)와 비교할 때, 도 6b의 제1 전위 RF 회로(610b)는 RX 믹서(614b) 및 TX 믹서(617b)를 더 포함할 수 있다. 비록 도시되지 아니하였으나, 일부 실시예들에서 제1 전위 RF 회로(610b)는 적어도 하나의 필터를 더 포함할 수도 있다.
수신 모드에서, RF 신호(RF11)는 스위치(611b)를 통해서 저잡음 증폭기(612b)에 제공될 수 있고, 저잡음 증폭기(612b), RX 위상 천이기(613b) 및 RX 믹서(614b)에 의해서 순차적으로 처리될 수 있다. 일부 실시예들에서, RX 믹서(614b)는 RF 대역에 있는, RX 위상 천이기(613b)의 출력 신호를 IF(Intermediate Frequency) 대역에 있는 신호로 다운-컨버전(down-conversion)할 수 있다. IF 대역은 RF 대역 및 기저대역 사이에 있는 임의의 대역을 지칭할 수 있다. RX 믹서(614b)의 출력 신호는 RX 버퍼(650b)에 제공될 수 있고, RX 버퍼(650b)의 출력 신호는 스위치(670b)를 통해서 제1 전위 신호(FE1)로서 출력될 수 있다. 이에 따라, 수신 모드에서 제1 전위 신호(FE1)는 IF 대역에 있을 수 있다.
송신 모드에서, 제1 전위 신호(FE1)는 스위치(670b)를 통해서 제1 전위 RF 회로(610b)의 TX 믹서(617b)에 제공될 수 있고, TX 믹서(617b), TX 위상 천이기(616b) 및 전력 증폭기(615b)에 의해서 순차적으로 처리될 수 있다. 일부 실시예들에서, 스위치(670b)에 제공된 제1 전위 신호(FE1)는 IF 대역에 있을 수 있고, TX 믹서(617b)는 IF 대역에 있는 TX 버퍼(660b)의 출력 신호를 RF 대역에 있는 신호로 업-컨버전(up-conversion)할 수 있다. 전력 증폭기(615b)의 출력 신호는 스위치(611b)에 의해서 RF 신호(RF11)로서 출력될 수 있다.
전술된 바와 같이, 제1 RF 회로(600b)는, 도 6a의 제1 RF 회로(600a)와 상이하게, IF 대역에 있는 제1 전위 신호(FE1)를 처리하거나 생성할 수 있다. 예를 들면, 제1 RF 회로(600b)는 수신 모드에서 RF 대역에 있는 제1 RF 신호(RF1)를 처리함으로써 IF 대역에 있는 제1 전위 신호(FE1)를 생성할 수 있는 한편, 송신 모드에서 IF 대역에 있는 제1 전위 신호(FE1)를 처리함으로써 RF 대역에 있는 제1 RF 신호(RF1)를 생성할 수 있다. 이에 따라, 도 6b의 제1 RF 회로(600b)를 포함하는 안테나 모듈(예컨대, 도 4의 400) 및 후위 RFIC(예컨대, 도 4의 300) 사이에서 이동하는 내부 신호들(예컨대, 도 4의 INT1, INT2)은 IF 대역에 있을 수 있다.
도 7a 및 도 7b는 본 개시의 예시적 실시예들에 다른 후위 RFIC 및 데이터 프로세서의 예시들을 나타내는 블록도들이다. 도 7a 및 도 7b에서 후위 RFIC들(700a, 700b) 및 데이터 프로세서들(500a)은 기저대역 신호를 상호 송수신할 수 있다. 이하에서, 도 7a 및 도 7b에 대한 설명 중 중복되는 내용은 생략될 것이다.
도 7a를 참조하면, 후위 RFIC(700a)는 안테나 모듈들과 접속하기 위한 4개의 포트 쌍들(P10, P20, P30, P40)을 포함할 수 있다. 예를 들면, 제1 포트 쌍(P10)은 제1 포트(P11) 및 제2 포트(P12)를 포함할 수 있고, 후위 RFIC(700a)의 제1 포트(P11) 및 제2 포트(P12) 각각은 안테나 모듈의 포트들(예컨대, 도 4의 441, 442)에 각각 접속될 수 있다. 일부 실시예들에서, 제1 포트(P11) 및 제2 포트(P12)는 차동 신호를 위한 차동 포트들일 수 있다. 유사하게, 제2 포트 쌍(P20)은 2개의 포트들(P21, P22)을 포함할 수 있고, 제3 포트 쌍(P30)은 2개의 포트들(P31, P32)을 포함할 수 있고, 제4 포트 쌍(P40)은 2개의 포트들(P41, P42)을 포함할 수 있다.
후위 RFIC(700a)는 4개의 포트 쌍들(P10, P20, P30, P40)에 대응하는 4개의 회로 그룹들을 포함할 수 있다. 도 7a에 도시된 바와 같이, 후위 RFIC(700a)는 개의 포트 쌍들(P10, P20, P30, P40)과 각각 연결된 4개의 스위치들(710a, 720a, 730a, 740a)을 포함할 수 있고, 4개의 스위치들(710a, 720a, 730a, 740a) 및 데이터 프로세서(500a) 사이에서 신호를 처리하기 위한 회로들을 포함할 수 있다. 예를 들면, 데이터 프로세서(500a)의 디지털-아날로그 컨버터(DAC)(522a)로부터 수신되는 기저대역 신호는, TX 필터(711a), TX 믹서(712a) 및 증폭기(713a)에 의해서 처리될 수 있고, 증폭기(713a)의 출력 신호가 제1 스위치(710a)에 제공될 수 있다. 일부 실시예들에서, 증폭기(713a)는 VGA(Variable Gain Amplifier)를 포함할 수 있다. 또한, 제1 스위치(710a)로부터 수신되는 신호는, RX 믹서(714a) 및 RX 필터(715a)에 의해서 처리될 수 있고, RX 필터(715a)의 출력 신호가 데이터 프로세서(500a)의 아날로그-디지털 컨버터(ADC)(513a)에 제공될 수 있다. 비록 도시되지 아니하였으나, 일부 실시예들에서 후위 RFIC(700a)는 RX 믹서(715a) 및 TX 믹서(712a)에 진동 신호를 제공하는 회로, 예컨대 PLL(Phased Locked Loop)을 포함할 수 있다.
일부 실시예들에서, 도 6a를 참조하여 전술된 바와 같이, 후위 RFIC(700a)가 안테나 모듈로부터 RF 대역의 내부 신호를 수신하거나 안테나 모듈에 RF 대역의 내부 신호를 제공하는 경우, TX 믹서(712a)는 기저대역에 있는 신호를 RF 대역으로 업-컨버전할 수 있고, RX 믹서(714a)는 RF 대역에 있는 신호를 기저대역으로 다운-컨버전할 수 있다. 다른 한편으로, 일부 실시예들에서 도 6b를 참조하여 전술된 바와 같이, 후위 RFIC(700a)가 안테나 모듈로부터 IF 대역의 내부 신호를 수신하거나 안테나 모듈에 IF 대역의 내부 신호를 제공하는 경우, TX 믹서(712a)는 기저대역에 있는 신호를 IF 대역으로 업-컨버전할 수 있고, RX 믹서(714a)는 IF 대역에 있는 신호를 기저대역으로 다운-컨버전할 수 있다.
일부 실시예들에서, 4개의 스위치들(710a, 720a, 730a, 740a) 각각은 4-웨이 스위치일수 있다. 예를 들면, 제1 스위치(710a)는 제어 신호에 따라 제1 포트(P11) 및 제2 포트(P12) 각각을 증폭기(713a) 또는 RX 믹서(714a)에 접속시킬 수 있다. 또한, 일부 실시예들에서 제1 스위치(710a)는, 도 5a 및 도 5b를 참조하여 전술된 도 4의 스위치 회로(430)와 유사하게, 제1 포트(P11) 및 제2 포트(P12)를 제어 신호에 따라 증폭기(713a) 및 RX 믹서(714a)에 상호 배타적으로 접속시킬 수 있다. 이에 따라, 안테나 모듈로부터 후위 RFIC(700a)로 수신되는 신호는 제1 포트(P11) 및 제2 포트(P12) 중 임의의 것을 통과하더라도 처리될 수 있고, 후위 RFIC(700a)로부터 안테나 모듈로 송신되는 신호 역시 제1 포트(P11) 및 제2 포트(P12) 중 임의의 것을 통과할 수 있다. 일부 실시예들에서, 4-웨이 스위치는 계층적으로 연결된 복수의 2-웨이 스위치들을 포함할 수 있다.
데이터 프로세서(500a)는 복수의 아날로그-디지털 컨버터들(511a 내지 514a) 및 복수의 디지털-아날로그 컨버터들(521a 내지 524a)을 포함할 수 있고, 컨트롤러(550a)를 포함할 수 있다. 복수의 아날로그-디지털 컨버터들(511a 내지 514a) 각각은 후위 RFIC(700a)로부터 기저대역 신호를 수신할 수 있고, 기저대역 신호를 디지털 신호로 변환할 수 있다. 복수의 디지털-아날로그 컨버터들(521a 내지 524a) 각각은 디지털 신호를 변환함으로써 기저대역 신호를 생성할 수 있고, 기저대역 신호를 후위 RFIC(700a)에 제공할 수 있다. 도 7a의 예시에서 데이터 프로세서(500a)는 4개의 포트 쌍들(P10, P20, P30, P40)에 대응하는 4개의 아날로그-디지털 컨버터들(511a 내지 514a) 및 4개의 디지털-아날로그 컨버터들(521a 내지 524a)을 포함할 수 있다.
컨트롤러(550a)는 적어도 하나의 제어 신호를 생성할 수 있고, 후위 RFIC(700a)뿐만 아니라 복수의 안테나 모듈들(예컨대, 도 1의 110, 120, 130, 140)에 제어 신호를 제공할 수 있다. 예를 들면, 컨트롤러(550a)는 송신 모드 또는 수신 모드를 나타내는 제어 신호를 생성할 수 있고, 안테나 모듈의 스위치(예컨대, 도 6a의 611a, 670a)는 제어 신호에 응답하여 신호의 경로를 설정할 수 있다. 또한, 컨트롤러(550a)는 복수의 안테나 모듈들 중 양호한 통신을 제공하는 안테나 모듈과의 신호 경로를 형성하도록 제어 신호를 생성할 수 있고, 안테나 모듈의 스위치(예컨대, 도 4의 430) 및 후위 RFIC(700a)의 스위치(예컨대, 710a)는 제어 신호에 응답하여 신호의 경로를 설정할 수 있다. 컨트롤러(550a)의 동작의 예시들은 도 9 및 도 10을 참조하여 후술될 것이다.
도 7b를 참조하면, 후위 RFIC(700b)는, 도 7a의 후위 RFIC(700a)와 유사하게, 4개의 포트 쌍들(P10, P20, P30, P40)을 포함할 수 있다. 또한, 후위 RFIC(700b)는 4개의 포트 쌍들(P10, P20, P30, P40)에 각각 대응하는 4개의 스위치들(710b, 720b, 730b, 740b)을 포함할 수 있다. 도 7a의 후위 RFIC(700a)와 비교할 때, 도 7b의 후위 RFIC(700b)는 SPDT 스위치(750)를 더 포함할 수 있다. 도 7b에 도시된 바와 같이, SPDT 스위치(750)는 데이터 프로세서(500b)의 디지털-아날로그 컨버터(522b)로부터 기저대역 신호를 수신할 수 있고, 수신된 기저대역 신호를 제어 신호에 따라 제1 포트 쌍(P10)에 대응하는 TX 필터(711b) 또는 제4 포트 쌍(P40)에 대응하는 TX 필터(741b)에 제공할 수 있다.
데이터 프로세서(500b)는, 도 7a의 데이터 프로세서(500a)와 유사하게, 4개의 아날로그-디지털 컨버터들(511b 내지 514b) 및 컨트롤러(550b)를 포함할 수 있는 한편, 도 7a의 데이터 프로세서(500a)와 상이하게, 3개의 디지털-아날로그 컨버터들(521b 내지 523b)을 포함할 수 있다. 즉, 도 7b의 디지털-아날로그 컨버터(523b)가 출력하는 기저대역 신호는 후위 RFIC(700b)에 의해서 처리되어 제1 포트 쌍(P10) 또는 제4 포트 쌍(P40)을 통해서 출력될 수 있다. 또한, 컨트롤러(550b)는 후위 RFIC(700b)의 SPDT 스위치(750)에 제어 신호를 제공할 수 있다.
도 8은 본 개시의 예시적 실시예에 따른 후위 RFIC 및 안테나 모듈들을 나타내는 블록도이다. 구체적으로, 도 8은, 도 7a 및 도 7b를 참조하여 전술된 바와 같이, 4개의 포트 쌍들을 포함하는 후위 RFIC(810) 및 3개의 안테나 모듈들(821, 822, 823)을 나타내고, 수신 모드에서 제어 신호에 따라 신호의 경로가 각각 설정된 4개의 스위치들(811, 812, 813, 814)을 예시적으로 나타낸다.
도 8을 참조하면, 후위 RFIC(810)는 4개의 포트 쌍들, 즉 8개의 포트들(P11, P12, P21, P22, P31, P32, P41, P42)을 포함할 수 있고, 4개의 스위치들(811, 812, 813, 814)을 포함할 수 있다. 일부 실시예들에서, 4개의 스위치들(811, 812, 813, 814) 각각은 4-웨이 스위치로 지칭될 수 있고, 한 쌍의 포트와 연결될 수 있다. 도 8의 예시에서 8개의 포트들(P11, P12, P21, P22, P31, P32, P41, P42)은, 제1 스위치(811)의 제1 포트(P11) 및 제2 포트(P12), 제2 스위치(812)의 제1 포트(P21) 및 제2 포트(P22), 제3 스위치(813)의 제1 포트(P31) 및 제2 포트(P32), 제4 스위치(814)의 제1 포트(P41) 및 제2 포트(P42)로서 각각 지칭될 수 있다. 일부 실시예들에서, 4-웨이 스위치는 계층적으로 연결된 복수의 2-웨이 스위치들을 포함할 수 있다.
3개의 안테나 모듈들(821, 822, 823) 각각은 위상 배열 및 전위 RFIC를 포함할 수 있고, 위상 배열은 제1 방향, 즉 수평 방향으로 편파된 신호 및 제2 방향, 즉 수직 방향으로 편파된 신호를 통신할 수 있다. 이에 따라, 3개의 안테나 모듈들(821, 822, 823) 각각은 후위 RFIC(810)와 수평 방향으로 편파된 신호를 위한 라인(H) 및 수직 방향으로 편파된 신호를 위한 라인(V)을 통해서 후위 RFIC(810)와 접속될 수 있다.
일부 실시예들에서, 3개의 안테나 모듈들(821, 822, 823) 각각은 상이한 포트 쌍들의 포트들을 통해서 후위 RFIC(810)와 접속될 수 있다. 예를 들면, 도 8에 도시된 바와 같이, 제1 안테나 모듈(821)은 제1 스위치(811)의 제2 포트(P12) 및 제2 스위치(812)의 제1 포트(P21)각각 접속될 수 있고, 제2 안테나 모듈(822)은 제2 스위치(812)의 제2 포트(P22) 및 제3 스위치(813)의 제1 포트(P31)에 각각 접속될 수 있고, 제3 안테나 모듈(823)은 제3 스위치(813)의 제1 포트(P31) 및 제4 스위치(814)의 제2 포트(P42)에 접속될 수 있다. 이에 따라, 도 8에 도시된 바와 같이, 제1 안테나 모듈(821) 및 제3 안테나 모듈(823)로부터 수평 방향으로 편파된 신호들이 수신될 수 있는 한편, 제2 안테나 모듈(822)로부터 수직 방향으로 편파된 신호 및 수평 방향으로 편파된 신호가 수신될 수 있다.
일부 실시예들에서, 3개의 안테나 모듈들(821, 822, 823) 각각은 스위치 회로(예컨대, 도 4의 430)를 포함할 수 있다. 이에 따라, 3개의 안테나 모듈들(821, 822, 823) 각각은 수평 방향으로 편파된 신호에 대응하는 내부 신호 및 수직 방향으로 편파된 신호에 대응하는 내부 신호 각각이 제어 신호에 따라 후위 RFIC(810)의 상이한 스위치들을 통과하도록, 후위 RFIC(810)와 통신할 수 있다.
도 9는 본 개시의 예시적 실시예에 따른 통신 장치(900)를 나타내는 블록도이다. 도 9에 도시된 바와 같이, 통신 장치(900)는 복수의 안테나 모듈들(910), 후위 RFIC(920) 및 데이터 프로세서(930)를 포함할 수 있다.
복수의 안테나 모듈들(910)은, 도 1을 참조하여 전술된 바와 같이, 위상 배열 및 전위 RFIC를 각각 포함할 수 있고, 통신 장치(900)의 가장자리에서 상호 이격되어 배치될 수 있다. 또한, 복수의 안테나 모듈들(910)은 후위 RFIC(920)와 복수의 내부 신호들(INTS)을 통해서 통신할 수 있다. 일부 실시예들에서, 도 9에 도시된 바와 같이, 복수의 안테나 모듈들(910) 각각은 전력 검출기(911)를 포함할 수 있다. 전력 검출기(911)는 안테나 모듈 내 신호 경로에 병렬 연결될 수 있고, 신호 경로를 통해서 이동하는 신호의 전력을 검출할 수 있고, 검출된 전력에 기초하여 제1 검출 신호(DET1)를 데이터 프로세서(930)에 제공할 수 있다.
후위 RFIC(920)는 복수의 안테나 모듈들(910)과 복수의 내부 신호들(INTS)을 통해서 통신할 수 있는 한편, 데이터 프로세서(930)와 기저대역 신호(BB)를 통해서 상호 통신할 수 있다. 일부 실시예들에서, 도 9에 도시된 바와 같이, 후위 RFIC(920)는 전력 검출기(921)를 포함할 수 있다. 전력 검출기(921)는 후위 RFIC(920) 내 신호 경로에 병렬 연결될 수 있고, 신호 경로를 통해서 이동하는 신호의 전력을 검출함으로써 제2 검출 신호(DET2)를 데이터 프로세서(930)에 제공할 수 있다.
데이터 프로세서(930)는 기저대역 신호(BB)를 통해서 후위 RFIC(920)와 통신할 수 있고, 복수의 안테나 모듈들(910) 및 후위 RFIC(920)로부터 제1 검출 신호(DET1) 및 제2 검출 신호(DET2)를 각각 수신할 수 있다. 컨트롤러(931)는 제1 검출 신호(DET1) 및 제2 검출 신호(DET2)에 기초하여 제어 신호(CTRL)를 생성할 수 있다. 컨트롤러(931)가 제어 신호를 생성하는 동작의 예시는 도 10을 참조하여 후술될 것이다.
일부 실시예들에서, 제어 신호(CTRL)는 복수의 내부 신호들(INTS) 및 기저대역 신호(BB)가 전송되는 라인을 통해서 복수의 안테나 모듈들(910) 및 후위 RFIC(920)에 제공될 수 있다. 예를 들면, 제어 신호(CTRL)는 후위 RFIC(920) 및 데이터 프로세서(930) 사이에서 기저대역 신호(BB)가 전송되지 아니하는 동안 기저대역 신호(BB)와 동일한 라인을 통해서 후위 RFIC(920)에 포함된 스위치들(예컨대, 도 7a의 710a 등)에 제공될 수 있다. 또한, 제어 신호(CTRL)는 기저대역 신호(BB)와 동일한 라인을 통해서 후위 RFIC(920)에 전달된 후, 복수의 안테나 모듈들(910) 및 후위 RFIC(920) 사이에서 복수의 내부 신호들(INTS)이 전송되지 아니하는 동안 복수의 내부 신호들(INTS)과 동일한 라인을 통해서 복수의 안테나 모듈들(910)에 포함된 스위치(예컨대, 도 6a의 611a 등) 및/또는 스위치 회로들(예컨대, 도 4의 430)에 제공될 수 있다. 예를 들면, 도 4의 안테나 모듈(400)에 포함된 스위치 회로(430)는 제1 포트(441) 및/또는 제2 포트(442)를 통해서 제어 신호(CTRL)를 수신할 수 있다.
일부 실시예들에서, 제1 검출 신호(DET1) 및 제2 검출 신호(DET2)는 복수의 내부 신호들(INTS) 및 기저대역 신호(BB)가 전송되는 라인을 통해서 데이터 프로세서(930)에 제공될 수 있다. 예를 들면, 후위 RFIC(920)에 포함된 전력 검출기(921)가 생성한 제2 검출 신호(DET2)는, 후위 RFIC(920) 및 데이터 프로세서(930) 사이에서 기저대역 신호(BB)가 전송되지 아니하는 동안 기저대역 신호(BB)와 동일한 라인을 통해서 데이터 프로세서(930)에 제공될 수 있다. 또한, 안테나 모듈에 포함된 전력 검출기(911)가 생성한 제1 검출 신호(DET1)는, 복수의 안테나 모듈들(910) 및 후위 RFIC(920) 사이에서 복수의 내부 신호들(INTS)이 전송되지 아니하는 동안 복수의 내부 신호들(INTS)과 동일한 라인을 통해서 후위 RFIC(920)에 제공될 수 있고, 제2 검출 신호(DET2)와 같이, 기저대역 신호(BB)와 동일한 라인을 통해서 데이터 프로세서(930)에 제공될 수 있다.
도 10은 본 개시의 예시적 실시예에 따른 통신 장치의 동작 방법을 나타내는 순서도이다. 구체적으로, 도 10은 복수의 안테나 모듈들 및 후위 RFIC를 포함하는 통신 장치의 동작 방법을 나타낸다. 예를 들면, 도 10은 도 9의 통신 장치(900)에 의해서 수행될 수 있고, 이하에서 도 10은 도 9를 참조하여 설명될 것이다.
도 10을 참조하면, 단계 S20에서 경로들의 전력들을 검출하는 동작이 수행될 수 있다. 예를 들면, 안테나 모듈에 포함된 전력 검출기(911)는 안테나 모듈내 신호들의 경로들, 예컨대 제1 방향으로 편파된 신호가 이동하는 경로, 제2 방향으로 편파된 신호가 이동하는 경로, 위상 배열의 복수의 안테나들에 대응하는 경로들의 전력들을 검출할 수 있다. 또한, 후위 RFIC(920)에 포함된 전력 검출기(921)는 후위 RFIC(920)내 신호들의 경로들, 예컨대 복수의 안테나 모듈들(910)에 대응하는 경로들의 전력들을 검출할 수 있다. 전력들을 검출함으로써 생성된 검출 신호들(DET1, DET2)은 데이터 프로세서(930)의 컨트롤러(931)에 제공될 수 있다.
단계 S40에서, 신호들의 품질을 평가하는 동작이 수행될 수 있다. 예를 들면, 컨트롤러(931)는 검출 신호들(DET1, DET2)에 기초하여 경로들을 통해서 이동하는 신호들의 품질들을 평가할 수 있다. 일부 실시예들에서, 컨트롤러(931)는 신호 대 잡음비(Signal-to-Noise Ratio; SNR)를 계산할 수 있고, 신호 대 잡음비에 기초하여 어느 경로를 통해서 이동하는 신호가 양호한 품질을 가지는지를 판단할 수 있다.
단계 S60에서, 스위치들을 제어하는 동작이 수행될 수 있다. 예를 들면, 컨트롤러(931)는 양호한 품질의 신호가 이동하는 경로를 통해서 통신이 수행되고 양호하지 아니한 품질의 신호가 이동하는 경로를 통해서 통신이 차단되도록, 적어도 하나의 제어 신호를 생성할 수 있다. 이에 따라, 복수의 안테나 모듈들(910)에 포함된 스위치(예컨대, 도 6a의 611a 등) 및/또는 스위치 회로들(예컨대, 도 4의 430)이 제어될 수 있고, 후위 RFIC(920)에 포함된 스위치들(예컨대, 도 7a의 710a 등)이 제어될 수 있다.
도 11은 본 개시의 예시적 실시예에 따른 안테나 모듈을 포함하는 통신 장치의 예시들을 나타내는 블록도이다. 구체적으로, 도 11은 WLAN을 이용하는 무선 통신 시스템에서 다양한 무선 통신 장치들이 상호 통신하는 예시를 나타낸다. 도 1에서 셀룰러 네트워크를 이용하는 무선 통신 시스템(5)과 상이하게, 도 11의 무선 통신 장치들 각각은 WLAN을 통해서 상호 통신할 수 있다.
일부 실시예들에서, 가정용 기기(31), 가전(32), 엔터테인먼트 기기(33) 및 AP(20)는 IoT(Internet of Things) 네트워크 시스템을 구성할 수 있다. 가정용 기기(31), 가전(32), 엔터테인먼트 기기(33) 및 AP(Access Point)(20) 각각은 본 개시의 예시적 실시예에 따른 복수의 안테나 모듈들 및 후위 RFIC를 포함할 수 있다. 가정용 기기(31), 가전(32) 및 엔터테인먼트 기기(33)는 AP(20)와 무선 통신할 수 있고, 가정용 기기(31), 가전(32) 및 엔터테인먼트 기기(33)는 상호 무선 통신할 수도 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.

Claims (20)

  1. 기저대역 신호를 처리하거나 생성하는 후위(back-end) RFIC(Radio Frequency Integrated Circuit)와 접속하도록 구성된 제1 포트 및 제2 포트;
    복수의 안테나들을 포함하고, 상이한 방향들로 편파된(polarized) 제1 RF 신호 및 제2 RF 신호를 통신하도록 구성된 위상 배열(phased array);
    상기 제1 RF 신호를 처리하거나 생성하도록 구성된 제1 RF 회로 및 상기 제2 RF 신호를 처리하거나 생성하도록 구성된 제2 RF 회로를 포함하는 전위(front-end) RFIC; 및
    상기 제1 RF 회로 및 제2 RF 회로 각각을 제어 신호에 따라 상기 제1 포트 또는 상기 제2 포트에 접속시키도록 구성된 스위치 회로를 포함하는 안테나 모듈.
  2. 청구항 1에 있어서,
    상기 스위치 회로는, 상기 제1 RF 회로 및 상기 제2 RF 회로를 상기 제어 신호에 따라, 상기 제1 포트 및 상기 제2 포트에 각각 접속시키거나 상기 제2 포트 및 상기 제1 포트에 각각 접속시키도록 구성된 것을 특징으로 하는 안테나 모듈.
  3. 청구항 1에 있어서,
    상기 제1 RF 회로 및 상기 제2 RF 회로 각각은, 전력 증폭기, 저잡음 증폭기 및 위상 천이기 중 적어도 하나를 포함하는 것을 특징으로 하는 안테나 모듈.
  4. 청구항 1에 있어서,
    상기 제1 RF 회로 및 상기 제2 RF 회로 각각은, RF 대역 및 IF(Intermediate Frequency) 대역 간 신호를 변환하도록 구성된 적어도 하나의 믹서를 더 포함하고,
    상기 스위치 회로는, IF 대역의 신호를 통과시키도록 구성된 것을 특징으로 하는 안테나 모듈.
  5. 청구항 1에 있어서,
    상기 제1 RF 회로 및 상기 제2 RF 회로 각각은, 상기 스위치 회로와 접속되고 송신 모드 및 수신 모드에 따라 상이한 경로들을 형성하도록 구성된 적어도 하나의 스위치를 더 포함하는 것을 특징으로 하는 안테나 모듈.
  6. 청구항 1에 있어서,
    상기 스위치 회로는, 상기 제1 포트 또는 상기 제2 포트로부터 상기 제어 신호를 수신하도록 구성된 것을 특징으로 하는 안테나 모듈.
  7. 청구항 1에 있어서,
    상기 위상 배열은 상기 전위 RFIC 상에 배치되고,
    상기 복수의 안테나들 및 상기 전위 RFIC 사이에서 상기 제1 RF 신호 및 상기 제2 RF 신호가 통과하는 복수의 급전 라인들을 더 포함하는 안테나 모듈.
  8. 제1 신호 라인 및 제2 신호 라인;
    기저대역 신호를 처리하거나 생성하도록 구성된 후위(back-end) RFIC(Radio Frequency Integrated Circuit); 및
    상기 제1 신호 라인 및 제2 신호 라인을 통해서 상기 후위 RFIC와 접속되고, 상이한 방향들로 편파된(polarized) 제1 RF 신호 및 제2 RF 신호를 통신하도록 구성된 위상 배열을 포함하는 제1 안테나 모듈을 포함하고,
    상기 제1 안테나 모듈은, 상기 제1 RF 신호에 대응하는 제1 내부 신호 및 상기 제2 RF 신호에 대응하는 제2 내부 신호 각각이 제어 신호에 따라 상기 제1 신호 라인 또는 상기 제2 신호 라인을 통과하도록, 상기 후위 RFIC와 통신하도록 구성된 것을 특징으로 하는 통신 장치.
  9. 청구항 8에 있어서,
    상기 제1 안테나 모듈은, 상기 제1 내부 신호 및 상기 제2 내부 신호가 상기 제어 신호에 따라 상기 제1 신호 라인 및 상기 제2 신호 라인을 통해서 상호 배타적으로 전송되도록, 상기 후위 RFIC와 통신하도록 구성된 것을 특징으로 하는 통신 장치.
  10. 청구항 8에 있어서,
    상기 제1 안테나 모듈은, 상기 제1 신호 라인 및 상기 제2 신호 라인에 각각 연결된 4-웨이(4-way) 스위치를 포함하는 것을 특징으로 하는 통신 장치.
  11. 청구항 8에 있어서,
    상기 제1 안테나 모듈은, 상기 제1 RF 신호 및 상기 제2 RF 신호를 처리하거나 생성하고 상기 제1 내부 신호 및 상기 제2 내부 신호를 처리하거나 생성하도록 구성된 전위 RFIC를 더 포함하는 것을 특징으로 하는 통신 장치.
  12. 청구항 11에 있어서,
    상기 전위 RFIC는, RF 대역 및 IF(Intermediate Frequency) 대역 간 신호를 변환하도록 구성된 적어도 하나의 믹서를 포함하고,
    상기 후위 RFIC는, 기저대역 및 IF 대역 간 신호를 변환하도록 구성된 적어도 하나의 믹서를 포함하는 것을 특징으로 하는 통신 장치.
  13. 청구항 8에 있어서,
    상기 후위 RFIC는, 상기 제1 신호 라인 및 상기 제2 신호 라인에 접속되고 상기 제1 신호 라인 및 상기 제2 신호 라인 각각을 송신 모드 및 수신 모드에 따라 상이한 경로들에 접속하도록 구성된 스위치를 포함하는 것을 특징으로 하는 통신 장치.
  14. 청구항 8에 있어서,
    상기 후위 RFIC는, 기저대역 및 RF 대역 간 신호를 변환하도록 구성된 적어도 하나의 믹서를 포함하는 것을 특징으로 하는 통신 장치.
  15. 청구항 8에 있어서,
    상기 제1 안테나 모듈은, 상기 제1 신호 라인 또는 상기 제2 신호 라인을 통해서 상기 제어 신호를 수신하도록 구성된 것을 특징으로 하는 통신 장치.
  16. 청구항 8에 있어서,
    상기 후위 RFIC로부터 상기 기저대역 신호를 수신하거나 상기 후위 RFIC에 상기 기저대역 신호를 제공하고, 상기 제어 신호를 생성하도록 구성된 데이터 프로세서를 더 포함하는 통신 장치.
  17. 청구항 8에 있어서,
    위상 배열을 포함하는 적어도 하나의 제2 안테나 모듈; 및
    상기 후위 RFIC 및 상기 적어도 하나의 제2 안테나 모듈 사이에서 내부 신호들이 전송되도록 구성된 적어도 하나의 신호 라인 쌍을 더 포함하는 통신 장치.
  18. 청구항 17에 있어서,
    상기 제1 안테나 모듈 및 상기 적어도 하나의 제2 안테나 모듈은, 상기 통신 장치의 가장자리에서 상호 이격되어 배치된 것을 특징으로 하는 통신 장치.
  19. 기저대역 신호를 처리하거나 생성하도록 구성된 후위(back-end) RFIC(Radio Frequency Integrated Circuit); 및
    상이한 방향들로 편파된 제1 RF 신호 및 제2 RF 신호를 통신하도록 구성된 위상 배열을 각각 포함하는, 제1, 제2 및 제3 안테나 모듈을 포함하고,
    상기 후위 RFIC는, 제1, 제2, 제3 및 제4 4-웨이(4-way) 스위치를 포함하고,
    상기 제1 안테나 모듈은, 상기 제1 4-웨이 스위치의 제2 포트 및 상기 제2 4-웨이 스위치의 제1 포트에 각각 접속되고,
    상기 제2 안테나 모듈은, 상기 제2 4-웨이 스위치의 제2 포트 및 상기 제3 4-웨이 스위치의 제1 포트에 각각 접속되고,
    상기 제3 안테나 모듈은, 상기 제3 4-웨이 스위치의 제2 포트 및 상기 제4 4-웨이 스위치의 제2 포트에 각각 접속된 것을 특징으로 하는 통신 장치.
  20. 청구항 19에 있어서,
    상기 제1, 제2 및 제3 안테나 모듈 각각은, 상기 제1 RF 신호에 대응하는 제1 내부 신호 및 상기 제2 RF 신호에 대응하는 제2 내부 신호 각각이 제어 신호에 따라 상이한 4-웨이 스위치들을 통과하도록, 상기 후위 RFIC와 통신하도록 구성된 것을 특징으로 하는 통신 장치.
KR1020180075949A 2018-05-24 2018-06-29 위상 배열 안테나 모듈 및 이를 포함하는 통신 장치 KR102502237B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE102019107258.1A DE102019107258A1 (de) 2018-05-24 2019-03-21 Phased-array-antennenmodul und kommunikationsvorrichtung mit demselben
CN201910256634.6A CN110534870A (zh) 2018-05-24 2019-03-29 相控阵天线模块和包括相控阵天线模块的通信设备
TW108114881A TWI811351B (zh) 2018-05-24 2019-04-29 相位陣列天線模組以及包括所述相位陣列天線模組的通訊裝置
US16/410,078 US10826197B2 (en) 2018-05-24 2019-05-13 Phased array antenna module and communication device including the same
US17/033,315 US11605904B2 (en) 2018-05-24 2020-09-25 Phased array antenna module and communication device including the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862675931P 2018-05-24 2018-05-24
US62/675,931 2018-05-24

Publications (2)

Publication Number Publication Date
KR20190134435A KR20190134435A (ko) 2019-12-04
KR102502237B1 true KR102502237B1 (ko) 2023-02-21

Family

ID=69004450

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180075949A KR102502237B1 (ko) 2018-05-24 2018-06-29 위상 배열 안테나 모듈 및 이를 포함하는 통신 장치

Country Status (2)

Country Link
KR (1) KR102502237B1 (ko)
TW (1) TWI811351B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210083036A (ko) 2019-12-26 2021-07-06 삼성전자주식회사 안테나 모듈 및 이를 이용하는 전자 장치
KR20220141013A (ko) * 2021-04-12 2022-10-19 삼성전자주식회사 위상 시프터를 포함하는 안테나 구조 및 이를 포함하는 전자 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190229421A1 (en) 2016-10-19 2019-07-25 Murata Manufacturing Co., Ltd. Antenna element, antenna module, and communication apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI518993B (zh) * 2012-11-20 2016-01-21 財團法人工業技術研究院 具可調式相移陣列的多路徑切換系統
WO2018037655A1 (ja) * 2016-08-24 2018-03-01 株式会社村田製作所 アンテナモジュール

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190229421A1 (en) 2016-10-19 2019-07-25 Murata Manufacturing Co., Ltd. Antenna element, antenna module, and communication apparatus

Also Published As

Publication number Publication date
TWI811351B (zh) 2023-08-11
KR20190134435A (ko) 2019-12-04
TW202005178A (zh) 2020-01-16

Similar Documents

Publication Publication Date Title
US11605904B2 (en) Phased array antenna module and communication device including the same
US11134395B2 (en) Adaptive antenna configuration
CN108377161B (zh) 用于下一代无线用户设备硬件设计与方法的分布式相控阵多入多出
US10847879B2 (en) Antenna array structures for half-duplex and full-duplex multiple-input and multiple-output systems
US9661506B2 (en) Transceiver architecture for multiple antenna systems
US20120100813A1 (en) System for testing multi-antenna devices using bidirectional faded channels
US8912963B2 (en) System for testing multi-antenna devices using bidirectional faded channels
JP6026958B2 (ja) マルチアンテナまたはマルチビームを用いた無線送受信ユニット−無線送受信ユニット(wtru−wtru)干渉の緩和
CA2795725C (en) Apparatus and method for spatial division duplex(sdd) for millimeter wave communication system
US10270152B2 (en) Broadband transceiver and distributed antenna system utilizing same
EP2514034B1 (en) Communication unit, integrated circuit and method of diverse polarisation
US11190250B2 (en) System and method for enhancing an aerospace coverage capability of a mobile communication base station
US10038480B2 (en) Power control method and device for forming multiple beams in wireless communication system
Dastjerdi et al. Full duplex circulator-receiver phased array employing self-interference cancellation via beamforming
WO2012167111A2 (en) Antenna control
KR20210001607A (ko) 안테나 구조 및 이를 포함하는 전자 장치
KR102502237B1 (ko) 위상 배열 안테나 모듈 및 이를 포함하는 통신 장치
Chung et al. Millimeter-wave massive MIMO testbed with hybrid beamforming
WO2016008361A1 (en) Phalanx radio system architecture for high capacity wireless communication
Takinami et al. Design and experimental evaluation of 60ghz multiuser gigabit/s small cell radio access based on IEEE 802.11 ad/WiGig
Sadhu et al. Phased arrays for 5G millimeter-wave communications
Erricolo et al. Massive MIMO: review and a case for the 12 GHz band
US11043995B2 (en) Interference reduction in cellular communication systems
Tervo et al. Measurement method for characterizing nonlinearity under near-field and far-field interferers in 5G mmW phased arrays
TWI618442B (zh) 無線收發裝置

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant