KR102448797B1 - 리소그래피 장치 및 연관된 장치를 제어하는 방법 - Google Patents

리소그래피 장치 및 연관된 장치를 제어하는 방법 Download PDF

Info

Publication number
KR102448797B1
KR102448797B1 KR1020207016288A KR20207016288A KR102448797B1 KR 102448797 B1 KR102448797 B1 KR 102448797B1 KR 1020207016288 A KR1020207016288 A KR 1020207016288A KR 20207016288 A KR20207016288 A KR 20207016288A KR 102448797 B1 KR102448797 B1 KR 102448797B1
Authority
KR
South Korea
Prior art keywords
substrate
data
optimization
lithographic apparatus
control
Prior art date
Application number
KR1020207016288A
Other languages
English (en)
Other versions
KR20200071142A (ko
Inventor
프랭크 스탈스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200071142A publication Critical patent/KR20200071142A/ko
Application granted granted Critical
Publication of KR102448797B1 publication Critical patent/KR102448797B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

리소그래피 장치 및 연관된 장치를 제어하기 위한 방법이 개시된다. 이러한 방법은 리소그래피 프로세스에서 기판에 제품 구조체를 제공하도록 구성되고, 최적화 데이터를 결정하는 단계를 포함한다. 최적화 데이터는 리소그래피 프로세스에서 기판에 적용될 제품 구조체 및/또는 그들의 배열과 연관된 적어도 하나의 성능 파라미터의 측정 및/또는 시뮬레이션된 데이터를 포함한다. 제품 구조체를 기판에 제공하기 전에 측정 및/또는 모델링되는 기판-특유 계측 데이터가 결정되는데, 기판-특유 계측 데이터는 구조체가 적용될 기판의 특성 및/또는 구조체가 기판에 적용될 시간에서의 리소그래피 장치의 상태와 관련된 계측 데이터를 포함한다. 이러한 방법은 상기 최적화 데이터 및 기판-특유 계측 데이터에 기반하여, 리소그래피 프로세스 중에 리소그래피 장치의 제어를 최적화하는 단계를 더 포함한다.

Description

리소그래피 장치 및 연관된 장치를 제어하는 방법
관련 출원들에 대한 상호 참조
본 출원은 2017 년 12 월 6 일에 출원되고 그 전체 내용이 원용되어 본원에 통합되는 EP 출원 번호 17205643.4의 우선권을 주장한다.
본 발명은 리소그래피 프로세스에서 기판에 패턴을 적용하기 위한 방법 및 장치에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 영역(예컨대, 하나의 다이(die)의 일부분, 하나의 다이, 또는 여러 개의 다이를 포함) 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스테퍼, 및 주어진 방향("스캐닝" 방향)으로 방사 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 공정을 모니터하기 위해서는 패터닝된 기판의 파라미터를 측정한다. 이러한 파라미터에는 예컨대 패터닝된 기판 내에 형성되거나 또는 패터닝된 기판 상에 형성된 연속층 간의 오버레이 오차 및 현상된 감광성 레지스트의 임계 선폭(critical linewidth; CD)이 포함될 수 있다. 이러한 측정은 제품 기판 및/또는 전용의 계측 타겟 상에서 수행될 수 있다. 리소그래피 공정으로 형성된 미세 구조물의 측정을 행하기 위한 다양한 기술이 있으며, 이들 기술에는 주사 전자 현미경(scanning electron microscope) 및 다양한 특수 기기를 사용하는 것이 포함된다. 신속하고 비침투식 형태의 특수 검사 기기인 산란계(scatterometer)는 방사선의 빔을 기판의 표면 상의 타겟으로 향하게 하여 산란 또는 반사된 빔의 성질을 측정한다. 두 가지 주요 유형의 산란계가 알려져 있다. 분광 산란계(spectroscopic scatterometer)는 광대역 방사선 빔을 기판 상으로 지향시키고 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼(예컨대, 파장을 함수로 하는 세기)을 측정한다. 각도 분해 산란계(angularly resolved scatterometer)는 단색성 방사선 빔(monochromatic radiation beam)을 이용하고, 산란된 방사선의 세기를 각도를 함수로 하여 측정한다.
알려진 산란계의 예들은 US2006033921A1 호 및 US2010201963A1 호에 기술되는 타입의 각도-분해된 산란계를 포함한다. 이러한 산란계에 의하여 사용되는 타겟은, 예를 들어 40μm*40μm인 상대적으로 큰 격자들이고, 측정 빔은 격자보다 더 작은 스폿을 생성한다(즉, 격자는 언더필된다). 복원에 의해 피쳐 형상을 측정하는 것과 함께, 공개 특허 출원 제 US2006066855A1에 기술된 것처럼, 이러한 장치를 사용하여 회절 기초 오버레이가 측정될 수 있다. 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 작은 타겟에 대한 오버레이 측정이 가능해진다. 암시야 이미징 계측의 예는 국제 특허 출원 제 WO 2009/078708 및 제 WO 2009/106279에서 발견될 수 있는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다. 이러한 기술의 추가적인 개발예들은 특허 공개 번호 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422에 설명되었다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내의 다수의 격자들이 측정될 수 있다. 이러한 출원들 모두의 내용도 참조되어 본 명세서에 원용된다.
리소그래피 프로세스를 수행할 때, 예컨대 기판에 패턴을 적용하거나 이러한 패턴을 측정할 때, 프로세스를 모니터링하고 제어하기 위해서 프로세스 제어 방법이 사용된다. 이러한 프로세스 제어 기법은 통상적으로, 리소그래피 프로세스의 제어를 위한 정정을 얻기 위해서 수행된다. 이러한 프로세스 제어 방법을 개선한다면 바람직할 것이다.
본 발명의 제 1 양태에서, 리소그래피 프로세스에서 제품 구조체를 기판에 제공하도록 구성되는 리소그래피 장치를 제어하기 위한 방법으로서, 리소그래피 프로세스에서 기판에 적용될 제품 구조체와 연관된 적어도 하나의 성능 파라미터의 측정 및/또는 시뮬레이션된 데이터를 포함하는 최적화 데이터를 결정하는 단계; 상기 제품 구조체를 상기 기판에 제공하기 전에 측정 및/또는 모델링되는 기판-특유 계측 데이터를 결정하는 단계 - 상기 기판-특유 계측 데이터는, 구조체가 적용되고 있는 기판의 특성 및/또는 상기 구조체가 상기 기판에 적용되는 시간에서의 상기 리소그래피 장치의 상태에 관련된 계측 데이터를 포함함 -; 및 상기 최적화 데이터 및 상기 기판-특유 계측 데이터에 기반하여, 상기 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하는 단계를 포함하는, 리소그래피 장치 제어 방법이 제공된다.
본 발명의 제 2 양태에서, 리소그래피 프로세스에서 기판에 제품 구조체를 제공하도록 구성되는 리소그래피 장치를 제어하기 위한 최적화 데이터를 결정하기 위한 처리 디바이스가 제공되는데, 처리 디바이스는: 제품 구조체와 연관된 적어도 하나의 성능 파라미터의 측정 및/또는 시뮬레이션된 데이터 및/또는 리소그래피 프로세스에서 기판에 적용될 그들의 배열을 포함하는 최적화 데이터를 결정하고, 최적화 데이터를 리소그래피 장치로 전송하도록 구성되고, 상기 최적화 데이터는 리소그래피 프로세스 중에 리소그래피 장치의 제어를 최적화하는 데에 있어서 기판-특유 계측 데이터와 함께 리소그래피 장치에 의해 사용될 수 있고, 상기 적어도 하나의 성능 파라미터는 리소그래피 장치의 기판 스테이지 및/또는 레티클 스테이지에서의 초점, 선량, 오버레이, 콘트라스트 방사선 시스템 출력 대역폭 및 오차의 콘트라스트 이동 표준 편차(Moving Standard Deviation)를 포함한다.
본 발명의 제 3 양태에서, 리소그래피 프로세스에서 기판에 제품 구조체를 제공하도록 구성되는 리소그래피 장치로서, 기판을 홀딩하기 위한 기판 스테이지; 패터닝 디바이스를 홀딩하기 위한 레티클 스테이지; 제품 구조체를 기판에 제공하기 전에 기판-특유 계측 데이터를 측정하도록 동작가능한 계측 시스템; 및 최적화 데이터 및 상기 기판-특유 계측 데이터에 기반하여 상기 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하도록 동작가능한 프로세서를 포함하고, 상기 최적화 데이터는 상기 리소그래피 프로세스에서 상기 기판에 적용될 상기 제품 구조체 및/또는 상기 제품 구조체의 배열과 연관된 적어도 하나의 성능 파라미터의 측정 및/또는 시뮬레이션된 데이터를 포함하는, 리소그래피 장치가 제공된다.
본 발명의 제 4 양태에서, 적합한 장치에서 실행될 때 제 1 양태의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램이 제공된다.
본 발명의 다른 양태, 특징 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
이제, 본 발명의 실시예들이 첨부된 도면을 참조하여 오직 예시에 의하여 설명될 것이다:
도 1은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다
도 2는 본 발명의 실시예에 따라 타겟을 측정하는 데에 사용되기 위한 산란계의 개략도를 포함한다
도 3은 처리 파라미터의 예시적인 소스를 보여준다
도 4는 중첩 프로세스 윈도우의 개념을 개략적으로 예시한다.
도 5는 리소그래피 장치의 제어를 위한 정정을 결정하는 본 발명의 방법을 개략적으로 도시한다 그리고
도 6은 본 발명의 실시예에 따라 리소그래피 장치의 제어를 위한 정정을 결정하는 방법을 개략적으로 도시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 대량의 리소그래피 제조 프로세스를 구현하는 산업 생산 설비의 일부로서, 리소그래피 장치(LA)를 200에서 도시한다. 제공된 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(집적 회로)을 제조하기 위해 적응된다. 상이한 타입의 기판을 이러한 프로세스를 변형하여 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(200")) 내에는 202에 측정 스테이션(MEA)이 도시되고, 204에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학 리소그래피 장치에서, 조절된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 투영 시스템이 사용된다. 이것은 패턴의 이미지를 방사사전-감응 레지스트 재료의 층에 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 패터닝(MA) 디바이스는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 잘 알려진 바와 같이, 투영 시스템은 기판에 대한 지지 및 위치설정 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그램가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외선(DUV) 또는 극자외(EUV) 파대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 기판(W) 및 레티클(MA)을 수용하고 패터닝 동작을 구현한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어나게 된다. 결과적으로, 이러한 장치가 제품 피쳐를 매우 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다. 이러한 장치는 두 개의 기판 테이블을 가지는 소위 이중 스테이지 타입일 수 있고, 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 위치설정 시스템이 있다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판은 측정 스테이션(MEA)에 있는 다른 기판 테이블에 로딩될 수 있어서, 다양한 준비 단계들이 수행될 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 예를 들어, 리소그래피 장치(LA)는 두 개의 기판 테이블과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입일 수 있다.
생산 설비 내에서, 장치(200)는, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및 현상 장치(212)가 제공된다. 이러한 장치들 모두 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
리소셀 내에서 패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 추가적인 물리적 및/또는 화학적 처리 단계들이 다른 장치(226 등)에 적용된다. 재료의 증착, 표면 재료 특성의 변경(산화, 도핑, 이온 주입 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계를 나타낸다. 다른 예로서, 리소그래피 장치에 의해 도포된 전조 패턴에 기초하여 다수의 더 작은 피쳐를 생성하기 위한, 자기-정렬 다중 패터닝을 구현하기 위한 장치 및 처리 단계가 제공될 수 있다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(226)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비에서는 상이한 기판들에 단계 226을 수행하도록 병렬적으로 동작하는, 동일해 보이는 여러 머신들이 존재할 수도 있다. 이러한 머신들 사이에서 셋-업 또는 고장에 있어서 작은 차이가 발생한다는 것은, 다른 기판들이 다른 방식으로 영향받게 된다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터가 매우 중요한 일부 층들은 디바이스 제작 프로세스 중에 덜 중요한 다른 층들 보다 더 진보된 리소그래피 툴에서 처리될 수 있다. 그러므로 일부 층들이 침지 타입 리소그래피 툴에서 노광될 수 있는 반면에 다른 층들은 '건식' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속 층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 계측이 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟부에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟부에만 추가의 노광이 수행될 수 있다.
도 1에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240)도 역시 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 스테이션의 공통적인 예는, 예를 들어 암시야 산란계, 각도-분해된 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 장치(240)로부터의 계측 결과(242)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(206)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다.
또한, 계측 장치(240) 및/또는 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다. 계측 장치는 오버레이 또는 CD와 같은 중요한 파라미터를 결정하기 위하여, 처리된 기판 상에서 사용될 수 있다.
본 발명의 실시예에서 사용하기에 적합한 계측 장치가 도 2의 (a)에 도시된다. 타겟(T) 및 타겟을 조명하기 위해 사용되는 측정 방사선의 회절광선이 도 2의 (b)에 더 상세히 도시되어 있다. 도시된 계측 장치는 암시야 계측 장치라고 알려진 타입이다. 이러한 측정 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 이러한 장치에서, 소스(11)(예를 들어, 제논 램프)에 의하여 방출된 광은 렌즈(12, 14) 및 대물 렌즈(16)를 포함하는 광학 시스템에 의하여 빔 분할기(15)를 통해 기판(W)으로 지향된다. 이러한 렌즈들은 4F 배치구성(4F arrangement)의 이중 시퀀스로 배치된다. 다른 렌즈 장치가 기판 이미지를 검출기에 여전히 제공하고, 공간적-주파수 필터링을 위하여 중간 퓨필-평면의 액세스를 동시에 허용하기만 하면, 이것도 역시 사용될 수 있다. 그러므로, 방사선이 기판에 입사하는 각도 범위는, 본 명세서에서(공액(conjugate)) 퓨필 평면이라고 불리는 기판 평면의 공간적 스펙트럼을 제공하는 평면에서의 공간적 세기 분포를 정의함으로써 선택될 수 있다. 특히, 이것은 대물 렌즈 퓨필 평면의 역-투영된(back-projected) 이미지인 평면에, 렌즈들(12 및 14) 사이에 적합한 형태의 애퍼쳐 플레이트(13)를 삽입함으로써 수행될 수 있다. 예시된 예에서, 애퍼쳐 플레이트(13)는, 다른 조명 모드가 선택되게 하는 13N 및 13S 라고 명명되는 다른 형태들을 가진다. 이러한 예에서 조명 시스템은 오프-축 조명 모드를 형성한다. 제 1 조명 모드에서, 애퍼쳐 플레이트(13N)는, 오직 설명의 편의를 위해서 '북쪽'이라고 지정되는 방향으로부터 오프-축을 제공한다. 제 2 조명 모드에서, 애퍼쳐 플레이트(13S)는 유사하지만 '남쪽'이라고 명명되는 방향으로부터 오는 조명을 제공하기 위하여 사용된다. 다른 애퍼쳐를 사용하면 조명의 다른 모드들도 가능해진다. 퓨필 평면의 나머지는 어두운 것이 선호되는데, 이것은 원하는 조명 모드 외부의 임의의 불필요한 광이 원하는 측정 신호와 간섭을 일으킬 것이기 때문이다.
도 2의 (b)에 도시된 바와 같이, 타겟(T)은 대물 렌즈(16)의 광축(O)에 법선을 이루는 기판(W)과 함께 배치된다. 기판(W)은 지지대(미도시)에 의해 지지될 수 있다. 축(O)에서 벗어난 각도로부터 타겟(T)에 충돌하는 측정 방사선(I)의 광선은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟의 경우에, 이러한 광선들은 계측 타겟(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 플레이트(13)에 있는 애퍼쳐가 유한한 폭(광의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사 광선(I)은 사실상 각도의 일정한 범위를 점유할 것이고, 회절된 광선 0 및 +1/-1은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다. 대물 렌즈에 진입하는 1차 광선이 중앙 광축과 가깝게 정렬되도록 타겟의 격자 피치 및 조명 각도가 설계되거나 조절될 수 있다는 점에 주의한다. 도 2의 (a) 및 도 2의 (b)에 예시된 광선들은 다소 오프 축이어서 도면에서 더 쉽게 구별될 수 있게 도시된다.
기판(W) 상의 타겟(T)에 의하여 회전된 것 중 적어도 0 및 +1 차 광선들은 대물 렌즈(16)에 의하여 수집되고 다시 빔 분할기(15)로 지향된다. 도 2의 (a)를 참조하면, 제 1 및 제 2 조명 모드 모두가 북쪽(N) 및 남쪽(S)이라고 명명된 서로 반대인 애퍼쳐를 지정함으로써 예시된다. 측정 방사선의 입사 광선(I)이 광축의 북쪽으로부터 입사하는 경우, 즉 제 1 조명 모드가 애퍼쳐 플레이트(13N)를 사용하여 적용되면, +1(N) 이라고 명명된 +1 회절 광선이 대물 렌즈(16)에 입사한다. 이에 반해, 제 2 조명 모드가 애퍼쳐 플레이트(13S)를 사용하면 적용되는 경우, -1 회절 광선(1(S)라고 명명됨)이 렌즈(16)에 진입한다.
제 2 빔 분할기(17)는 회절된 빔을 두 개의 측정 브랜치를 향해 분할한다. 제 1 측정 브랜치에서, 광학 시스템(18)은 0차와 1차 회절빔을 사용하여 제 1 센서(19)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 각각의 회절 차수는 센서 상의 다른 포인트에 도달하여, 이미지 처리를 통하여 차수를 비교하고 대조할 수 있다. 센서(19)에 의해 캡쳐된 퓨필 평면 이미지는 본 명세서에서 설명되는 방법에서 사용되는 재구성과 같은 많은 측정 목적을 위해 사용될 수 있다. 퓨필 평면 이미지는 계측 장치를 포커싱하는 것 및/또는 1차 빔의 세기 측정을 정규화하기 위해서도 사용될 수 있다.
제 2 측정 브랜치에서, 광학 시스템(20, 22)은 타겟(T)의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 제 2 측정 브랜치에서, 애퍼쳐 스톱(aperture stop; 21)이 퓨필-평면에 대하여 켤레인 평면에 제공된다. 애퍼쳐 스톱(21)은 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 -1 또는 +1 일차 빔에 의해서만 형성되게 하는 기능을 한다. 센서(19 및 23)에 의하여 캡쳐된 이미지는 이미지 프로세서(PU)로 출력되고, 이것의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. '이미지'라는 용어는 본 명세서에서 광의로 사용된다는 것에 주의한다. 이와 같이 격자 라인의 이미지는, -1 및 +1 차수 중 오직 하나만 존재할 경우에는 형성되지 않을 것이다.
도 2에 도시되는 애퍼쳐 플레이트(13) 및 필드 스톱(21)의 특정 형태들은 순전히 예일 뿐이다. 본 발명의 다른 실시예에서, 타겟의 온-축 조명이 사용되며, 오프-축 애퍼쳐를 가지는 애퍼쳐 스톱이 회절된 광의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 사용된다. 또 다른 실시예에서, 2차, 3차 및 더 고차인 빔(도 2에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다.
타겟(T)은 다수의 격자를 포함할 수 있고, 이들은 복합 격자의 다른 부분들이 형성되는 층들 사이의 오버레이의 측정을 용이하게 하기 위하여 상이하게 바이어스된 오버레이 오프셋을 가질 수 있다. 격자(62 내지 65)는 인입하는 방사선을 X 및 Y 방향으로 회절하기 위하여 배향이 있어서도 다를 수 있다. 일 예에서, 타겟은 바이어스된 오버레이 오프셋 +d 및 -d를 가지는 두 X-방향 격자, 바이어스된 오버레이 오프셋 +d 및 -d를 가지는 Y-방향 격자를 포함할 수 있다. 이러한 격자들의 개별 이미지는 센서(23)에 의하여 캡쳐된 이미지에서 식별될 수 있다. 격자들의 개별 이미지가 식별되면, 예를 들어 식별된 영역 내의 선택된 픽셀 세기 값을 평균화하거나 합산함으로써 그러한 개별 이미지의 세기가 측정될 수 있다. 이미지의 세기 및/또는 다른 속성이 서로 비교될 수 있다. 이러한 결과는 리소그래피 프로세스의 다른 파라미터를 측정하도록 결합될 수 있다.
다양한 기법들이 기판 상의 패턴의 재현의 정확도를 개선하기 위하여 사용될 수 있다. 기판 상으로의 패턴의 정확한 재현은 IC의 생산에서의 유일한 관심 대상은 아니다. 다른 관심 대상은 수율인데, 이것은 일반적으로 디바이스 제조사 또는 디바이스 제조 프로세스가 하나의 기판마다 얼마나 많은 기능성 디바이스를 생산할 수 있는지를 측정한다. 수율을 향상시키기 위하여 다양한 접근법이 채용될 수 있다. 이러한 하나의 접근법은, 디바이스를 생성하는 것이(예를 들어, 디자인 레이아웃의 일부를 스캐너와 같은 리소그래피 장치를 사용하여 기판 상으로 이미징하는 것) 기판을 처리하는 동안, 예를 들어 디자인 레이아웃의 일부를 리소그래피 장치를 사용하여 기판 상에 이미징하는 동안, 처리 파라미터 중 적어도 하나의의 섭동(perturbation)에 대해서 더 잘 견디게 하려고 시도한다. 중첩 프로세스 윈도우(overlapping process window; OPW)의 개념이 이러한 접근법을 위한 유용한 툴이다. 디바이스(예를 들어, IC)를 생산하는 것은, 이미징 전후 또는 이미징 중의 기판 측정, 기판의 로딩 또는 언로딩, 패터닝 디바이스의 로딩 또는 언로딩, 다이를 노광 전에 투영 광학기 아래에 위치시키는 것, 하나의 다이로부터 다른 다이로 스테핑하는 것, 등과 같은 다른 단계를 포함할 수 있다. 더 나아가, 패터닝 디바이스 상의 다양한 패턴은 상이한 프로세스 윈도우(즉, 패턴이 사양 안에서 생설될 처리 파라미터의 공간)를 가질 수 있다. 잠재적으로 생길 수 있는 시스템적 결함에 관련된 패턴 사양의 예에는, 네킹(necking), 라인 풀백(line pull back), 라인 박형화, CD, 에지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷 및/또는 브리징(bridging)에 대한 체크가 있다. 패터닝 디바이스 상의 패턴의 전부 또는 일부(보통, 특정 구역 내의 패턴)의 프로세스 윈도우는 각각의 개별 패턴의 (예를 들어, 중첩하는) 프로세스 윈도우를 병합함으로써 획득될 수 있다. 따라서, 이러한 패턴의 프로세스 윈도우는 중첩 프로세스 윈도우라고 불린다. OPW의 경계는 개별 피쳐 중 일부의 프로세스 윈도우의 경계를 포함할 수 있다. 다르게 말하면, 이러한 개별 패턴이 OPW를 한정한다. 이러한 개별 패턴은 "핫 스폿" 또는 "프로세스 윈도우 한정 패턴(process window limiting pattern; PWLP)"이라고 불릴 수 있고, 이들은 본 명세서에서 상호교환가능하도록 사용된다. 리소그래피 프로세스를 제어할 때, 핫 스폿에 포커싱하는 것이 가능하고 통상적으로 경제적이다. 핫 스폿에 결함이 없으면, 모든 패턴들이 무결함일 가능성이 있다. 처리 파라미터의 값이 OPW의 밖에 있는 경우에는 처리 파라미터의 값이 OPW에 더 가까울 때, 또는 처리 파라미터의 값이 OPW 내에 있는 경우에는 처리 파라미터의 값이 OPW의 경계로부터 더 멀리 있을 때, 이미징은 섭동에 대해 더 관대해진다.
도 3은 처리 파라미터(350)의 예시적인 소스를 보여준다. 하나의 소스는 처리 장치의 데이터(310), 예컨대 리소그래피 장치의 소스, 투영 광학기, 기판 스테이지 등, 그리고 트랙 등의 파라미터일 수 있다. 다른 소스는, 기판 높이 맵, 초점 맵, 임계 치수 균일성(CDU) 맵 등과 같은, 다양한 기판 계측 툴로부터의 데이터(320) 일 수 있다. 데이터(320)는, 적용가능한 기판이 기판의 재작업을 방지하는 단계(예를 들어, 현상)에 노출되기 전에 획득될 수 있다. 다른 소스는, 하나 이상의 패터닝 디바이스 계측 툴, 패터닝 디바이스 CDU 맵, 패터닝 디바이스(예를 들어, 마스크) 막 적층 파라미터 변동 등으로부터의 데이터(330)일 수 있다. 또 다른 소스는 처리 장치의 운영자로부터의 데이터(340)일 수 있다.
도 4는 OPW의 개념을 개략적으로 예시한다. 개념을 예시하기 위하여, 패터닝 디바이스 상의 구역, 또는 그리드 요소/픽셀(500)은 오직 두 개의 개별 패턴(510 및 520)만을 가지는 것으로 추정된다. 이러한 구역은 훨씬 더 많은 패턴을 포함할 수 있다. 개별 패턴(510 및 520)에 대한 프로세스 윈도우는 각각 511 및 512 이다. 개념을 예시하기 위하여, 처리 파라미터는 초점(수평 축) 및 선량(수직 축)만을 포함한다고 가정된다. 그러나, 처리 파라미터는 임의의 적합한 파라미터를 포함할 수도 있다. 구역의 OPW(550)는 프로세스 윈도우(511 및 512) 사이의 중첩부를 찾음으로써 획득될 수 있다. OPW(550)는 도 4에서 빗금 구역으로 표현된다. OPW(550)는 비정규적 형상을 가질 수 있다. 그러나, OPW를 쉽게 나타내고 처리 파라미터 값들의 세트가 OPW 내에 있는지 여부를 쉽게 결정하기 위하여, "근사화된(fitted) OPW"(예를 들어, 타원(560))가 대신 사용될 수 있다. "근사화된 OPW"는, 예를 들어 OPW 내에 맞춤되는 최대 초타원체(hyperellipsoid)(예를 들어, 이러한 예에서와 같은 2-차원의 처리 파라미터 공간 내의 타원, 타원형 3-차원의 처리 파라미터 공간 등)일 수 있다. "근사화된 OPW"를 사용하면 계산 비용이 감소되는 경향이 있지만 OPW의 전체 크기의 장점을 가지지 않는다.
처리 파라미터의 값은, 처리 파라미터가 OPW 밖으로 천이하고 따라서 결함을 초래하고 수율을 감소시킬 가능성을 줄이기 위하여, 이들이 OPW 또는 근사화된 OPW의 경계로부터 떨어져 있도록 선택될 수 있다. 처리 파라미터의 값을 선택하는 하나의 접근법은, 실제 이미징 이전에, (1) 리소그래피 장치를 최적화하는 것(예를 들어, 소스 및 투영 광학기를 최적화하는 것) 및 디자인 레이아웃을 최적화하는 것, (2) OPW 또는 근사화된 OPW를 결정하는 것(예를 들어, 시뮬레이션에 의하여), 및(3) OPW 또는 근사화된 OPW의 경계로부터 가능한 멀리 떨어져 있는 포인트를 처리 파라미터의 공간에서 결정하는 것(즉, 처리 파라미터의 값을 결정하는 것)(이러한 포인트는 OPW 또는 근사화된 OPW의 "중심"이라고 불릴 수 있음)을 포함한다. 도 4의 예에서, 포인트(555)가 OPW(550)의 경계로부터 가능한 멀리 떨어져 있는 처리 파라미터 공간 내의 포인트이고, 포인트(565)는 근사화된 OPW(560)의 경계로부터 가능한 멀리 떨어져 있는 처리 파라미터 공간 내의 포인트이다. 포인트(555) 및 포인트(565)는 공칭 조건이라고 불릴 수 있다. 이미징 중에 또는 이미징 이전에, 처리 파라미터가 포인트(555) 또는 포인트(565)로부터 멀어져서 OPW의 경계를 향해 또는 심지어 OPW의 경계 밖으로 천이한다면, 바람직하게는 이미징 또는 다른 처리를 인터럽트 하지 않으면서 이러한 천이를 인식하고 처리 파라미터를 OPW 내로 그리고 그 경계로부터 멀어지게 다시 집어넣기 위한 적절한 정정을 하는 능력을 가진다면 유리할 것이다.
실제 이미징 중에 또는 실제 이미징 이전에, 처리 파라미터는 OPW 또는 근사화된 OPW의 경계로부터 가능한 먼 포인트로부터 벗어나게 되는 섭동을 가질 수 있다. 예를 들어, 초점은 노광될 기판의 토포그래피, 기판 스테이지에서의 드리프트, 투영 광학기의 변형 등에 의해서 변할 수 있다 선량은 소스 세기 내의 드리프트, 드웰(dwell) 시간 등에 의해서 변할 수 있다. 섭동은 처리 파라미터가 OPW 밖으로 벗어나서, 결함을 일으킬 수 있게 하도록 충분히 클 수 있다. 섭동되는 처리 파라미터를 식별하고 해당 처리 파라미터를 정정하기 위해서 다양한 기법이 사용될 수 있다. 예를 들어, 초점이, 예를 들어 기판의 나머지로부터 다소 올라간 기판의 어느 구역이 노광되는 중이어서 섭동되면, 기판 스테이지는 이러한 섭동을 보상하기 위해서 이동되거나 틸트될 수 있다.
리소그래피 프로세스의 제어는 통상적으로, 피드백되거나 피드포워드된 후, 예를 들어 필드간(기판에 걸친 지문) 또는 필드내(필드에 걸친 지문) 모델을 사용하여 모델링된 측정에 기반한다. 한 다이 내에는, 메모리 구역, 로직 구역, 콘택 구역 등과 같은 별개의 기능성 구역이 존재할 수 있다. 각각의 상이한 기능성 구역, 또는 상이한 기능성 구역 타입은, 각각 상이한 프로세스 윈도우 중심을 가지는 상이한 프로세스 윈도우를 가질 수 있다. 예를 들어, 상이한 기능성 구역 타입은 상이한 높이, 및 따라서 상이한 최선 초점 설정을 가질 수 있다. 또한, 상이한 기능성 구역 타입은 상이한 구조체 복잡성, 및 따라서 각각의 최선 초점 주위의 상이한 초점 공차(초점 프로세스 윈도우)를 가질 수 있다. 그러나, 이러한 상이한 기능성 구역 각각은 통상적으로, 제어 그리드 분해능 제한사항에 기인하여 동일한 초점(또는 선량 또는 위치 등) 설정을 사용하여 형성될 것이다.
리소그래피 제어는 통상적으로, (예를 들어) 이전에 형성된 구조체의 측정에 기반하여, 하나 이상의 특정 제어 자유도에 대한 하나 이상의 세트-포인트 정정의 오프라인 계산을 사용하여 수행된다. 세트-포인트 정정은 특정 프로세스 파라미터에 대한 정정을 포함할 수 있고, 측정된 프로세스 파라미터가 사양 내에(예를 들어, 최선 세트포인트 또는 최선 값에 대한 허용된 변동 예를 들어, OPW 또는 프로세스 윈도우 내에) 남아 있도록, 임의의 드리프트 또는 오차를 보상하기 위한 특정 자유도의 설정의 정정을 포함할 수 있다. 예를 들어, 중요한 프로세스 파라미터는 초점이고, 초점 오차는 기판 상에 형성되는 중의 결함있는 구조체에서 명백히 드러날 수 있다. 통상적인 초점 제어 루프에서는, 초점 피드백 방법론이 사용될 수 있다. 이러한 방법론은, 형성된 구조체 상에서 사용되는 초점 설정을 측정할 수 있는 계측 단계를 포함할 수 있다 예를 들어, 계측 단계는 초점 의존적 비대칭을 가지는 타겟이 형성되어 초점 설정이 타겟 상의 비대칭의 측정으로부터 후속하여 결정될 수 있게 되는 회절 기반 초점(diffraction based focus; DBF) 기법을 사용한다. 그러면, 측정된 초점 설정이, 리소그래피 프로세스에 대한 정정, 예를 들어 초점 오프셋(디포커스)을 정정하는, 레티클 스테이지 또는 기판 스테이지 중 하나 또는 양자 모두에 대한 위치 정정을 오프라인으로 결정하기 위하여 사용될 수 있다. 이러한 오프라인 위치 정정은 이제, 스캐너에 의해 직접적으로 작동되도록, 세트-포인트 최선 초점 정정으로서 스캐너에 전달될 수 있다. 측정들은, 평균(로트들의 평균) 최선 초점 정정이 하나 이상의 후속 로트의 각각의 기판에 적용되면서, 여러 로트에 걸쳐 획득될 수 있다.
도 5는 이러한 방법론을 예시한다. 이것은, 최적화 알고리즘(620)을 수행하는 오프라인 처리 디바이스(615)에 공급되는, 제품 레이아웃, 조명 모드, 제품 마이크로-토포그래피 등과 같은 제품 정보(605), 및 계측 데이터(610)(예를 들어, 이전에 생산된 기판으로부터 측정된 디포커스 데이터 또는 오버레이 데이터)를 보여준다. 최적화 알고리즘(620)의 출력은, 예를 들어 스캐너(635) 내에서의 레티클 스테이지 및/또는 기판 스테이지 위치설정을 제어하는 액츄에이터에 대한 하나 이상의 세트-포인트 정정(625)을 포함한다. 세트-포인트 정정(625)은 통상적으로, 계측 데이터(610) 내에 포함된 임의의 오프셋 오차(예를 들어, 디포커스, 선량 또는 오버레이 오프셋 오차)를 보상하기 위해서 계산되는 간단한 정정 오프셋을 포함한다. 제어 레티클 스테이지 및/또는 기판 스테이지 위치설정에 대한 정정은, 예를 들어 임의의 방향, 즉, x, y 및/또는 z 방향으로의 제어 정정일 수 있는데, x 및 y는 기판 평면을 규정하고 z는 이러한 평면에 수직이다. 좀 더 구체적으로는, 이들은, 오버레이/정렬 오차를 정정하는 x/y 방향 정정, 및/또는 초점 오차를 정정하는 z 방향 정정을 포함할 수 있다. 제어 알고리즘(640)(예를 들어, 레벨링 알고리즘)은 기판-특유 계측 데이터(650)를 사용하여 제어 세트-포인트(645)를 계산한다. 예를 들어, 레벨링 노광 궤적(예를 들어, 리소그래피 프로세스 중에 레티클 스테이지에 상대적인 기판 스테이지의 위치설정에 대한 상대 운동 또는 가속도 프로파일을 결정하는 것)은 레벨링 데이터(예를 들어, 웨이퍼 높이 맵)를 사용하여 계산될 수 있고, 스캐너 액츄에이터에 대한 위치 세트-포인트(645)를 출력한다. 스캐너(635)는 각각의 기판에 동일하게, 계산된 세트-포인트(645)에 세트-포인트 정정(오프셋)(625)을 직접 적용한다.
이러한 방법론의 단점은 각 기판에 대해 최적화된 정정을 결정하는 것이 불가능하다는 것이다. 알려진 바와 같이, 각각의 기판은, 구조체가 그 위에 형성되기 전에 하나 이상의 기판-특유 계측 단계(예를 들어, 기판별 및/또는 층별 계측 단계)를 거칠 것이다(즉, 도 5의 기판-특유 계측 데이터(650)를 얻기 위함). 이러한 계측 단계는, 예를 들어 기판에 걸친 높이 변동을 결정하기 위한 레벨링 측정(예를 들어, 웨이퍼 높이 맵)을 포함할 수 있다. 초점이 본질적으로 기판 표면에 대한 레티클의 높이에 의존하기 때문에, 이러한 높이 변동이 정정되지 않으면 기판에 걸쳐서 국소 초점 변동이 생길 것이다. 그러므로, 기판 및/또는 레티클의 위치는 통상적으로, 측정된 높이 변동을 보상하려고 시도하기 위하여, 기판 표면 상에 구조체를 형성하는 동안에 동적으로 제어된다(이용가능한 자유도를 사용함). 그러나, 결정된 최선 초점 세트-포인트 정정이 평균 정정으로서 오프라인으로 계산되기 때문에(예를 들어, 한 개의 로트 또는 여러 로트마다), 이것은 각각의 기판의 측정된 높이 맵, 즉, 기판-특유 높이 변동과 무관하게 각각의 기판에 동일하게 적용될 수밖에 없다. 그러면 최적이 아닌 정정이 이루어진다.
이와 유사하게, 현재는, 오프라인 오버레이 및/또는 에지 배치 최적화는 기반하여 한 로트에 걸친 평균화된 정렬 데이터에 기반하여 오직 세트-포인트 위치 정정을 계산하고, 따라서 각각의 기판이 스캐너 상에 로딩될 때 측정된 정렬 데이터 또는 웨이퍼 높이 맵(높이 변동도 오버레이 및 에지 배치에 영향을 줄 수 있기 때문임)을 사용하여 기판별 또는 층별 최적화를 계산할 수 없다.
그러므로, 도 6에 도시된 바와 같이, 이러한 프로세스 파라미터에 대한 실시간 최적화를 수행하는 것이 제안된다. 도 6 도, 제품 레이아웃, 조명 모드, 제품 마이크로-토포그래피 등과 같은 제품 정보(605), 및 계측 데이터(610)(예를 들어, 이전에 노광된 기판으로부터의 적어도 하나의 성능 파라미터의 측정치)를 수신하는 오프라인 처리 디바이스(615)를 보여준다. 그러나, 알고리즘(720)은 이제, 최적화 서브-레시피를 포함하는 최적화 데이터(755)를 계산한다. 최적화 데이터(755)는, 예를 들어: 다이 레이아웃 정보, 데드 다이 데이터(예를 들어, 후술되는 바와 같은 데드 다이 맵), 성능 파라미터에 대해서 추정되거나 공지된 최선 설정을 포함하는 최선 설정 데이터(예를 들어, 최선 설정 맵의 형태임), 임계 데이터(예를 들어, 최선 설정 맵과 연관되거나 되지 않을 수 있는 프로세스 윈도우와 같은, 허용된 프로세스 파라미터 변동 공간), 성능 파라미터에 대한 모델링된 (추정된) 값(예를 들어, 추정 맵), 또는 후술될 요구되는 이동 평균(Moving Average; MA) 대 시간 이동 표준 편차(Moving Standard Deviation; MSD) 밸런스(balance) 비율(MA:MSD) 중 하나 이상을 포함할 수 있다. 이러나 목록은 비망라적이라는 것에 주의해야 한다.
이러한 최적화 서브-레시피(755)는 스캐너(635)로 전달되고, 기판-특유 계측 데이터(650)와 함께 스캐너 알고리즘(740)에 의해 사용되어, 예를 들어 기판별 및/또는 층별로 정정(예를 들어, 정정된 세트-포인트)(645)을 결정한다. 그러므로, (예를 들어, 오프라인 계측)에 기반하여 세트-포인트 정정을 계산하고, 이러한 세트-포인트 정정을 스캐너(635)에 공급하는 대신에, 스캐너 내에서 실제 최적화가 수행되고 세트-포인트가 계산되면서(추가적 세트-포인트 정정(625)이 선택적으로 오프라인에서 계산될 수 있음), 최적화 서브-레시피(예를 들어, 적합한 최적화 함수)(755)가 임의의 기판별 계측(650)의 결과를 사용하여 오프라인 계측(610)에 기반해서 계산된다.
전술된 바와 같은 초점의 특정한 예를 사용하면, 초점 세트-포인트 정정(예를 들어, 레티클/기판 스테이지 세트-포인트 위치 정정 및 렌즈 수차 정정)을 오프라인으로 계산하고, 이것을 스캐너 제어에 의해 직접적으로 구현되도록 전달하는 대신에, 이제 초점 최적화 서브-레시피(755)를 결정하기 위해서 오프라인 알고리즘(720)이 사용되는 것이 제안된다. 그러면, 예를 들어 기판 높이 변동 데이터(웨이퍼 높이 맵) 및 렌즈 수차 상태 데이터를 사용해서 초점을 실시간으로 최적화하기 위해서, 최적화 서브-레시피(755)가 스캐너(635)에 의하여 사용된다. 이와 같이, 알고리즘(740)은, 기판 및/또는 레티클 스테이지를 제어하는 레벨링 알고리즘 및 렌즈 제어를 위한 렌즈 수차 알고리즘을 포함할 수 있다. 기판-특유 계측 데이터(650)는 웨이퍼 높이 맵 및 측정된 렌즈 수차 데이터를 포함한다. 결과적으로, 작동(actuation) 세트-포인트(645)가, 각각의 기판의 레벨링 데이터, 및 각각의 층에 대한 (예를 들어) 다이 레이아웃 및 마이크로-토포그래피를 임의의 오프라인 계측 데이터와 조합하여 사용하면서 기판별 또는 층별로 계산되고 최적화될 수 있다. 이러한 제안은 오프라인 처리 디바이스(615) 및 스캐너(635) 사이의 인터페이스와 스캐너(635)를 변경할 것을 요구할 것이다.
다른 기판-특유 계측 데이터를 사용하는 것이 가능하여, 예를 들어 선량 또는 오버레이의 기판별 최적화가 역시 가능해진다. 예를 들어, 오버레이 및/또는 에지 배치 제어의 최적화는 기판-특유 정렬 계측을, 가능하게는 렌즈 수차 데이터 및 연속 웨이퍼 높이 맵(후술되는 바와 같음)과 결합하여 사용함으로써 개선될 수 있다. 선량의 예에서, 통상적으로 이용가능한 계측만이 스폿 센서로부터의 평균 스폿 세기이다. 본 명세서에서 설명된 기법들을 사용하면, 선량의 기판별 최적화는(예를 들어, 평균 스폿 세기에 추가하여), 모든 기판에 대한 슬릿 프로파일을 포함하는 기판-특유 계측을 사용하여, 선량 최적화(예를 들어, 슬릿 프로파일 및 스캔 프로파일 사이의 최적화)가 기판별로 수행될 수 있게 할 수 있다. 명세서에서 후술되는 바와 같이, 기판별 최적화는 이러한(또는 다른) 프로세스 파라미터 중 두 개 이상을 공동-최적화하는 공동-최적화를 포함할 수 있다.
실시예들에서, 기판-특유 계측 데이터는 오프라인 기판-특유 계측 데이터를 포함할 수 있다. 이것은, 마이크로 토폴로지 데이터, 레벨 센서 프로세스 의존성 데이터, 층 두께 프로파일 데이터, 전역 형상 및/또는 벤딩 데이터 중 하나 이상을 포함할 수 있다. 실시예들에서, 기판-특유 계측 데이터는 노광 시의 스캐너의 상태, 예를 들어 투영 광학기의, 예를 들어 렌즈 수차에 관련된 계측을 포함할 수 있다.
실시예들에서, 기판-특유 계측 데이터는 모델링 및/또는 필터링된 계측 데이터를 포함할 수 있다. 일 실시예에서, 기판-특유 계측 데이터는 연속 기판 맵 또는 연속 웨이퍼 맵(continuous wafer map; CWM)을 포함할 수 있다. 연속 웨이퍼 맵은, 기판에 걸친 프로세스 파라미터 변동을 매핑하는 샘플링된 기판 맵 내의 센서 노이즈, 센서 교정 드리프트, 센서 데이터 필터링 아티팩트, 성긴 샘플링 제한사항 및/또는 유한 센서 스폿 크기 중 하나 이상을 정정하는 모델을 포함할 수 있다. 일부 시스템에서 CWM은 현재 오프라인으로 유지되고 있다. 이제, CWM은 스캐너 내에 유지되고 실시간으로 업데이트될 수 있다(예를 들어, 기판별로 업데이트됨).
일 실시예에서, 최적화 레시피(최적화 데이터)를 튜닝하는 것은 다음 중 일부 또는 전부를 포함할 수 있다(비-망라적임):
필드(또는 다이)별 최선 파라미터 값 또는 세트포인트 맵. 이것은, 예를 들어 최선 초점 맵(프로세스 파라미터(들)가 초점을 포함하는 경우) 및/또는 최선 에너지 맵(프로세스 파라미터(들)가 선량을 포함하는 경우)을 포함할 수 있다.
필드(또는 다이) 내의 포인트별 프로세스 파라미터의 허용된 변동 공간(예를 들어, 허용된 변동 범위 또는 프로세스 윈도우). 이것은, 예를 들어 초점 심도 맵 및/또는 노광 위도 맵을 포함할 수 있다.
예를 들어: WO2009/148976(본 명세서에서 원용에 의해 통합됨)에서 기술되는 것과 같은 렌즈 수차 영향 밸런싱(balancing), 레벨링 MA:MSD 비율(z 방향), 오버레이 대 이미징 MA:MSDxy, 및/또는 일부:전체 다이 가중치에 대한 리소 메트릭 방정식을 포함할 수 있는, 최적화 균형(balancing) 정의/가중치의 세트.
또한, 최적화 데이터는 레퍼런스 데이터를 포함할 수 있다. 레퍼런스 데이터는, 특히, 계측 데이터로부터의 추정 맵과 같은 추정된/모델링된 데이터, 예를 들어 추정된 초점 맵 또는 추정된 실효 선량 맵(CD를 선량 감도로 나눈 것)을 포함할 수 있다. 최적화 데이터는 층별 데이터(예를 들어, 다이 레이아웃 데이터 및/또는 데드 다이 데이터)를 더 포함할 수 있다.
초점 최적화에 대한 특정한 예에서, 초점 튜닝 최적화 레시피는 다음 중 일부 또는 전부를 포함할 수 있다(또는 이들로부터 유도될 수 있음:
예를 들어, 마이크로 토폴로지 데이터, 또는 프로세스 윈도우 최적화를 위해 모델링된 최선 초점 천이(예를 들어, 본 명세서에서 원용에 의해 통합되는 예를 들어 US7703069 B1에서 설명되는 바와 같은, 3-차원의 레티클 모델을 사용함)를 포함하는 필드내 최선 초점(BF) 맵 - 예를 들어, 특히 제품을 식별하는, 발전된 스펙맞춤 다이(die-in-spec) 최적화. 최선 초점 데이터는 이미징 효과(마스크 3D 효과, 레지스트 3D, 수차)를 통한 변동에 대해서 정정될 수 있다
프로세스 윈도우 최적화를 위한 필드내 초점 심도(depth of focus; DoF) 맵
스펙맞춤 다이 최적화를 위한 다이 레이아웃
진보된 스펙맞춤 다이 최적화에 대한 데드 다이 목록
온-제품 계측에 기반한, 온-제품 초점 추정 모델 결과. 계측 데이터는, 예를 들어 계산적 계측 기법을 사용하는 스캐너 제어로부터 피드백된 MA 및/또는 MSD 잔차(및 렌즈 수차 잔차, 예를 들어, 제르니케 Z5 및 Z9(또는 Z6)도 가능함)에 대해서 정정될 수 있다.
스캐너 제어는 최적화를 수행하기 위하여 최적화 데이터를 사용할 수 있고, 이것은 다음을 포함할 수 있다:
스펙맞춤 다이 최적화 제어 타겟으로부터의 성능 파라미터의 최대 편차를 최소화하는 다이별 최대 절대값(max abs) 최적화이고, 어떤 다이를 위하여 다른 다이를 희생시키는 것과 결합될 수 있다
프로세스 윈도우 최적화; 이것은 스펙맞춤 다이 최적화와 본질적으로 유사하지만, 최선 파라미터 값 맵 및/또는 허용된 변동 공간 데이터(프로세스 윈도우 맵)를 더 사용한다. 이러한 프로세스 윈도우 최적화는, 대응하는 파라미터 값으로부터의 상기 성능 파라미터의 최대 편차를 최소화하는 것 및/또는 대응하는 허용된 변동 공간의 에지로부터의 성능 파라미터의 거리를 최대화하는 것을 포함할 수 있다. 특히, 프로세스 윈도우 최적화는, 최적화 공간에 걸쳐, a) 대응하는 최선 파라미터 값에 대한 상기 성능 파라미터의 국지적 움직임 및 b) 대응하는 허용된 변동 공간의 국지적 에지 사이의 최소 거리를 최대화하는 것을 포함할 수 있다. 프로세스 윈도우 맵 없이 최선 파라미터 값 맵만이 이용가능하면, 최적화는 각각의 다이에 대해서 일정한 (가정된) 프로세스 윈도우를 사용하여 수행될 수 있다. 최선 파라미터 값 맵 없이 프로세스 윈도우 맵만이 이용가능하면, 최적화는, 최선 파라미터 값이 각각의 프로세스 윈도우의 중심이고, 그렇지 않으면 0이거나 다른 임의의 값이라는 가정을 사용하여 수행될 수 있다. 이러한 프로세스 윈도우 최적화는 전술된 WO2009/148976에서 설명된다(특히, 렌즈 수차의 정정에 관하여). 최선 파라미터 값 맵에 기반한 국소 가중치를 사용하는 것(예를 들어, 초점 심도의 역수에 기반한 가중치부여), 또는 패턴 충실도 제어에서 사용되는 것과 같은 알고리즘을 사용하는 것과 같은 다른 최적화 전략이 가능하다
두 개 이상의 프로세스 파라미터를 공동-최적화하는 능력, 예를 들어, 스캐너/프로세스 제어 내의 하나 이상의 자유도에 대한 단일 또는 결합된 정정을 결정하여 초점, 선량, 오버레이 및/또는 렌즈 수차 등에 대한 개별적인 최적화를 교체하는 능력. 이러한 개념은 상세히 후술될 것이다.
위에서 언급된 바와 같이, 일 실시예에서, 프로세스 파라미터 최적화(예를 들어, 초점 최적화)는 "스펙맞춤 다이" 최적화를 포함할 수 있다. 이것은 기판 전체에 평균화된 최적화(예를 들어, 기판에 걸친 최선 초점으로부터의 초점 차이의 최소제곱 최소화에 기반한 최소제곱 최적화)를 적용하는 것이 아니라, 사양 내에 있는 다이들의 개수를 최대화하는 것이다. 이와 같이, "스펙맞춤 다이" 최적화는 프로세스 파라미터를 최적화할 때 제품(다이 레이아웃)의 종래의 지식을 사용한다. 최소제곱 최적화는 통상적으로, 다이 레이아웃을 고려하지 않고 각각의 위치를 동등하게 취급한다. 이와 같이, 최소제곱 최적화는 스펙을 벗어나지만 각각 다른 다이에 있는 "오직" 네 개의 위치를 초래하는 정정을, 두 개의 다이에만 영향을 주며 일곱 개의 스펙을 벗어나는 위치를 가지는(예를 들어, 하나의 다이 내에 네 개의 결함과 다른 다이 내의 세 개의 결함) 정정보다 선호할 수 있다. 그러나, 단일 결함만 있으면 결함 있는 다이를 제공할 경향이 있을 것이므로, 무결함 다이(즉, 스펙맞춤 다이)의 개수를 최대화하는 것이 단지 기판별 결함의 개수를 최소화하는 것보다 궁금적으로는 더 중요하다. 스펙맞춤 다이 최적화는 다이 최적화별 최대 절대값(max abs)을 포함할 수 있다는 것이 이해되어야 한다. 이러한 최대 절대값 최적화는 제어 타겟으로부터의 성능 파라미터의 최대 편차를 최소화할 수 있다. 그 대신에 최대 절대값에 대한 미분가능 근사화가 사용되어, 비용 함수를 더 쉽게 풀게 할 수도 있다. 효과적으로 수행하려면, 웨이퍼 맵과 같은 세부사항이 최적화에서 사용되어야 한다.
일 실시예에서, 스펙맞춤 다이 최적화는 "데드 다이(dead die)" 데이터베이스를 사용함으로써 더욱 개선될 수 있다. 이러한 데이터베이스는 동적으로 유지되고, 다이가 적어도 하나의 결함을 가지는 것으로 여겨지거나 결함을 가질 것으로 추정되어 데드 상태라고(결함있음) 여겨지는 모든 인스턴스를 기록한다(예를 들어, 이전의 수율 데이터, 다른 리소그래피 프로세스로부터의 데이터 및/또는 디포커스 추정 맵을 사용함). 그러면, 이러한 데드 다이는 임의의 최적화 중에 더욱 희생될 수 있다. 이것은, 다이가 기능성을 가지기 위한 실제 한계의 프로세스 윈도우보다 아주 크거나 무한한 프로세스 윈도우를 불량 다이에 귀속시킴으로써 달성될 수 있다. 불량 다이 내에 더 많은 결함이 있을 가능성을 높임으로써, 다른 다이에 대한 최적화 또는 제어를 위한 더 많은 유연성이 제공될 수 있다. 그러므로, 그러한 동일한 층, 또는 연속적인 층에 대한 최적화에서 다른 다이에 결함이 있을 가능성이 낮아질 수 있어서, 스펙 상 다이의 개수를 더욱 최대화한다.
스펙맞춤 다이의 개수를 최대화하는 것에 추가하여, 스펙맞춤 다이 최적화는 일 실시예에서 프로세스 윈도우 최적화를 최대화함으로써 더욱 개선될 수 있는데, 이것은 기판 또는 층별 프로세스 윈도우 마진(초점 또는 다른 프로세스 파라미터가 스펙 내에 있는 정도)을 최대화한다. 이것은, 파라미터 값(예를 들어, 디포커스) 추정 맵 및 제품 레이아웃 정보(예를 들어, BF 및 DoF 맵)를 사용하는 것을 포함할 수 있다. 디포커스 추정 맵은 계산적 계측(예를 들어, 리소그래피 프로세스 모델링)을 통해 획득될 수 있다. 계산적 계측 프로세스 윈도우 최적화는 이러한 맵을 사용하여, 대응하는 프로세스 윈도우(예를 들어, 초점 심도)에 대한 모델링된 파라미터 값(예를 들어, 초점)의 마진을 최대화하고 및/또는 대응하는 최선 파라미터 값(예를 들어, 최선 초점)에 대한 모델링된 파라미터 값의 차이를 최소화할 수 있다. 이와 같이, 이러한 방법은, 최적화 공간에 걸쳐, a) 대응하는 최선 파라미터 값에 대한 상기 성능 파라미터의 국지적 움직임 및 b) 대응하는 허용된 변동 공간의 국지적 에지 사이의 최소 거리를 최대화하는 것을 포함할 수 있다.
스펙맞춤 다이 최적화는, 제 1 추정(최소제곱 근사화를 포함할 수 있음)의 잔차가 계산되고, 이것에 기반하여 각각의 잔차로부터 초래되는 결함의 우도(likelihood)가 계산되는 반복적 프로세스를 포함할 수 있다. 다이별 최대 결함 우도가 계산되고, 결함을 가질 가능성이 있는 다이의 개수가 결정된다. 그러면, 잔차의 계산으로 재시작하는 여러 반복을 통해, 관련된 파라미터가 결함을 가질 가능성이 있는 다이의 개수를 최소화하도록 변동된다.
계산된 최적화 서브-레시피(755)는, 예를 들어 최선 파라미터 데이터(예를 들어, BF 맵) 및 임계 데이터(예를 들어, DoF 맵), MA/MSD 가중치 비율, 다이 레이아웃, 풀/부분적인 다이 가중치 비율 및 데드 다이 맵 중 하나 이상을 사용하여, 적절한 제어 알고리즘(740)(예를 들어, 레벨링 알고리즘)의 메리트 함수를 변경하도록 동작될 수 있다.
MA/MSD 가중치 비율은 리소그래피 스테이지의 이동 평균(MA) 오차 및 이러한 오차의 시간 이동 표준 편차(MSD)에 주어지는 레벨링 알고리즘에서의 상대적인 중요도를 포함한다. 여기에서, 임계 시간 윈도우는 다이 상의 각각의 포인트가 노광되는(다르게 말하면: 광자를 수용하는) 시간 간격이다. 이러한 시간 간격 중에 다이 상의 어떤 포인트에 대한 평균 위치 오차가 높다면(다르게 말하면: 높은 MA-오차), 그 효과는 노광된 이미지가 천이되어 초점 및/또는 오버레이 오차를 초래하는 것이다. 이러한 시간 간격 중의 위치 오차의 표준 편차가 높다면(다르게 말하면: 높은 MSD 오차), 이미지가 스미어링되어 페이딩(fading) 오차가 초래될 수 있다. 많은 상황에서, 1(동일한 가중치부여)의 비율이 초점(예를 들어, z 방향으로의 제어)을 위해 선호될 것이고, 오버레이 대 이미징(예를 들어, x/y 평면으로의 제어)를 위해서는 1 보다 큰 비율이 선호될 것이다. 그러나, 다른 애플리케이션에서는, 다른 비율이 수용될 수도 있다. 예를 들어, 3D NAND 애플리케이션에서는 높은 및 낮은 층 사이에 낮은 중첩 DOF가 존재할 수 있다. 이러한 경우에서 중첩 DOF로부터의 편차는 콘트라스트의 한 비트를 잃는 것보다 더 악화될 수 있다. 그러므로, 최적화 서브-레시피는 (메리트 함수) 레벨링 알고리즘을 변경하여 MA에 대하여 MSD의 더 적은 가중치를 제공할 수 있다.
위의 방법론이 초점, 선량 또는 오버레이 최적화에 관하여 설명되지만, 수차를 넘는 예컨대 존스(Jones) 퓨필, 레이저 대역폭, 스테이지 및 렌즈 요소 이동으로부터의 콘트라스트 MSDxyz와 같은 다른 스캐너 파라미터가 포함될 수 있다. 최적화는, 후술되는 바와 같이 이러한 파라미터 또는 다른 프로세스 파라미터 중 두 개 이상의 임의의 조합을 공동-최적화하는 것을 더 포함할 수 있다.
전술된 개념은 확장되어 공동-최적화된 스캐너 제어라는 매우 큰 이점이 얻어질 수 있는데, 이러한 경우 그러한 프로세스 파라미터(또는 그것의 서브세트) 및/또는 관련된(예를 들어, 의존적) 프로세스 파라미터 각각을 최적화하기 위하여, 공동-최적화된 정정은 두 개 이상의 프로세스 파라미터에 관련된 최적화 데이터에 기반하여 결정된다. 예를 들어, 스캐너는 최적화된 임계 치수(CD)를 위한 초점 및 선량을 공동-최적화하고 및/또는 결함을 최소화할 수 있다. 다른 실시예에서, 스캐너는 하나 이상의 최적화 레시피, 초점을 공동-최적화하기 위한 레벨링 데이터(웨이퍼 맵) 및 정렬 데이터, 최적화된 에지 배치 오차(EPE)를 위한 선량 및 오버레이를 사용할 수 있다. EPE는(전역 및 국소) CD 균일성 오차 및 오버레이 오차로부터의 결과인 결합된 오차이다. 최적화가 하나 이상의 서브-레시피에 기반하여 스캐너 내에서 수행되기 때문에, 임의의 최적화는 모든 이용가능한 입력 데이터에 기반하여 임의의 오차를 최적화하기 위하여, 스캐너의 모든 자유도(작동 및 제어)를 고려할 것이다. 이러한 공동-최적화는 현재 수행되는 개별(예를 들어, 초점, 선량, 수차, 오버레이) 최적화와 같이 유익하지만, 모든 오차가 독립적이거나 개별적으로 정정가능한 것이 아니며, 상이한 최적화는 상충하는 정정을 요구할 수 있다. 예를 들어, 슬릿에 걸친 초점의 최적화는 "슬릿이 벤딩될 수 없음"에 의해 제한되고, 즉 초점은 레티클 및 기판 스테이지 사이의 거리의 비선형 변동에 의해서 슬릿에 걸쳐서 비-선형으로 직접적으로 변경될 수 없다. 그러나, 공동-최적화 전략에서는, 예를 들어 EPE 또는 CD를 최적화하기 위하여, 슬릿에 걸친 선량을 변경함으로써 및/또는 투영 렌즈 광학기(예를 들어, 렌즈 조작기)를 통하여, 슬릿에 걸친 직접 초점 제어의 장점 중 일부를 얻는 것이 가능할 수 있다.
공동-최적화는 렌즈 수차를 보상하는 렌즈 제어를 더 포함할 수 있다. 스캐너는 렌즈 수차의 요구되는 밸런싱과 연관된 최적화 서브-레시피를 포함할 수 있다. 최적화 서브-레시피 레시피는 중요한 제품 구조체 및 개별적인 수차 성분(제르니케)에 대한 그들의 공차의 지식에 기반할 수 있다. 스캐너는 모든 기판에 대한 렌즈 수차 프로파일을 측정하고, 이것을 렌즈 제어를 추가적 자유도로서 역시 사용하는 공동-최적화에서 추가적 입력으로 사용할 수 있다. 최적화 레시피에 기반한 렌즈 제어의 스캐너 최적화는 본 명세서에서 그 전체가 원용에 의해 포함되는 WO2009/148976에 설명된다.
이와 같이, 본 명세서에서는 초점, 선량, 오버레이 또는 다른 프로세스 파라미터, 또는 이들의 조합을 제품-특유 스캐너 최적화 서브-레시피를 결정하기 위하여 사용하고(오프라인), 이것을 사용하여 성능 기반 스캐너 최적화 알고리즘을 결정하는 데에 사용하는 것이 개시되는데, 그러면 최적화 서브-레시피로부터의 입력이 기판-특유 계측에 기반하여 기판별로 또는 층별로 계산될 수 있다.
제안된 방법론의 추가적 장점은, 제품-특유 정보가 스캐너에 직접적으로 입력되지 않고, 이것을 최적화 서브-레시피를 결정하기 위해서 사용하는 오프라인 처리 디바이스에만 입력된다는 것이다. 이러한 최적화 서브-레시피는 본질적으로 스캐너로부터 감춰지는 민감한 제품-특유 정보에 대해 충분히 중립이다. 이것은 이러한 정보 제품-특유 정보가 비밀인 상태를 유지하는 것을 보장하고자 하는 사람들에게 유리할 것이다.
본 발명의 다른 실시예들은 아래의 번호가 매겨진 실시예들의 목록에서 개시된다:
1. 리소그래피 프로세스에서 제품 구조체를 기판에 제공하도록 구성되는 리소그래피 장치를 제어하기 위한 방법으로서,
리소그래피 프로세스에서 기판에 적용될 제품 구조체와 연관된 적어도 하나의 성능 파라미터 및/또는 그들의 배열(arrangement)의 측정 및/또는 시뮬레이션된 데이터를 포함하는 최적화 데이터를 결정하는 단계;
상기 제품 구조체를 상기 기판에 제공하기 전에 측정 및/또는 모델링되는 기판-특유 계측 데이터를 결정하는 단계 - 상기 기판-특유 계측 데이터는, 구조체가 적용되고 있는 기판의 특성 및/또는 상기 구조체가 상기 기판에 적용되는 시간에서의 상기 리소그래피 장치의 상태에 관련된 계측 데이터를 포함함 -; 및
상기 최적화 데이터 및 상기 기판-특유 계측 데이터에 기반하여, 상기 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하는 단계를 포함하는, 리소그래피 장치 제어 방법.
2. 제 1 실시예에 있어서,
상기 기판-특유 계측 데이터는,
상기 기판의 특성 상기 기판에 적용될 디바이스 패턴을 규정하는 패터닝 디바이스의 특성; 상기 기판 을 홀딩하기 위한 기판 스테이지 및 상기 패터닝 디바이스를 홀딩하기 위한 레티클 스테이지 중 하나 또는 양자 모두의 위치; 또는 상기 패터닝 디바이스 상의 패턴을 상기 기판에 전사하기 위한 방사선 빔을 제공하는 방사선 시스템의 특성
중 하나 이상을 기술하는, 리소그래피 장치 제어 방법.
3. 제 1 실시예 또는 제 2 실시예에 있어서,
상기 최적화 데이터를 결정하는 단계는 오프라인에서 수행되고,
상기 제어를 최적화하는 단계는 상기 기판-특유 계측 데이터를 결정하는 것과 상기 제품 구조체를 기판에 제공하는 것 사이에 수행되는, 리소그래피 장치 제어 방법.
4. 제 3 실시예에 있어서,
상기 최적화 데이터를 결정하는 단계는 상기 리소그래피 장치 외부에서 수행되고,
상기 제어를 최적화하는 단계는 상기 리소그래피 장치 내에서 수행되는, 리소그래피 장치 제어 방법.
5. 제 1 실시예 내지 제 4 실시예 중 어느 한 실시예에 있어서,
상기 제어를 최적화하는 단계는,
상기 제품 구조체가 제공되는 각각의 기판에 대하여, 해당 기판에 대응하는 기판-특유 계측 데이터에 기초해 별개로 수행되는, 리소그래피 장치 제어 방법.
6. 제 1 실시예 내지 제 5 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 상기 패터닝 디바이스와 연관된 디바이스 레이아웃 데이터를 포함하는, 리소그래피 장치 제어 방법.
7. 제 1 실시예 내지 제 6 실시예 중 어느 한 실시예에 있어서,
상기 제어를 최적화하는 단계는,
대응하는 제어 타겟 값으로부터의 상기 성능 파라미터의 최대 편차를 최소화하는 것 및/또는 프로세스 파라미터에 대한, 대응하는 허용된 변동 공간의 에지로부터의 상기 성능 파라미터의 거리를 최대화하는 것을 포함하는, 리소그래피 장치 제어 방법.
8. 제 7 실시예에 있어서,
상기 제어를 최적화하는 단계는,
다이가 제 기능을 할 것이라 나타내는 사양에 속하는 것으로 추정되는, 상기 기판에 제공되는 다이의 개수를 최대화하는 것을 포함하는, 리소그래피 장치 제어 방법.
9. 제 8 실시예에 있어서,
상기 제어를 최적화하는 단계는,
결함을 포함하지 않는 다이의 개수를 최대화하는 것을 포함하는, 리소그래피 장치 제어 방법.
10. 제 8 실시예 또는 제 9 실시예에 있어서,
상기 최적화 데이터는, 다이의 적어도 하나의 층 내에 적어도 하나의 결함이 존재하는 것으로 추정되어 어떤 다이가 제 기능을 하지 않는 것으로 여겨지는지를 나타내는 데드 다이(dead die) 데이터를 포함하는, 리소그래피 장치 제어 방법.
11. 제 7 실시예 내지 제 10 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 관련된 최선 파라미터 값 데이터를 포함하고,
상기 제어 타겟 값은 상기 최선 파라미터 값 데이터로부터 유도되거나 상기 최선 파라미터 값 데이터에 의해 규정되는, 리소그래피 장치 제어 방법.
12. 제 11 실시예에 있어서,
상기 최선 파라미터 값 데이터는 필드에 걸친 및/또는 다이에 걸친 최선 초점 설정을 기술하는 최선 초점 맵을 포함하는, 리소그래피 장치 제어 방법.
13. 제 12 실시예에 있어서,
상기 최선 초점 맵은, 연관된 제품 레이아웃 데이터에 따른, 다이 내의 기대된 높이 변동을 기술하는 마이크로 토폴로지 데이터를 포함하는, 리소그래피 장치 제어 방법.
14. 제 11 실시예 내지 제 13 실시예 중 어느 한 실시예에 있어서,
상기 최선 파라미터 값 데이터는 필드에 걸친 및/또는 다이에 걸친 최선 에너지 설정을 기술하는 최선 에너지 맵을 포함하는, 리소그래피 장치 제어 방법.
15. 제 7 실시예 내지 제 14 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 대한 임계(criticality) 데이터를 포함하고,
상기 임계 데이터는 상기 프로세스 파라미터에 대한, 필드에 걸친 및/또는 다이에 걸친 허용된 변동 공간을 규정하는 것인, 리소그래피 장치 제어 방법.
16. 제 15 실시예에 있어서,
상기 방법은,
최적화 공간에 걸쳐, a) 대응하는 제어 타겟 값에 대한 상기 성능 파라미터의 국지적 움직임 및 b) 대응하는 허용된 변동 공간의 국지적 에지 사이의 최소 거리를 최대화하는 단계를 포함하는, 리소그래피 장치 제어 방법.
17. 제 1 실시예 내지 제 16 실시예 중 어느 한 실시예에 있어서,
상기 최적화하는 단계는,
상기 최적화 데이터를 사용하여 상기 리소그래피 장치의 적어도 하나의 제어 파라미터의 최적화를 위한 메리트 함수의 가중치를 결정하는 것을 포함하는, 리소그래피 장치 제어 방법.
18. 제 17 실시예에 있어서,
상기 적어도 하나의 제어 파라미터는, 상기 리소그래피 장치의 기판 스테이지 및/또는 레티클 스테이지의 제어에 관련되어, 이를 통하여 레티클 스테이지에 대한 기판 스테이지의 상대 위치를 제어하는, 리소그래피 장치 제어 방법.
19. 제 17 실시예에 있어서,
상기 적어도 하나의 제어 파라미터는 상기 리소그래피 장치의 투영 시스템의 제어에 관련되는, 리소그래피 장치 제어 방법.
20. 제 1 실시예 내지 제 19 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 기판 높이 변동 데이터를 포함하는, 리소그래피 장치 제어 방법.
21. 제 1 실시예 내지 제 20 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 모델링 및/또는 필터링된 계측 데이터를 포함하는, 리소그래피 장치 제어 방법.
22. 제 1 실시예 내지 제 21 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는, 연속 기판 맵을 포함하고,
상기 연속 기판 맵은, 기판에 걸친 프로세스 파라미터 변동을 매핑하는 샘플링된 기판 맵 내의 센서 노이즈, 센서 교정 드리프트, 센서 데이터 필터링 아티팩트, 성긴 샘플링 제한사항 및/또는 유한 센서 스폿 크기 중 하나 이상을 정정하는 모델을 포함하는, 리소그래피 장치 제어 방법.
23. 제 1 실시예 내지 제 22 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 패터닝 디바이스 높이 변동 데이터를 포함하는, 리소그래피 장치 제어 방법.
24. 제 1 실시예 내지 제 23 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 오프라인 기판 계측 데이터를 포함하는, 리소그래피 장치 제어 방법.
25. 제 24 실시예에 있어서,
상기 오프라인 기판-특유 계측 데이터는, 마이크로 토폴로지 데이터, 레벨 센서 프로세스 의존성 데이터, 층 두께 프로파일 데이터, 전역 기판 형상 및 기판 벤딩 데이터 중 하나 이상을 포함하는, 리소그래피 장치 제어 방법.
26. 제 1 실시예 내지 제 25 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 기판 스테이지 및/또는 레티클 스테이지 및/또는 렌즈 수차 영향(lens aberration impact)의,
이동 평균 오차, 및
상기 오차의 시간 이동 표준 편차의 바람직한 비율을 포함하는, 리소그래피 장치 제어 방법.
27. 제 1 실시예 내지 제 26 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는 상기 성능 파라미터에 대한 추정 데이터를 포함하는, 리소그래피 장치 제어 방법.
28. 제 1 실시예 내지 제 27 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 기판에 이전에 제공된 제품 구조체로부터의 적어도 하나의 성능 파라미터의 측정에 관련된 측정 데이터를 포함하는, 리소그래피 장치 제어 방법.
29. 제 1 실시예 내지 제 28 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는, 상기 기판 스테이지 및 상기 레티클 스테이지 중 하나 또는 양자 모두의 위치에 관련된 정렬 데이터를 포함하는, 리소그래피 장치 제어 방법.
30. 제 1 실시예 내지 제 29 실시예 중 어느 한 실시예에 있어서,
상기 적어도 하나의 성능 파라미터는, 초점, 선량, 오버레이, 방사선 시스템 출력 대역폭 및 기판 스테이지 및/또는 레티클 스테이지 위치설정에서의 오차의 콘트라스트 이동 표준 편차 중 하나를 포함하고,
상기 최적화 단계는 상기 성능 파라미터를 최적화하기 위하여 상기 리소그래피 장치의 제어를 최적화하는 것을 포함하는, 리소그래피 장치 제어 방법.
31. 제 1 실시예 내지 제 29 실시예 중 어느 한 실시예에 있어서,
상기 적어도 하나의 성능 파라미터는, 초점, 선량, 오버레이, 방사선 시스템 출력 대역폭, 렌지 수차로부터의 콘트라스트 및 기판 스테이지 및/또는 레티클 스테이지 위치설정에서의 오차의 콘트라스트 이동 표준 편차 중 두 개 이상을 포함하고,
상기 최적화 단계는 상기 리소그래피 프로세스의 상기 성능 파라미터 및/또는 관련된 성능 파라미터 각각을 최적화하기 위하여 상기 리소그래피 장치의 제어를 공동-최적화하는 것을 포함하는, 리소그래피 장치 제어 방법.
32. 제 31 실시예에 있어서,
상기 관련된 성능 파라미터는 에지 배치 오차를 포함하는, 리소그래피 장치 제어 방법.
33. 제 31 실시예 또는 제 32 실시예에 있어서,
상기 프로세스 파라미터 각각은, 다차원 프로세스 윈도우의 연관된 프로세스 윈도우 축을 가지고,
상기 방법은,
상기 프로세스 윈도우 축들 중 하나 이상을 국지적으로 제한함으로써, 다른 프로세스 윈도우 축들 중 하나 이상의 세트포인트를 천이시키는 단계를 포함하는, 리소그래피 장치 제어 방법.
34. 제 31 실시예 내지 제 33 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 렌즈 수차 프로파일을 더 포함하고,
상기 공동-최적화 제어 단계는, 상기 렌즈 수차 프로파일을 사용하여 상기 리소그래피 장치 내의 렌즈 제어 및/또는 상기 관련된 성능 파라미터를 최적화하는 것을 포함하는, 리소그래피 장치 제어 방법.
35. 제 1 실시예 내지 제 34 실시예 중 어느 한 실시예에 있어서,
상기 방법은,
상기 최적화된 제어에 따라서 상기 리소그래피 프로세스를 제어하는 단계를 포함하는, 리소그래피 장치 제어 방법.
36. 제 1 실시예 내지 제 35 실시예 중 어느 한 실시예에 있어서,
상기 리소그래피 프로세스는, 집적 회로를 제조하기 위한 제조 프로세스의 일부를 형성하는, 기판 상의 단일 층의 노광을 포함하는, 리소그래피 장치 제어 방법.
37. 리소그래피 프로세스에서 기판에 제품 구조체를 제공하도록 구성되는 리소그래피 장치를 제어하기 위한 최적화 데이터를 결정하기 위한 처리 디바이스로서,
상기 처리 디바이스는,
상기 리소그래피 프로세스에서 상기 기판에 적용될 상기 제품 구조체 및/또는 상기 제품 구조체의 배열과 연관된 적어도 하나의 성능 파라미터의 측정 및/또는 시뮬레이션된 데이터를 포함하는 최적화 데이터를 결정하고 - 상기 최적화 데이터는 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하는 데에 있어서 기판-특유 계측 데이터와 함께 상기 리소그래피 장치에 의해 사용될 수 있고, 상기 적어도 하나의 성능 파라미터는 상기 리소그래피 장치의 기판 스테이지 및/또는 레티클 스테이지에서의 초점, 선량, 오버레이, 콘트라스트 방사선 시스템 출력 대역폭 및 오차의 콘트라스트 이동 표준 편차(Moving Standard Deviation)를 포함함 -,
상기 최적화 데이터를 상기 리소그래피 장치로 전송하도록 구성되는, 처리 디바이스.
38. 제 37 실시예에 있어서,
상기 적어도 하나의 성능 파라미터는 렌즈 수차를 더 포함하는, 처리 디바이스.
39. 제 37 실시예 또는 제 38 실시예에 있어서,
상기 최적화 데이터를 상기 리소그래피 프로세스에 대해 오프라인으로 결정하도록 동작가능한, 처리 디바이스.
40. 제 37 실시예 내지 제 39 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는 상기 패터닝 디바이스와 연관되고 상기 리소그래피 프로세스에서 상기 기판에 적용될 디바이스 패턴을 규정하는 디바이스 레이아웃 데이터를 포함하는, 처리 디바이스.
41. 제 37 실시예 내지 제 40 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 다이의 적어도 하나의 층 내에 적어도 하나의 결함이 존재하는 것으로 추정되어 어떤 다이가 제 기능을 하지 않는 것으로 여겨지는지를 나타내는 데드 다이(dead die) 데이터를 포함하는, 리소그래피 장치 제어 방법.
42. 제 37 실시예 내지 제 41 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 관련된 최선 파라미터 값 데이터를 포함하는, 처리 디바이스.
43. 제 42 실시예에 있어서,
상기 최선 파라미터 값 데이터는 필드에 걸친 및/또는 다이에 걸친 최선 초점 설정을 기술하는 최선 초점 맵을 포함하는, 처리 디바이스.
44. 제 43 실시예에 있어서,
상기 최선 초점 맵은, 연관된 제품 레이아웃 데이터에 따른, 다이 내의 기대된 높이 변동을 기술하는 마이크로 토폴로지 데이터를 포함하는, 처리 디바이스.
45. 제 42 실시예 내지 제 44 실시예 중 어느 한 실시예에 있어서,
상기 최선 파라미터 값 데이터는 필드에 걸친 및/또는 다이에 걸친 최선 에너지 설정을 기술하는 최선 에너지 맵을 포함하는, 처리 디바이스.
46. 제 42 실시예 내지 제 45 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 대한 임계(criticality) 데이터를 포함하고,
상기 임계 데이터는 상기 프로세스 파라미터에 대한, 필드에 걸친 및/또는 다이에 걸친 허용된 변동 공간을 규정하는 것인, 처리 디바이스.
47. 제 37 실시예 내지 제 46 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 기판 스테이지 및/또는 레티클 스테이지 및/또는 렌즈 수차 영향의,
이동 평균 오차, 및
상기 오차의 시간 이동 표준 편차의 바람직한 비율을 포함하는, 처리 디바이스.
48. 제 37 실시예 내지 제 47 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는 상기 성능 파라미터에 대한 추정 데이터를 포함하는, 처리 디바이스.
49. 제 37 실시예 내지 제 48 실시예 중 어느 한 실시예에 있어서,
상기 적어도 하나의 성능 파라미터는, 초점, 선량, 오버레이 또는 에지 배치 오차 중 하나를 포함하는, 처리 디바이스.
50. 리소그래피 프로세스에서 기판에 제품 구조체를 제공하도록 구성되는 리소그래피 장치로서,
기판을 홀딩하기 위한 기판 스테이지;
패터닝 디바이스를 홀딩하기 위한 레티클 스테이지;
제품 구조체를 기판에 제공하기 전에 기판-특유 계측 데이터를 측정하도록 동작가능한 계측 시스템; 및
최적화 데이터 및 상기 기판-특유 계측 데이터에 기반하여 상기 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하도록 동작가능한 프로세서를 포함하고,
상기 최적화 데이터는 상기 리소그래피 프로세스에서 상기 기판에 적용될 상기 제품 구조체 및/또는 상기 제품 구조체의 배열과 연관된 적어도 하나의 성능 파라미터의 측정 및/또는 시뮬레이션된 데이터를 포함하는, 리소그래피 장치.
51. 제 50 실시예에 있어서,
상기 기판-특유 계측 데이터는,
상기 기판의 특성 상기 패터닝 디바이스의 특성 상기 기판 스테이지 및 상기 레티클 스테이지 중 하나 또는 양자 모두의 위치 또는 상기 패터닝 디바이스 상의 패턴을 상기 기판에 전사하기 위한 방사선 빔을 제공하는 방사선 시스템의 특성 중 하나 이상을 기술하는, 리소그래피 장치.
52. 제 50 실시예 또는 제 51 실시예에 있어서,
상기 프로세서는,
상기 기판-특유 계측 데이터를 결정하는 것과 상기 제품 구조체를 기판에 제공하는 것 사이에 상기 리소그래피 장치의 제어를 최적화하도록 동작가능한, 리소그래피 장치.
53. 제 52 실시예에 있어서,
상기 처리 디바이스는, 상기 최적화 데이터를 오프라인 처리 디바이스로부터 수신하도록 동작가능한, 리소그래피 장치.
54. 제 50 실시예 내지 제 53 실시예 중 어느 한 실시예에 있어서,
상기 계측 시스템은 각각의 기판에 대하여 별개로 상기 기판-특유 계측 데이터를 측정하도록 동작가능하고,
상기 프로세서는, 각각의 기판에 대한 대응하는 기판-특유 계측 데이터에 기반하여, 상기 기판에 대하여 상기 리소그래피 장치의 제어를 별개로 최적화하도록 동작가능한, 리소그래피 장치.
55. 제 50 실시예 내지 제 54 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는 상기 패터닝 디바이스와 연관되고 상기 기판에 적용될 디바이스 패턴을 규정하는 디바이스 레이아웃 데이터를 포함하는, 리소그래피 장치.
56. 제 50 실시예 내지 제 55 실시예 중 어느 한 실시예에 있어서,
상기 프로세서는,
상기 제어를 최적화하는 것이, 대응하는 제어 타겟 값으로부터의 상기 성능 파라미터의 최대 편차를 최소화하는 것 및/또는 프로세스 파라미터에 대한, 대응하는 허용된 변동 공간의 에지로부터의 상기 성능 파라미터의 거리를 최대화하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
57. 제 56 실시예에 있어서,
상기 프로세서는,
상기 제어를 최적화하는 것이, 다이가 제 기능을 할 것이라 나타내는 사양에 속하는 것으로 추정되는, 상기 기판에 제공되는 다이의 개수를 최대화하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
58. 제 57 실시예에 있어서,
상기 프로세서는,
상기 제어를 최적화하는 것이, 결함을 포함하지 않는 다이의 개수를 최대화하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
59. 제 57 실시예 또는 제 58 실시예에 있어서,
상기 최적화 데이터는, 다이의 적어도 하나의 층 내에 적어도 하나의 결함이 존재하는 것으로 추정되어 어떤 다이가 제 기능을 하지 않는 것으로 여겨지는지를 나타내는 데드 다이(dead die) 데이터를 포함하는, 리소그래피 장치.
60. 제 56 실시예 내지 제 59 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 관련된 최선 파라미터 값 데이터를 포함하고,
상기 제어 타겟 값은 상기 최선 파라미터 값 데이터로부터 유도되거나 상기 최선 파라미터 값 데이터에 의해 규정되는, 리소그래피 장치.
61. 제 60 실시예에 있어서,
상기 최선 파라미터 값 데이터는 필드에 걸친 및/또는 다이에 걸친 최선 초점 설정을 기술하는 최선 초점 맵을 포함하는, 리소그래피 장치.
62. 제 61 실시예에 있어서,
상기 최선 초점 맵은, 연관된 제품 레이아웃 데이터에 따른, 다이 내의 기대된 높이 변동을 기술하는 마이크로 토폴로지 데이터를 포함하는, 리소그래피 장치.
63. 제 60 실시예 내지 제 62 실시예 중 어느 한 실시예에 있어서,
상기 최선 파라미터 값 데이터는 필드에 걸친 및/또는 다이에 걸친 최선 에너지 설정을 기술하는 최선 에너지 맵을 포함하는, 리소그래피 장치.
64. 제 56 실시예 내지 제 63 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 대한 임계(criticality) 데이터를 포함하고,
상기 임계 데이터는 상기 프로세스 파라미터에 대한, 필드에 걸친 및/또는 다이에 걸친 허용된 변동 공간을 규정하는 것인, 리소그래피 장치.
65. 제 64 실시예에 있어서,
상기 프로세서는,
최적화 공간에 걸쳐, a) 대응하는 제어 타겟 값에 대한 상기 성능 파라미터의 국지적 움직임 및 b) 대응하는 허용된 변동 공간의 국지적 에지 사이의 최소 거리를 최대화하도록 구성되는, 리소그래피 장치.
66. 제 50 실시예 내지 제 65 실시예 중 어느 한 실시예에 있어서,
상기 프로세서는,
상기 제어를 최적화하는 것이, 상기 최적화 데이터를 사용하여 상기 리소그래피 장치의 적어도 하나의 제어 파라미터의 최적화를 위한 메리트 함수의 가중치를 결정하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
67. 제 66 실시예에 있어서,
상기 적어도 하나의 제어 파라미터는, 기판 스테이지 및/또는 레티클 스테이지의 제어에 관련되어, 이를 통하여 레티클 스테이지에 대한 기판 스테이지의 상대 위치를 제어하는, 리소그래피 장치.
68. 제 66 실시예에 있어서,
상기 리소그래피 장치는 상기 패터닝 디바이스에 의해 패터닝된 방사선의 빔을 상기 기판 상에 투영하기 위한 투영 시스템을 더 포함하고,
상기 적어도 하나의 제어 파라미터는 상기 투영 시스템의 제어에 관련되는, 리소그래피 장치.
69. 제 50 실시예 내지 제 68 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 기판 높이 변동 데이터를 포함하는, 리소그래피 장치.
70. 제 50 실시예 내지 제 69 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 모델링 및/또는 필터링된 계측 데이터를 포함하는, 리소그래피 장치.
71. 제 50 실시예 내지 제 70 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는 오프라인 기판 계측 데이터를 포함하는, 리소그래피 장치.
72. 제 71 실시예에 있어서,
상기 오프라인 기판-특유 계측 데이터는, 마이크로 토폴로지 데이터, 레벨 센서 프로세스 의존성 데이터, 층 두께 프로파일 데이터, 기판 전역 형상 데이터 및 기판 벤딩 데이터 중 하나 이상을 포함하는, 리소그래피 장치.
73. 제 50 실시예 내지 제 72 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는, 연속 기판 맵을 포함하고,
상기 연속 기판 맵은, 기판에 걸친 프로세스 파라미터 변동을 매핑하는 샘플링된 기판 맵 내의 센서 노이즈, 센서 교정 드리프트, 센서 데이터 필터링 아티팩트, 성긴 샘플링 제한사항 및/또는 유한 센서 스폿 크기 중 하나 이상을 정정하는 모델을 포함하는, 리소그래피 장치.
74. 제 50 실시예 내지 제 73 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는, 기판 스테이지 및/또는 레티클 스테이지 및/또는 렌즈 수차 영향의,
이동 평균 오차, 및
상기 오차의 시간 이동 표준 편차의 바람직한 비율을 포함하는, 리소그래피 장치.
75. 제 50 실시예 내지 제 74 실시예 중 어느 한 실시예에 있어서,
상기 최적화 데이터는 상기 성능 파라미터에 대한 추정 데이터를 포함하는, 리소그래피 장치.
76. 제 50 실시예 내지 제 75 실시예 중 어느 한 실시예에 있어서,
상기 기판-특유 계측 데이터는, 상기 기판 스테이지 및 상기 레티클 스테이지 중 하나 또는 양자 모두의 위치에 관련된 정렬 데이터를 포함하는, 리소그래피 장치.
77. 제 50 실시예 내지 제 76 실시예 중 어느 한 실시예에 있어서,
상기 적어도 하나의 성능 파라미터는, 초점, 선량, 오버레이, 방사선 시스템 출력 대역폭 및 기판 스테이지 및/또는 레티클 스테이지 위치설정에서의 오차의 콘트라스트 이동 표준 편차 중 하나를 포함하고,
상기 프로세서는, 상기 제어를 최적화하는 것이 상기 성능 파라미터를 최적화하기 위하여 상기 리소그래피 장치의 제어를 최적화하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
78. 제 50 실시예 내지 제 76 실시예 중 어느 한 실시예에 있어서,
상기 적어도 하나의 성능 파라미터는, 초점, 선량, 오버레이, 방사선 시스템 출력 대역폭 및 기판 스테이지 및/또는 레티클 스테이지 위치설정에서의 오차의 콘트라스트 이동 표준 편차 중 두 개 이상을 포함하고,
상기 프로세서는, 상기 제어를 최적화하는 것이, 상기 성능 파라미터 및/또는 상기 리소그래피 프로세스의 관련된 성능 파라미터 각각을 최적화하기 위하여 상기 리소그래피 장치의 제어를 공동-최적화하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
79. 제 78 실시예에 있어서,
상기 관련된 성능 파라미터는 에지 배치 오차를 포함하는, 리소그래피 장치.
80. 제 78 실시예 또는 제 79 실시예에 있어서,
상기 기판-특유 계측 데이터는 렌즈 수차 프로파일을 더 포함하고,
상기 프로세서는, 상기 제어를 공동-최적화하는 것이, 상기 렌즈 수차 프로파일을 사용하여 상기 리소그래피 장치 내의 렌즈 제어 및/또는 상기 관련된 성능 파라미터를 최적화하는 것을 포함하게 하도록 구성되는, 리소그래피 장치.
81. 제 37 실시예 내지 제 49 실시예 중 어느 한 실시예에 따른 처리 디바이스 및 제 50 실시예 내지 제 80 실시예 중 어느 한 실시예에 따른 리소그래피 장치에 있어서,
상기 처리 디바이스는 상기 리소그래피 장치에 대하여 상기 리소그래피 프로세스를 제어하기 위한 상기 최적화 데이터를 결정하도록 동작가능한, 처리 디바이스 및 리소그래피 장치.
82. 적합한 장치에서 실행될 때 제 1 실시예 내지 제 36 실시예 중 어느 한 실시예의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.
83. 제 82 실시예의 컴퓨터 프로그램을 포함하는 비일시적 컴퓨터 프로그램 제품.
본 명세서 내에서, 허용된 변동 공간 또는 프로세스 윈도우라는 임의의 언급은, 설명된 바와 같은 중첩 프로세스 윈도우 및/또는 N-차원의 프로세스 윈도우(예를 들어, 축들은 초점, 선량, 오버레이, 콘트라스트 등 중 하나 이상을 포함할 수 있음). 일 실시예에서, 프로세스 윈도우 추적이 채용될 수 있다. 이것은 프로세스 윈도우 축들 중 하나(또는 그 이상)를 국지적으로 한정하여, 다른 축 또는 축들의 세트 포인트를 천이시키는 것을 포함한다. 프로세스 윈도우 추적은 본 명세서에서 원용에 의해 통합되는 WO2016202559에 설명된다. 모든 경우에, 프로세스 윈도우(또는 더 일반적으로는 임계 메트릭)는 제품 정보 또는 레티클 디자인 정보(노광되고 있는 구조체에 관련됨) 및/또는 프로세스 윈도우 정보를 결정하기 위한 시뮬레이션된 디자인 정보로부터 결정될 수 있다.
리소그래피 장치와 관련하여 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (20)

  1. 리소그래피 프로세스에서 제품 구조체를 기판에 제공하도록 구성되는 리소그래피 장치를 제어하기 위한 방법으로서,
    리소그래피 프로세스에서 기판에 적용될 제품 구조체와 연관된 적어도 하나의 성능 파라미터의 측정된 데이터 및 시뮬레이션된 데이터 중 하나 또는 양자 모두를 포함하는 최적화 데이터를 결정하는 단계;
    상기 제품 구조체를 상기 기판에 제공하기 전에 측정되는 것 및 모델링되는 것 중 하나 또는 양자 모두로서의 기판-특유 계측 데이터를 결정하는 단계 - 상기 기판-특유 계측 데이터는, 구조체가 적용되고 있는 기판의 특성 및 상기 구조체가 상기 기판에 적용되는 시점에서의 상기 리소그래피 장치의 상태 중 하나 또는 양자 모두에 관련된 계측 데이터를 포함함 -; 및
    상기 최적화 데이터 및 상기 기판-특유 계측 데이터에 기반하여, 상기 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하는 단계를 포함하는, 리소그래피 장치 제어 방법.
  2. 제 1 항에 있어서,
    상기 기판-특유 계측 데이터는,
    상기 기판의 특성; 상기 기판에 적용될 디바이스 패턴을 규정하는 패터닝 디바이스의 특성; 상기 기판을 홀딩하기 위한 기판 스테이지 및 상기 패터닝 디바이스를 홀딩하기 위한 레티클 스테이지 중 하나 또는 양자 모두의 위치; 또는 상기 패터닝 디바이스 상의 패턴을 상기 기판에 전사하기 위한 방사선 빔을 제공하는 방사선 시스템의 특성
    중 하나 이상을 기술하는, 리소그래피 장치 제어 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 최적화 데이터를 결정하는 단계는 오프라인에서 수행되고,
    상기 제어를 최적화하는 단계는 상기 기판-특유 계측 데이터를 결정하는 것과 상기 제품 구조체를 기판에 제공하는 것 사이에 수행되는, 리소그래피 장치 제어 방법.
  4. 제 3 항에 있어서,
    상기 최적화 데이터를 결정하는 단계는 상기 리소그래피 장치 외부에서 수행되고,
    상기 제어를 최적화하는 단계는 상기 리소그래피 장치 내에서 수행되는, 리소그래피 장치 제어 방법.
  5. 제 1 항에 있어서,
    상기 제어를 최적화하는 단계는,
    상기 제품 구조체가 제공되는 각각의 기판에 대하여, 해당 기판에 대응하는 기판-특유 계측 데이터에 기초해 별개로 수행되는, 리소그래피 장치 제어 방법.
  6. 제 1 항에 있어서,
    상기 최적화 데이터는, 패터닝 디바이스와 연관된 디바이스 레이아웃 데이터를 포함하는, 리소그래피 장치 제어 방법.
  7. 제 1 항에 있어서,
    상기 제어를 최적화하는 단계는,
    대응하는 제어 타겟 값으로부터의 상기 성능 파라미터의 최대 편차를 최소화하는 것 및 프로세스 파라미터에 대한, 대응하는 허용된 변동 공간의 에지로부터의 상기 성능 파라미터의 거리를 최대화하는 것 중 하나 또는 양자 모두를 포함하는, 리소그래피 장치 제어 방법.
  8. 제 7 항에 있어서,
    상기 제어를 최적화하는 단계는,
    다이가 제 기능을 할 것이라 나타내는 사양에 속하는 것으로 추정되는, 상기 기판에 제공되는 다이의 개수를 최대화하는 것을 포함하는, 리소그래피 장치 제어 방법.
  9. 제 8 항에 있어서,
    상기 제어를 최적화하는 단계는,
    결함을 포함하지 않는 다이의 개수를 최대화하는 것을 포함하는, 리소그래피 장치 제어 방법.
  10. 제 8 항에 있어서,
    상기 최적화 데이터는, 다이의 적어도 하나의 층 내에 적어도 하나의 결함이 존재하는 것으로 추정되어 어떤 다이가 제 기능을 하지 않는 것으로 여겨지는지를 나타내는 데드 다이(dead die) 데이터를 포함하는, 리소그래피 장치 제어 방법.
  11. 제 7 항에 있어서,
    상기 최적화 데이터는, 상기 적어도 하나의 프로세스 파라미터 중 하나 이상에 대한 임계(criticality) 데이터를 포함하고,
    상기 임계 데이터는 상기 프로세스 파라미터에 대한, 필드에 걸친 허용된 변동 공간 및 다이에 걸친 허용된 변동 공간 중 하나 또는 양자 모두를 규정하는 것인, 리소그래피 장치 제어 방법.
  12. 제 1 항에 있어서,
    상기 기판-특유 계측 데이터는 기판 높이 변동 데이터를 포함하는, 리소그래피 장치 제어 방법.
  13. 제 1 항에 있어서,
    상기 기판-특유 계측 데이터는 모델링된 계측 데이터 및 필터링된 계측 데이터 중 하나 또는 양자 모두를 포함하는, 리소그래피 장치 제어 방법.
  14. 제 1 항에 있어서,
    상기 최적화 데이터는 상기 성능 파라미터에 대한 추정 데이터를 포함하는, 리소그래피 장치 제어 방법.
  15. 제 1 항에 있어서,
    상기 최적화 데이터는, 기판에 이전에 제공된 제품 구조체로부터의 적어도 하나의 성능 파라미터의 측정에 관련된 측정 데이터를 포함하는, 리소그래피 장치 제어 방법.
  16. 컴퓨터-판독가능 기록 매체에 저장된 컴퓨터 프로그램으로서, 적합한 장치에서 실행될 때 제 1 항의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는, 컴퓨터 프로그램.
  17. 제 16 항의 컴퓨터 프로그램을 포함하는 컴퓨터-판독가능 기록 매체.
  18. 리소그래피 프로세스에서 기판에 제품 구조체를 제공하도록 구성되는 리소그래피 장치로서,
    기판을 홀딩하기 위한 기판 스테이지;
    패터닝 디바이스를 홀딩하기 위한 레티클 스테이지;
    제품 구조체를 기판에 제공하기 전에 기판-특유 계측 데이터를 측정하도록 동작가능한 계측 시스템; 및
    최적화 데이터 및 상기 기판-특유 계측 데이터에 기반하여 상기 리소그래피 프로세스 중에 상기 리소그래피 장치의 제어를 최적화하도록 동작가능한 프로세서를 포함하고,
    상기 최적화 데이터는, 상기 리소그래피 프로세스에서 상기 기판에 적용될 상기 제품 구조체 또는 상기 제품 구조체의 배열과 연관된 적어도 하나의 성능 파라미터의 측정된 데이터 및 시뮬레이션된 데이터 중 하나 또는 양자 모두를 포함하는, 리소그래피 장치.
  19. 제 18 항에 있어서,
    상기 최적화 데이터는, 패터닝 디바이스와 연관되고 상기 기판에 적용될 디바이스 패턴을 규정하는 디바이스 레이아웃 데이터를 포함하는, 리소그래피 장치.
  20. 제 18 항에 있어서,
    상기 프로세서는,
    상기 제어를 최적화하는 것이, 대응하는 제어 타겟 값으로부터의 상기 성능 파라미터의 최대 편차를 최소화하는 것 및 프로세스 파라미터에 대한, 대응하는 허용된 변동 공간의 에지로부터의 상기 성능 파라미터의 거리를 최대화하는 것 중 하나 또는 양자 모두를 포함하게 하도록 구성되는, 리소그래피 장치.
KR1020207016288A 2017-12-06 2018-11-15 리소그래피 장치 및 연관된 장치를 제어하는 방법 KR102448797B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17205643.4A EP3495888A1 (en) 2017-12-06 2017-12-06 Method for controlling a lithographic apparatus and associated apparatuses
EP17205643.4 2017-12-06
PCT/EP2018/081297 WO2019110261A1 (en) 2017-12-06 2018-11-15 Method for controlling a lithographic apparatus and associated apparatuses

Publications (2)

Publication Number Publication Date
KR20200071142A KR20200071142A (ko) 2020-06-18
KR102448797B1 true KR102448797B1 (ko) 2022-09-28

Family

ID=60582513

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207016288A KR102448797B1 (ko) 2017-12-06 2018-11-15 리소그래피 장치 및 연관된 장치를 제어하는 방법

Country Status (7)

Country Link
US (1) US11487209B2 (ko)
EP (1) EP3495888A1 (ko)
JP (1) JP7304349B2 (ko)
KR (1) KR102448797B1 (ko)
CN (1) CN111480117A (ko)
TW (1) TWI709827B (ko)
WO (1) WO2019110261A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3764164A1 (en) * 2019-07-11 2021-01-13 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
CN113632009B (zh) * 2019-03-22 2024-07-02 Asml荷兰有限公司 控制光刻装置的方法和相关装置
JP7431319B2 (ja) * 2019-09-10 2024-02-14 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのサブフィールド制御及び関連する装置
EP3792693A1 (en) * 2019-09-16 2021-03-17 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3869271A1 (en) 2020-02-20 2021-08-25 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US11796920B2 (en) 2020-02-12 2023-10-24 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
WO2021175527A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
WO2022017705A1 (en) 2020-07-22 2022-01-27 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN115943286B (zh) * 2020-07-30 2024-02-20 科磊股份有限公司 用于扫描计量工具的自适应对焦***
WO2022132152A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Use of adaptive replacement maps in digital lithography for local cell replacement
JP2022127536A (ja) * 2021-02-19 2022-08-31 株式会社キーエンス 拡大観察装置、拡大画像観察方法、拡大画像観察プログラム及びコンピュータで読み取り可能な記録媒体並びに記憶した機器

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080079934A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of real time dynamic cd control

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
JP2003257838A (ja) * 2002-03-06 2003-09-12 Hitachi Ltd 露光方法およびそのシステム
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP2006222312A (ja) * 2005-02-10 2006-08-24 Canon Inc ステージ制御装置及びその方法、ステージ装置並びに露光装置
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
JP4792833B2 (ja) * 2005-06-24 2011-10-12 株式会社ニコン 重ね合わせ測定装置
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP5237690B2 (ja) * 2008-05-16 2013-07-17 ルネサスエレクトロニクス株式会社 半導体デバイスの製造方法
US8570485B2 (en) 2008-06-03 2013-10-29 Asml Netherlands B.V. Lens heating compensation systems and methods
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
JP2011027461A (ja) * 2009-07-22 2011-02-10 Renesas Electronics Corp パターン形状計測方法、半導体装置の製造方法、およびプロセス制御システム
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻***以及光刻处理单元
SG178368A1 (en) 2009-08-24 2012-04-27 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
EP2392970A3 (en) * 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
CN102763040B (zh) * 2010-02-19 2016-05-11 Asml荷兰有限公司 光刻设备、器件制造方法和相关的数据处理设备以及计算机程序产品
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻***和器件制造方法
JP5864752B2 (ja) * 2011-08-31 2016-02-17 エーエスエムエル ネザーランズ ビー.ブイ. 焦点補正を決定する方法、リソグラフィ処理セル及びデバイス製造方法
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
KR101759608B1 (ko) 2012-05-29 2017-07-20 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 기판, 리소그래피 시스템 및 디바이스 제조 방법
US9715180B2 (en) * 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
KR102359050B1 (ko) * 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
WO2015131969A1 (en) * 2014-03-04 2015-09-11 Asml Netherlands B.V. Lithographic apparatus with data processing apparatus
US10025201B2 (en) * 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes
KR102399699B1 (ko) * 2014-12-12 2022-05-18 에이에스엠엘 네델란즈 비.브이. 기판 모델 파라미터를 계산하고 리소그래피 처리를 제어하기 위한 방법 및 장치
US10866523B2 (en) 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080079934A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of real time dynamic cd control

Also Published As

Publication number Publication date
US11487209B2 (en) 2022-11-01
JP7304349B2 (ja) 2023-07-06
TWI709827B (zh) 2020-11-11
CN111480117A (zh) 2020-07-31
US20200348603A1 (en) 2020-11-05
WO2019110261A1 (en) 2019-06-13
JP2021505957A (ja) 2021-02-18
TW201928527A (zh) 2019-07-16
US20230021079A1 (en) 2023-01-19
KR20200071142A (ko) 2020-06-18
EP3495888A1 (en) 2019-06-12

Similar Documents

Publication Publication Date Title
KR102448797B1 (ko) 리소그래피 장치 및 연관된 장치를 제어하는 방법
KR102358361B1 (ko) 리소그래피 프로세스 및 장치, 그리고 검사 프로세스 및 장치
KR102439450B1 (ko) 패터닝 프로세스 제어 방법, 리소그래피 장치, 계측 장치 리소그래피 셀 및 연관된 컴퓨터 프로그램
KR102492186B1 (ko) 제조 장치 및 연관된 장치를 제어하는 방법
KR20230137490A (ko) 제조 장치 및 연계된 장치를 제어하는 방법
EP4104018B1 (en) Computer-implemented method for controlling a manufacturing process
WO2020035211A1 (en) Method for controlling a manufacturing process and associated apparatuses
EP3869271A1 (en) Method for controlling a manufacturing process and associated apparatuses
EP3764164A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
US20200310254A1 (en) Method for controlling a manufacturing apparatus and associated apparatuses
US12050406B2 (en) Method for controlling a lithographic apparatus and associated apparatuses
CN113632009B (zh) 控制光刻装置的方法和相关装置
NL2024950A (en) Method for controlling a manufacturing process and associated apparatuses
KR20220041217A (ko) 리소그래피 프로세스의 서브-필드 제어 및 연관된 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant