KR102326192B1 - Determination of measurement parameters and selection of measurement recipes - Google Patents

Determination of measurement parameters and selection of measurement recipes Download PDF

Info

Publication number
KR102326192B1
KR102326192B1 KR1020197035138A KR20197035138A KR102326192B1 KR 102326192 B1 KR102326192 B1 KR 102326192B1 KR 1020197035138 A KR1020197035138 A KR 1020197035138A KR 20197035138 A KR20197035138 A KR 20197035138A KR 102326192 B1 KR102326192 B1 KR 102326192B1
Authority
KR
South Korea
Prior art keywords
target
radiation
overlay
metrology
measurement
Prior art date
Application number
KR1020197035138A
Other languages
Korean (ko)
Other versions
KR20190142390A (en
Inventor
나르제스 자바에리
모하매드레자 하지마아마디
올거 빅토르 즈위어
곤잘로 로베르토 상기네티
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority claimed from PCT/EP2018/059183 external-priority patent/WO2018202388A1/en
Publication of KR20190142390A publication Critical patent/KR20190142390A/en
Application granted granted Critical
Publication of KR102326192B1 publication Critical patent/KR102326192B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • G01M11/02Testing optical properties
    • G01M11/0242Testing optical properties by measuring geometrical properties or aberrations
    • G01M11/0257Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested
    • G01M11/0264Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested by using targets or reference patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

계측 타겟으로부터 패터닝 프로세스 파라미터를 결정하는 방법으로서, 상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 조명 방사선의 복수 개의 조명 상태 중 상이한 조명 상태에 대응함 -; 및 상기 값들의 조합을 사용하여 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 방법.A method of determining a patterning process parameter from a metrology target, comprising: obtaining a plurality of values of diffracted radiation from the metrology target, wherein each value of the plurality of values is a different illumination of a plurality of illumination states of illumination radiation for the target Corresponds to the state -; and determining the same value of the patterning process parameter for the target using the combination of values.

Description

계측 파라미터 결정 및 계측 레시피 선택Determination of measurement parameters and selection of measurement recipes

관련 출원에 대한 상호 참조CROSS-REFERENCE TO RELATED APPLICATIONS

본원은 2017 년 5 월 3 일에 출원된 미국 출원 제 62/501,047 및 2018 년 1 월 19 일에 출원된 EP 출원 제 18152479.4에 대한 우선권을 주장하는데, 이들 양자 모두는 그 전체 내용이 원용되어 본원에 통합된다.This application claims priority to U.S. Application No. 62/501,047, filed May 3, 2017, and EP Application No. 18152479.4, filed January 19, 2018, both of which are incorporated herein by reference in their entirety. are integrated

본 발명은, 예를 들어 리소그래피 기법에 의한 디바이스의 제조에서 사용가능한 검사(예를 들어, 계측) 방법, 및 장치 및 리소그래피 기법을 사용하는 디바이스의 제조 방법에 관한 것이다.The present invention relates to inspection (eg metrology) methods usable, for example, in the manufacture of devices by lithographic techniques, and to apparatus and methods of manufacturing devices using lithographic techniques.

리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.A lithographic apparatus is an apparatus that imparts a desired pattern onto a substrate, typically on a target area of the substrate. The lithographic apparatus may be used, for example, in the manufacture of integrated circuits (ICs). In that case, a patterning device, also called a mask or reticle, may be used to create a circuit pattern to be formed on individual layers of the integrated circuit. This pattern may be transferred onto a target portion (eg, a portion of a die, including one or several dies) on a substrate (eg, a silicon wafer). Transfer of the pattern is typically accomplished via imaging onto a layer of radiation-sensitive material (resist) provided on a substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned.

패터닝 프로세스(즉, 패터닝을 수반하는 디바이스 또는 다른 구조체를 생성하는 프로세스(예컨대 리소그래피 노광 또는 임프린트), 통상적으로 레지스트의 현상, 에칭 등)을 포함할 수 있음)를 가능하게 하는 데에 중요한 양태에는, 프로세스 자체를 개발하는 것, 이것을 모니터링 및 제어를 위하여 셋업하는 것, 그리고 그 후에 프로세스 자체를 실제로 모니터링 및 제어하는 것을 포함할 수 있다. 패터닝 프로세스의 기본적인 구성을 가정하면(패터닝 디바이스 패턴(들), 레지스트 타입(들), 사후-리소그래피 프로세스 단계(현상, 에칭 등과 같음) 등과 같음), 패턴을 기판 상에 전사하기 위해 패터닝 프로세스에서 장치를 셋업하고, 프로세스를 모니터링하기 위한 하나 이상의 계측 타겟을 현상하며, 계측 타겟을 측정하기 위해 계측 프로세스를 셋업하며, 그 후에 프로세스를 측정에 기반하여 모니터링 및/또는 제어하는 프로세스를 구현하는 것이 바람직하다.Aspects important to enabling the patterning process (i.e., which may include a process (e.g., lithographic exposure or imprint) for creating a device or other structure involving patterning, typically developing, etching, etc. of resist) include: It may involve developing the process itself, setting it up for monitoring and controlling, and then actually monitoring and controlling the process itself. Assuming the basic configuration of the patterning process (such as patterning device pattern(s), resist type(s), post-lithography process steps (such as development, etching, etc.), etc.), the apparatus in the patterning process to transfer the pattern onto the substrate It is desirable to implement a process for setting up a , developing one or more metrology targets for monitoring the process, setting up the metrology process for measuring the metrology target, and thereafter monitoring and/or controlling the process based on the measurements. .

따라서, 패터닝 프로세스에서, 구조체의 임계 치수(CD), 기판 안에 또는 위에 형성된 연속 층들 사이의 오버레이 오차(즉, 연속하는 층들의 원치 않고 의도되지 않은 오정렬) 등과 같은 하나 이상의 관심 파라미터를 결정하는 것(예를 들어, 측정, 패터닝 프로세스의 하나 이상의 양태를 모델링하는 하나 이상의 모델을 시뮬레이션하는 등)이 바람직하다.Thus, in the patterning process, determining one or more parameters of interest, such as the critical dimension (CD) of the structure, the overlay error between successive layers formed in or on the substrate (i.e., unwanted and unintended misalignment of successive layers), etc. For example, measurements, simulating one or more models modeling one or more aspects of the patterning process, etc.) are preferred.

패터닝 프로세스에 의하여 생성된 구조체에 대한 이러한 하나 이상의 관심 파라미터를 결정하고, 이들을 패터닝 프로세스에 관련된 디자인, 제어 및/또는 모니터링을 위하여, 예를 들어 프로세스 디자인, 제어 및/또는 증명을 위하여 사용하는 것이 바람직하다. 패터닝된 구조체의 결정된 하나 이상의 관심 파라미터는 패터닝 프로세스 디자인, 정정 및/또는 검증, 결함 검출 또는 분류, 수율 추정 및/또는 프로세스 제어를 위하여 사용될 수 있다.It is desirable to determine one or more such parameters of interest for structures produced by the patterning process and use them for design, control and/or monitoring related to the patterning process, eg for process design, control and/or verification. do. The determined one or more parameters of interest of the patterned structure may be used for patterning process design, correction and/or verification, defect detection or classification, yield estimation, and/or process control.

따라서, 패터닝 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 두 개의 층들의 정렬 정확도의 척도인 오버레이를 측정하는 전문 툴과 같이, 이러한 측정을 하기 위한 다양한 툴들이 알려져 있다. 오버레이는 두 층들 사이의 오정렬의 정도에 관하여 기술될 수 있는데, 예를 들어 1nm의 두 층들 사이의 오정렬의 정도를 참조하면 이것은 두 개의 층들이 1nm만큼 오정렬된다는 상황을 기술할 수 있다.Accordingly, in the patterning process, it is desirable to measure the resulting structures frequently, for example to control and verify the process. Various tools are known for making these measurements, such as scanning electron microscopes, commonly used to measure critical dimension (CD), and specialized tools for measuring overlay, which is a measure of the alignment accuracy of two layers in a device. Overlay can be described in terms of the degree of misalignment between two layers, for example referring to the degree of misalignment between two layers of 1 nm, which can describe a situation where the two layers are misaligned by 1 nm.

다양한 형태의 검사 장치(예를 들어 계측 장치)가 리소그래피 분야에서 사용되도록 개발되어 왔다. 이러한 디바이스들은 방사선 빔을 타겟 위로 지향시키고 재지향된(예를 들어, 산란된) 방사선의 하나 이상의 속성 - 예를 들어, 파장의 함수인 단일 반사각에서의 세기; 반사된 각도의 함수인 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 -을 측정하여 "스펙트럼"을 획득하고, 타겟의 관심 속성은 이러한 스펙트럼으로부터 결정될 수 있다. 관심 특성은 다양한 기법: 예를 들어, 정밀 결합 파 분석(rigorous coupled wave analysis) 또는 유한 요소 방법(finite element method)과 같은 반복적 접근법에 의한 타겟의 재구성; 라이브러리 검색; 및 주된 컴포넌트 분석에 의하여 결정될 수 있다.Various types of inspection apparatus (eg, metrology apparatus) have been developed for use in the field of lithography. Such devices direct a beam of radiation onto a target and may include one or more properties of the redirected (eg, scattered) radiation - eg, intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of the reflected angle; Or by measuring the polarization as a function of the reflected angle - a "spectrum" is obtained, and the property of interest of the target can be determined from this spectrum. The property of interest may be determined by a variety of techniques: reconstruction of the target by iterative approaches such as, for example, rigid coupled wave analysis or finite element methods; library search; and main component analysis.

추가적인 기법은, 차단된 회절의 0차수(정반사에 대응함)를 가지는 것을 수반하고, 더 높은 차수들만 처리된다. 이러한 계측의 예들은 PCT 특허 출원 공개 번호 제 WO 2009/078708 및 WO 2009/106279에서 발견될 수 있는데, 이들은 그 전부가 원용에 의해 본 명세서에 통합된다. 이러한 기법의 다른 개발예들은 미국 특허 출원 공개 번호 제 US 2011-0027704, US 2011-0043791 및 US 2012-0242940에 기술되었으며, 이들 각각은 그 전부가 본 명세서에 통합된다. 이러한 회절-기반 기법은 오버레이를 측정하기 위하여 통상적으로 사용된다. 이러한 기법들을 위한 타겟은 조명 스폿 보다 더 작을 수 있고, 기판 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 타겟은 하나의 이미지에서 측정될 수 있는 다수의 주기적 구조체를 포함할 수 있다. 이러한 계측 기법의 특정 형태에서, 오버레이 측정 결과들은, 일반적(예를 들어, +1 차) 및 상보적(예를 들어, -1 차) 회절 차수 강도를 개별적으로 획득하도록 타겟을 회전시키거나 조명 또는 이미징 모드를 변경시켜서, 타겟을 특정 상태에서 두 번 측정함으로써 획득된다. 주어진 타겟에 대한 세기 비대칭, 이러한 회절 차수 세기의 비교는 타겟 비대칭, 즉 타겟 내의 비대칭의 측정을 제공한다. 타겟에 있는 이러한 비대칭은 오버레이 오차의 표시자로서 사용될 수 있다.An additional technique involves having the 0th order of the blocked diffraction (corresponding to specular reflection), and only the higher orders are processed. Examples of such metrology can be found in PCT Patent Application Publication Nos. WO 2009/078708 and WO 2009/106279, which are incorporated herein by reference in their entirety. Other developments of this technique are described in US Patent Application Publication Nos. US 2011-0027704, US 2011-0043791 and US 2012-0242940, each of which is incorporated herein by reference in its entirety. This diffraction-based technique is commonly used to measure overlay. The target for these techniques may be smaller than the illumination spot and may be surrounded by product structures on the substrate. A target may include multiple periodic structures that may be measured in one image. In certain forms of this metrology technique, the overlay measurement results are generated by rotating, illuminating or By changing the imaging mode, it is obtained by measuring the target twice in a certain state. Comparison of intensity asymmetry for a given target, this diffraction order intensity, provides a measure of target asymmetry, ie asymmetry within the target. This asymmetry in the target can be used as an indicator of overlay error.

오버레이 측정의 예에서, 전술된 기법은 오버레이(즉, 오버레이 오차 및 의도적인 바이어스)가 타겟에 있는 타겟 비대칭의 유일한 원인이라는 가정에 기반한다. 타겟 또는 측정에 있는 임의의 다른 비대칭, 예컨대 상부 및/또는 하부 층에 있는 주기적 구조체 내의 피쳐들의 구조적 비대칭, 센서를 사용한 측정에 있는 비대칭 등도 1차(또는 다른 더 높은) 차수에 측정된 세기 비대칭이 생기게 할 수 있다. 타겟 및/또는 측정에 있는 이러한 다른 비대칭에 기인하고 오버레이에 관련되지 않는 이러한 세기 비대칭(의도적인 바이어스를 포함함)은 오버레이 측정을 교란시켜서, 부정확한 오버레이 측정이 얻어지게 한다.In the example of overlay measurement, the technique described above is based on the assumption that overlay (ie, overlay error and intentional bias) is the sole cause of target asymmetry in the target. Any other asymmetry in the target or measurement, such as the structural asymmetry of features in periodic structures in the upper and/or lower layers, asymmetry in the measurement with the sensor, etc., also shows that the measured intensity asymmetry in the first (or other higher) order is can make it happen These intensity asymmetries (including intentional biases) that are due to these other asymmetries in the target and/or measurements and not related to the overlay perturb the overlay measurements, resulting in inaccurate overlay measurements being obtained.

일 실시예에서, 계측 타겟으로부터 패터닝 프로세스 파라미터를 결정하는 방법으로서, 상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 조명 방사선의 복수 개의 조명 상태 중 상이한 조명 상태에 대응함 -; 및 상기 값들의 조합을 사용하여 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 방법이 제공된다.In one embodiment, there is provided a method of determining a patterning process parameter from a metrology target, comprising: obtaining a plurality of values of diffracted radiation from the metrology target, each value of the plurality of values comprising: Corresponding to different lighting conditions among lighting conditions; and determining the same value of the patterning process parameter for the target using the combination of the values.

일 실시예에서, 제 1 패터닝 프로세스 파라미터 결정 기법을 사용하여 측정 방사선에 의해 조명되는 계측 타겟으로부터 패터닝 프로세스 파라미터의 제 1 값을 결정하는 단계; 제 1 패터닝 프로세스 파라미터 결정 기법과 다른 제 2 패터닝 프로세스 파라미터 결정 기법을 사용하여 계측 타겟에 대한 패터닝 프로세스 파라미터의 복수 개의 제 2 값을 얻는 단계 - 각각의 제 2 값은 측정 방사선의 상이한 조명 상태에서 결정됨; 및 제 1 값 및 제 2 값에 기반하여, 계측 타겟의 측정을 위한 계측 레시피에 대한 측정 방사선 조명 상태를 식별하는 단계를 포함하는 방법이 제공된다.In one embodiment, there is provided a method comprising: determining a first value of a patterning process parameter from a metrology target illuminated by measurement radiation using a first patterning process parameter determination technique; obtaining a plurality of second values of the patterning process parameter for the metrology target using a second patterning process parameter determination technique different from the first patterning process parameter determination technique, each second value being determined at a different illumination state of the measurement radiation ; and identifying, based on the first value and the second value, a measurement radiation illumination state for a metrology recipe for measurement of a metrology target.

일 실시예에서, 본 명세서에서 설명된 바와 같은 계측 레시피에 따라 기판 상의 계측 타겟을 측정하는 단계를 포함하는 측정 방법이 제공된다.In one embodiment, a measurement method is provided comprising measuring a metrology target on a substrate according to a metrology recipe as described herein.

일 실시예에서, 리소그래피 프로세스의 파라미터를 측정하기 위한 계측 장치가 제공되는데, 계측 장치는 본 명세서에서 설명된 바와 같은 방법을 수행하도록 구성된다.In an embodiment, there is provided a metrology apparatus for measuring a parameter of a lithographic process, the metrology apparatus being configured to perform a method as described herein.

일 실시예에서, 프로세서가 전술된 방법이 수행되게 하는 머신-판독가능 명령을 저장하는, 비일시적 컴퓨터 프로그램 제품이 제공된다.In one embodiment, a non-transitory computer program product is provided, wherein the processor stores machine-readable instructions that cause the method described above to be performed.

일 실시예에서, 기판 상의 두 개의 인접한 주기적 구조체 또는 측정 타겟 상에 방사선 빔을 제공하고 상기 타겟에 의해 회절된 방사선을 검출하여 패터닝 프로세스의 파라미터를 결정하도록 구성되는 검사 장치, 및 전술된 비일시적 컴퓨터 프로그램 제품을 포함하는, 시스템이 제공된다. 일 실시예에서, 상기 시스템은, 방사선 빔을 변조하기 위한 패터닝 디바이스를 홀딩하도록 구성되는 지지 구조체 및 변조된 방사선 빔을 방사선 감응 기판 상에 투영하도록 배치되는 투영 광학계를 더 포함한다.In one embodiment, an inspection apparatus configured to provide a beam of radiation on two adjacent periodic structures on a substrate or a measurement target and detect radiation diffracted by the targets to determine a parameter of the patterning process, and the non-transitory computer described above; A system is provided, comprising a program product. In an embodiment, the system further comprises a support structure configured to hold a patterning device for modulating the radiation beam and projection optics arranged to project the modulated radiation beam onto the radiation-sensitive substrate.

다른 피쳐 및 장점 및 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기반하는 추가적인 실시예들이 당업자에게 명백해질 것이다.Other features and advantages and the structure and operation of various embodiments are described in detail below with reference to the accompanying drawings. It is noted that the present invention is not limited to the specific embodiments described herein. These examples are provided herein for purposes of illustration only. Additional embodiments will become apparent to those skilled in the art based on the teachings contained herein.

실시예들은 첨부 도면을 참조하여 오직 예시를 통하여 이제 설명될 것이다:
도 1은 리소그래피 장치의 일 실시예를 도시한다;
도 2는 리소그래피 셀 또는 클러스터의 일 실시예를 도시한다;
도 3은 예시적인 검사 장치 및 계측 기법을 개략적으로 도시한다;
도 4는 예시적인 검사 장치를 개략적으로 도시한다;
도 5는 검사 장치의 조명 스폿과 계측 타겟 사이의 조명 스폿을 예시한다;
도 6은 측정 데이터에 기반하여 복수 개의 관심 변수를 유도하는 프로세스를 개략적으로 보여준다;
도 7a는 제 1 쌍의 조명 애퍼쳐를 사용하여 타겟을 측정하도록 구성되는 검사 장치(예를 들어, 이러한 경우에서는 암시야 산란계)의 개략도를 도시한다;
도 7b는 조명의 주어진 방향에 대한 타겟 주기적 구조체의 회절 스펙트럼의 상세도를 개략적으로 도시한다;
도 7c는 회절에 기초한 오버레이 측정을 위해 도 7a의 검사 장치를 사용할 때에 추가적인 조명 모드를 제공하는 조명 애퍼쳐의 제 2 쌍을 개략적으로 도시한다;
도 7d는 애퍼쳐들의 제 1 및 제 2 쌍을 결합하는 조명 애퍼쳐의 제 3 쌍을 개략적으로 도시한다;
도 8은 다수의 주기적 구조체의 형태 및 기판 상의 측정 스폿의 개요를 도시한다;
도 9는 도 7a의 검사 장치에서 획득되는 도 8의 타겟의 이미지를 도시한다;
도 10은 도 3의 검사 장치를 사용한 오버레이 측정 방법의 단계들을 보여주는 흐름도이다;
도 11a, 도 11b, 및 도 11c는 제로의 영역에서 상이한 오버레이 값을 가지는 오버레이 주기적 구조체의 개략적인 단면을 각각 보여준다;
도 11d는 처리 효과에 기인한 하단 주기적 구조체 내의 구조적 비대칭을 가지는 오버레이 주기적 구조체의 개략적인 단면도이다;
도 11e는 의도적 바이어스가 있는 주기적 구조체를 가지는 오버레이 타겟의 개략적인 상면도이다;
도 11f는 도 11e에서 도시되는 바와 같은 타겟으로부터 나오는 특정 차수 방사선의 검출된 회절 신호의 일 예를 도시한다;
도 11g는 도 11e에서 도시되는 바와 같은 타겟으로부터 나오는 다른 특정 차수 방사선의 검출된 회절 신호의 예를 도시한다;
도 11h는 2층 주기적 구조체를 가지는 타겟으로부터 나오는 방사선의 회절을 기술하기 위한 간단한 모델의 개략도이다;
도 12는 구조적 비대칭에 노출되지 않는, 이상적인 타겟에 있는 오버레이 측정의 원리를 예시한다;
도 13은 본 발명에 개시된 바와 같은 구조적 비대칭의 정정이 있는 경우의, 비-이상적인 타겟 구조체에 있는 오버레이 측정의 원리를 예시한다;
도 14는 방법의 일 실시예의 흐름도이다;
도 15는 방법의 일 실시예의 흐름도이다;
도 16은 성능을 모니터링하고, 계측을 제어하기 위한 기초로서 디자인 및/또는 생산 프로세스를 모니터링하기 위해 계측 타겟이 사용되는 프로세스를 예시하는 흐름도이다;
도 17은 단일 편광(이러한 경우에, 선형 X 편광)에 대한 다양한 파장에서의 측정을 위한 타겟에 대한 오버레이 감도의 그래프이다;
도 18은 단일 편광(이러한 경우에, 선형 Y 편광)에 대한 다양한 파장에서의 측정을 위한 타겟에 대한 오버레이 감도의 그래프이다; 그리고
도 19는 피쳐 비대칭을 가지지 않는 오버레이 격자에 대한 A+ 대 A-의 그래프이다.
Embodiments will now be described by way of example only with reference to the accompanying drawings:
1 shows an embodiment of a lithographic apparatus;
2 shows one embodiment of a lithographic cell or cluster;
3 schematically depicts an exemplary inspection apparatus and metrology technique;
4 schematically shows an exemplary inspection device;
5 illustrates an illumination spot between an illumination spot of an inspection device and a metrology target;
6 schematically shows a process for deriving a plurality of variables of interest based on measurement data;
7A shows a schematic diagram of an inspection apparatus (eg, in this case a dark field scatterometer) configured to measure a target using a first pair of illumination apertures;
7B schematically shows a detailed view of the diffraction spectrum of a target periodic structure for a given direction of illumination;
Fig. 7c schematically illustrates a second pair of illumination apertures providing an additional illumination mode when using the inspection apparatus of Fig. 7a for diffraction-based overlay measurements;
7D schematically illustrates a third pair of illumination apertures joining the first and second pairs of apertures;
8 shows an overview of the shape of a number of periodic structures and measurement spots on a substrate;
Fig. 9 shows an image of the target of Fig. 8 obtained in the inspection apparatus of Fig. 7a;
Fig. 10 is a flow chart showing the steps of an overlay measurement method using the inspection device of Fig. 3;
11a, 11b, and 11c respectively show schematic cross-sections of overlay periodic structures with different overlay values in the region of zero;
11D is a schematic cross-sectional view of an overlay periodic structure having structural asymmetry in the bottom periodic structure due to processing effects;
11E is a schematic top view of an overlay target having a periodic structure with intentional bias;
11F shows an example of a detected diffraction signal of a specific order radiation emanating from a target as shown in FIG. 11E ;
11G shows an example of a detected diffraction signal of another specific order radiation coming from a target as shown in FIG. 11E ;
11H is a schematic diagram of a simple model for describing the diffraction of radiation emanating from a target having a two-layer periodic structure;
12 illustrates the principle of overlay measurement on an ideal target, not exposed to structural asymmetry;
13 illustrates the principle of overlay measurement in a non-ideal target structure with correction of structural asymmetry as disclosed herein;
14 is a flowchart of one embodiment of a method;
15 is a flowchart of one embodiment of a method;
16 is a flow diagram illustrating a process in which metrology targets are used to monitor design and/or production processes as a basis for monitoring performance and controlling metrology;
17 is a graph of overlay sensitivity for a target for measurements at various wavelengths for a single polarization (in this case, linear X polarization);
18 is a graph of overlay sensitivity for a target for measurements at various wavelengths for a single polarization (in this case, linear Y polarization); and
19 is a graph of A + versus A for an overlay grid without feature asymmetry.

본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.Before describing embodiments of the present invention in detail, it is advantageous to present an exemplary environment in which embodiments of the present invention may be implemented.

도 1은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이러한 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 조절하도록 구성되는 조명 광학 시스템(조명기(illuminator; IL)); 패터닝 디바이스(예를 들어, 마스크(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지대 또는 지지 구조체(예를 들어, 마스크 테이블(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼(W)를 홀딩하도록 구성되고 특정 파라미터에 따라서 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블(WT); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 광학 시스템(예를 들어, 굴절성 투영 렌즈 시스템)(PS)을 포함한다.1 schematically depicts a lithographic apparatus LA. Such an apparatus comprises an illumination optical system (illuminator (IL)) configured to modulate a beam of radiation B (eg, UV radiation or DUV radiation); A patterning device support or support structure (e.g. a patterning device support or support structure (e.g. , mask table MT; substrate coupled to a second positioner PW configured to hold a substrate (eg, a resist-coated wafer W) and configured to accurately position the substrate according to specific parameters a table (eg, a wafer table WT); and a target portion C (eg, one or more dies) of a substrate W with a pattern imparted to the radiation beam B by a patterning device MA. ) a projection optical system (eg, refractive projection lens system) PS configured to project onto the .

조명 광학 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.Illumination optical systems include various types of optical components for directing, shaping, or controlling radiation, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any of these Combinations may also be included.

패터닝 디바이스 지지대는 패터닝 디바이스를, 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 패터닝 디바이스 지지대는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지대는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 패터닝 디바이스 지지대는 패터닝 디바이스가 예를 들어 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 모든 사용은 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.The patterning device support holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions such as, for example, whether the patterning device is maintained in a vacuum environment. The patterning device support may utilize mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device. The patterning device support may be, for example, a frame or table that can be fixed or moved as required. The patterning device support may ensure that the patterning device is in a desired position relative to, for example, the projection system. All uses of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device”.

본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 시프트 피쳐(phase shifting feature) 또는 소위 어시스트 피쳐(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.As used herein, the term “patterning device” is broadly interpreted to refer to any device that can be used to impart a radiation beam having a pattern in its cross-section, such as to create a pattern in a target portion of a substrate. should be It is noted that the pattern imparted to the radiation beam may not exactly match the desired pattern in the target portion of the substrate, for example if the pattern contains phase shifting features or so-called assist features. It should be noted. In general, the pattern imparted to the radiation beam will correspond to a particular functional layer in the target portion, such as a device being created in an integrated circuit.

패터닝 디바이스는 투과식 또는 반사식일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 마스크는 리소그래피 분야에 잘 알려져 있으며, 이진, 교번 위상-시프트, 감쇄 위상-시프트, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable (LCD) panels. Masks are well known in the art of lithography and include mask types such as binary, alternating phase-shift, attenuated phase-shift, and various hybrid mask types. One example of a programmable mirror array employs a matrix arrangement of miniature mirrors, each of which can be individually tilted to reflect an incoming radiation beam in a different direction. The tilted mirror imparts a pattern in the radiation beam reflected by the mirror matrix.

도시된 것처럼, 장치는 투과식이다(예를 들어, 투과식 마스크를 채용). 또는, 장치는 반사형 타입(예를 들어, 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다.As shown, the device is transmissive (eg employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (eg employing a programmable mirror array of a type as noted above, or employing a reflective mask).

리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 침지액은 또한 예컨대 마스크 및 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 도포될 수 있다. 침지 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다. 본 명세서에 사용된 바와 같은 "침지"라는 용어는, 기판과 같은 구조체가 액체에 잠겨야 하는 것을 의미하지 않고, 그보다는 노광 동안에 투영 시스템과 기판 사이에 액체가 위치된다는 것을 의미한다.The lithographic apparatus may also be of a type in which at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, such as water, to fill a space between the projection system and the substrate. The immersion liquid may also be applied to other spaces within the lithographic apparatus, for example between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather means that a liquid is placed between the projection system and the substrate during exposure.

도 1을 참조하면, 조명기(IL)는 방사선 빔을 방사원(SO)으로부터 수광한다. 예를 들어, 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우에, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 소스가 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.Referring to FIG. 1 , an illuminator IL receives a radiation beam from a radiation source SO. For example, if the source is an excimer laser, the source and the lithographic apparatus may be separate entities. In this case, the source is not considered to form part of the lithographic apparatus, the radiation beam being, for example, with the aid of a beam delivery system BD comprising suitable directing mirrors and/or beam expanders, the source SO is transmitted to the illuminator IL. In other cases, for example where the source is a mercury lamp, this source may be a component integrated into the lithographic apparatus. Source SO and illuminator IL may be referred to as a radiation system together with beam delivery system BD if necessary.

조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기(AD)를 포함할 수 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 또한, 조명기(IL)는 집속기(integrator; IN) 및 집광기(condenser; CO)와 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 조절하기 위하여 사용될 수 있다.The illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam. In general, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the pupil plane of the illuminator IL can be adjusted. The illuminator IL may also include various other components such as an integrator IN and a condenser CO. An illuminator may be used to adjust the radiation beam to have a desired uniformity and intensity distribution in its cross-section.

방사선 빔(B)은 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT)) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크(MA)) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(예를 들어 마스크(MA))를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 광학 시스템(PS)을 통과하여, 패턴의 이미지를 타겟부(C) 상에 투영한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 다른 위치 센서(도 1에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(예를 들어, 마스크(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다.The radiation beam B is incident on a patterning device (eg, mask MA) held on a patterning device support (eg, mask table MT), and is patterned by the patterning device. Upon traversing the patterning device (eg mask MA), the radiation beam B passes through a projection optical system PS which focuses the beam onto a target portion C of the substrate W, resulting in an image of the pattern. is projected onto the target portion C. With the aid of a second positioner PW and a position sensor IF (for example an interferometric measuring device, a linear encoder, a 2-D encoder or a capacitive sensor), for example the radiation beam B In order to position the different target portions C in the path, the substrate table WT can be accurately moved. Similarly, the first positioner PM and the other position sensors (not explicitly depicted in FIG. 1 ) may, for example, after a mechanical search from a mask library, or during a scan, of the radiation beam B It can be used to accurately position the patterning device (eg, mask MA) with respect to the path.

패터닝 디바이스(예를 들어 마스크(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟부를 점유하지만, 이들은 타겟부 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 패터닝 디바이스(예를 들어 마스크(MA))에 두 개 이상의 다이가 제공되는 상황에서는, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수도 있다. 작은 정렬 마커들도 역시 다이에, 그리고 디바이스 피쳐들 사이에 포함될 수 있는데, 이러한 경우 마커는 가능한 한 작고 인접한 피쳐에 비하여 임의의 다른 이미징 또는 프로세스 조건을 요구하지 않는 것이 바람직하다. 정렬 마커를 검출하는 정렬 시스템이 상세히 후술된다.The patterning device (eg mask MA and substrate W) may be aligned using patterning device alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 . Similarly, substrate alignment marks occupy dedicated target portions, but they may also be located in spaces between target portions (these are known as scribe-lane alignment marks) Similarly, on a patterning device (e.g. mask MA) In situations where more than one die is provided, the patterning device alignment marks may be located between the dies Small alignment markers may also be included in the die and between the device features, in which case the markers are as small as possible It is desirable not to require any other imaging or process conditions compared to adjacent features An alignment system for detecting alignment markers is described in detail below.

이러한 예에서 리소그래피 장치(LA)는 두 개의 기판 테이블(WTa, WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입이다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션에서 노광되는 동안, 다른 기판은 측정 스테이션에 있는 다른 기판 테이블에 로딩될 수 있고, 다양한 준비 단계들이 수행될 수 있다. 준비 단계는 레벨 센서(LS)를 사용하여 기판의 표면 제어를 매핑하는 것과 정렬 센서(AS)를 사용하여 기판 상의 정렬 마커의 위치를 측정하는 것을 포함할 수도 있다. 그러면 리소그래피 장치의 쓰루풋이 크게 증가할 수 있다.The lithographic apparatus LA in this example is of the so-called dual stage type, having two substrate tables WTa, WTb and two stations between which the substrate table can be exchanged - an exposure station and a measurement station. While one substrate on one substrate table is exposed at the exposure station, another substrate can be loaded onto another substrate table at the measurement station, and various preparatory steps can be performed. The preparatory steps may include mapping the surface control of the substrate using a level sensor LS and measuring the position of an alignment marker on the substrate using an alignment sensor AS. The throughput of the lithographic apparatus can then be greatly increased.

도시된 장치는 예를 들어 스텝 모드 또는 스캔 모드를 포함하는 다양한 모드에서 사용될 수 있다. 리소그래피 장치의 구조 및 동작은 당업자들에게 잘 알려져 있으며, 본 발명의 실시예의 이해를 위해서 더 설명될 필요가 없다.The illustrated apparatus can be used in various modes including, for example, step mode or scan mode. The structure and operation of a lithographic apparatus are well known to those skilled in the art and need not be further described for an understanding of the embodiments of the present invention.

도 2에 도시된 바와 같이, 리소그래피 장치(LA)는, 리소그래피 셀(LC) 또는 리소셀(lithocell) 또는 클러스터라고 불리는, 리소그래피 시스템의 일부를 형성한다. 리소그래피 셀(LC)은 사전-노광 및 사후-노광 프로세스를 기판에 수행하기 위한 장치를 더 포함할 수 있다. 통상적으로, 이러한 장치는 레지스트층을 침착시키기 위한 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.As shown in FIG. 2 , the lithographic apparatus LA forms part of a lithographic system, called a lithographic cell LC or a lithocell or cluster. The lithographic cell LC may further include apparatus for performing pre-exposure and post-exposure processes on the substrate. Typically, such devices include a spin coater (SC) for depositing a layer of resist, a developer (DE) for developing the exposed resist, a chill plate (CH), and a bake plate (BK). ) is included. A substrate handler or robot (RO) picks up the substrates from the input/output ports (I/O1, I/O2), moves them between different process equipment, and then transfers them to the loading bay (LB) of the lithographic apparatus. do. These apparatuses, collectively referred to as tracks, are placed under the control of a track control unit (TCU), which is controlled by a supervisory control system (SCS), which also via a lithography control unit (LACU) for lithography. control the device. Therefore, different devices can be operated to maximize throughput and processing efficiency.

적어도 하나의 패터닝 단계(예를 들어, 광 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)를 설계, 모니터링, 및 제어 등을 하기 위하여, 패터닝된 기판이 검사될 수 있고 패터닝된 기판의 하나 이상의 파라미터가 측정된다. 하나 이상의 파라미터는, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 연속 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 피쳐의 임계 치수(CD)(예를 들어, 임계 선폭), 광 리소그래피 단계의 초점 또는 초점 오차, 광 리소그래피 단계의 선량 또는 선량 오차, 광 리소그래피 단계의 광수차 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체 및/또는 기판 상에 제공된 전용의 계측 타겟 상에서 수행될 수 있다. 주사 전자 현미경(scanning electron microscope), 영상-기반 측정 또는 검사 툴 및/또는 다양한 특수 기기를 사용하는 것을 포함하는 패터닝 프로세스에서 형성된 구조체를 측정하기 위한 다양한 기법들이 존재한다. 상대적으로 신속하고 비침투식인 형태의 특수 측정 및 검사 툴은, 방사선의 빔이 기판면 상의 타겟 상으로 디렉팅되고 산란된(회절/반사된) 빔이 측정되는 것이다. 빔이 기판에 의해 산란되기 전과 후의 하나 이상의 빔의 특성을 비교함으로써, 기판의 하나 이상의 특성을 결정할 수 있다. 이것은 회절-기반 계측 또는 검사라고 명명될 수 있다.To design, monitor, control, etc., a patterning process (eg, a device manufacturing process) that includes at least one patterning step (eg, an optical lithography step), a patterned substrate may be inspected and the patterned One or more parameters of the substrate are measured. The one or more parameters may be, for example, an overlay between successive layers formed in or on the patterned substrate, eg, a critical dimension (CD) (eg, critical linewidth) of a feature formed in or on the patterned substrate, light focus or focus error of the lithography step, dose or dose error of the optical lithography step, optical aberration of the optical lithography step, and the like. Such measurements may be performed on the product substrate itself and/or on a dedicated metrology target provided on the substrate. Various techniques exist for measuring structures formed in a patterning process, including using a scanning electron microscope, image-based measurement or inspection tools, and/or various specialized instruments. A special measurement and inspection tool of a relatively fast and non-invasive type is one in which a beam of radiation is directed onto a target on a substrate surface and the scattered (diffracted/reflected) beam is measured. By comparing the properties of the one or more beams before and after the beam is scattered by the substrate, one or more properties of the substrate may be determined. This may be termed diffraction-based metrology or inspection.

도 3은 예시적인 검사 장치(예를 들어, 산란계)를 도시한다. 이것은 방사선을 기판(W) 상에 투영하는 브로드밴드(백색 광) 방사선 투영기(2)를 포함한다. 재지향된 방사선은 분광계 검출기(4)로 전달되고, 이것은 예를 들어 좌측 아래의 그래프에 표시된 것과 같은 정반사된 방사선의 스펙트럼(10)(파장의 함수로서의 세기)을 측정한다. 이러한 데이터로부터, 예를 들어 엄밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 도 3의 우측 아래에 도시한 바와 같은 시뮬레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 구조 또는 프로파일이 프로세서(PU)에 의해 재구성될 수도 있다. 일반적으로, 재구성을 위해서는, 그 구조의 전반적인 형태가 알려져 있으며 일부 변수는 이러한 구조를 제조하는 프로세스에 대한 정보로부터 추정되어, 이러한 구조의 소수의 변수만이 측정된 데이터로부터 결정되도록 남게 된다. 이러한 검사 장치는 수직 입사(normal-incidence) 검사 장치 또는 경사 입사(oblique-incidence) 검사 장치로서 구성될 수 있다.3 depicts an exemplary inspection device (eg, a scatterometer). It comprises a broadband (white light) radiation projector 2 that projects radiation onto a substrate W. The redirected radiation is passed to a spectrometer detector 4 , which measures a spectrum 10 (intensity as a function of wavelength) of the specularly reflected radiation, for example as shown in the graph at the lower left. From these data, for example, by Rigorous Coupled Wave Analysis and non-linear regression or with a library of simulated spectra as shown in the lower right of FIG. 3 . By comparison, the structure or profile resulting in the detected spectrum may be reconstructed by the processor PU. In general, for reconstruction, the overall shape of the structure is known and some variables are extrapolated from information about the process of manufacturing such a structure, leaving only a few variables of such a structure to be determined from the measured data. Such an inspection apparatus may be configured as a normal-incidence inspection apparatus or an oblique-incidence inspection apparatus.

사용될 수 있는 다른 검사 장치가 도 4에 도시된다. 이러한 장치에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(120)을 이용하여 시준되고, 간섭 필터(130) 및 편광기(polarizer)(170)를 통하여 투과되며, 부분 반사면(160)에 의해 반사되고, 바람직하게는 적어도 약 0.9 및 더 바람직하게는 적어도 약 0.95의 높은 개구수(NA)를 가지는 대물 렌즈(150)를 통해 기판(W) 상의 스폿(S)에 포커스된다. 심지어 침지 검사 장치(물과 같은 상대적으로 높은 굴절률의 유체를 사용함)는 1 이 넘는 개구수를 가질 수도 있다.Another inspection device that may be used is shown in FIG. 4 . In such an arrangement, radiation emitted by a radiation source 2 is collimated using a lens system 120 , transmitted through an interference filter 130 and a polarizer 170 , and a partially reflective surface 160 . is reflected by and focused on the spot S on the substrate W through the objective lens 150 having a high numerical aperture (NA), preferably at least about 0.9 and more preferably at least about 0.95. Even immersion testing devices (using a relatively high refractive index fluid such as water) may have numerical apertures greater than one.

리소그래피 장치(LA)에서와 같이, 하나 이상의 기판 테이블이 측정 동작 중에 기판(W)을 홀딩하기 위해 제공될 수 있다. 기판 테이블은 형태상 도 1의 기판 테이블(WT)과 유사하거나 동일할 수 있다. 검사 장치가 리소그래피 장치와 통합되는 일 예에서, 이들은 동일한 기판 테이블일 수도 있다. 측정 광학계에 대해 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 개략적 위치설정기 및 미세 위치설정기가 제공될 수 있다. 예를 들어 관심 타겟의 위치를 얻고 이것을 대물 렌즈(150) 아래의 위치로 이동시키기 위해서, 다양한 센서 및 액츄에이터가 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치에서 타겟에 많은 측정이 이루어질 것이다. 기판 지지체는 X 및 Y 방향으로 이동되어 상이한 타겟들을 얻을 수 있고, Z 방향으로 이동되어 광학 시스템의 초점에 대한 타겟의 원하는 위치를 얻을 수 있다. 예를 들어 실제로 광학 시스템이 실질적으로 정지된 상태를 유지하고(통상적으로 X 및 Y 방향이지만 Z 방향에서도 정지될 수 있음) 기판만이 이동하는 경우, 대물 렌즈가 기판에 대해 상대적으로 상이한 위치로 이동되고 있는 것처럼 동작을 이해하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 정확하다면, 이들 중 어느 것이 실제로 이동하고 있는지, 또는 둘 다 이동하는 중인지, 또는 광학 시스템의 일부의 조합이 이동하며(예를 들어, Z 및/또는 틸트 방향으로) 광학 시스템의 나머지가 정지된 상태이고 기판이 이동하는지(예를 들어, X 및 Y 방향이지만, 선택적으로 Z 및/또는 틸트 방향으로도 이동가능함)는 이론 상 중요하지 않다.As in the lithographic apparatus LA, one or more substrate tables may be provided for holding the substrate W during a measurement operation. The substrate table may be similar or identical in shape to the substrate table WT of FIG. 1 . In one example where the inspection apparatus is integrated with the lithographic apparatus, they may be the same substrate table. A coarse positioner and a fine positioner may be provided in the second positioner PW configured to accurately position the substrate with respect to the measurement optics. Various sensors and actuators are provided, for example, to obtain the position of a target of interest and to move it to a position below the objective lens 150 . Typically, many measurements will be made to the target at different locations across the substrate W. The substrate support can be moved in the X and Y directions to obtain different targets, and moved in the Z direction to obtain a desired position of the target with respect to the focus of the optical system. In practice, for example, if the optical system remains substantially stationary (usually in the X and Y directions, but can also be stationary in the Z direction) and only the substrate moves, then the objective lens moves to a different position relative to the substrate. It is convenient to understand and explain the behavior as it is being If the relative positions of the substrate and optical system are correct, either one of them is actually moving, or both, or a combination of parts of the optical system is moving (eg, in the Z and/or tilt direction). It does not matter in theory whether the rest of the optical system is stationary and the substrate is moving (eg, in the X and Y directions, but optionally also in the Z and/or tilt directions).

스펙트럼(spectrum)이 검출되게 하기 위하여, 기판(W)에 의해 재지향된 방사선은 이제 부분 반사면(160)을 통해 검출기(180)에 진입한다. 검출기(180)는(후방 투영 퓨필 평면(back-projected pupil plane)(110)에 위치될 수 있고(예를 들어, 대물 렌즈(150)의 초점 거리(focal length)에 위치함), 또는, 평면(110)은 보조 광학기(도시 안 됨)에 의해 검출기(180) 상에 재결상될(re-imaged) 수도 있다. 검출기는 기판 타겟(30)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기일 수 있다. 검출기(180)는 예를 들어 CCD 또는 CMOS 센서의 어레이일 수도 있으며, 예컨대 프레임당 40 ms의 노출 시간(integration time)을 사용할 수도 있다.In order for a spectrum to be detected, the radiation redirected by the substrate W now enters the detector 180 through the partially reflective surface 160 . The detector 180 may be located (eg, located at the focal length of the objective lens 150 ) in the back-projected pupil plane 110 (eg located at the focal length of the objective lens 150 ), or in a plane 110 may be re-imaged on detector 180 by auxiliary optics (not shown) so that the two-dimensional angular scatter spectrum of substrate target 30 can be measured. It may be a dimensional detector The detector 180 may be, for example, an array of CCD or CMOS sensors, for example using an integration time of 40 ms per frame.

입사 방사선의 세기를 측정하기 위해, 예를 들어 레퍼런스 빔이 사용될 수 있다. 이를 위해, 방사선 빔이 부분 반사면(160)에 입사되면, 방사선 빔의 일부는 부분 반사면(160)을 투과하여 기준 빔으로서 기준 미러(140)를 향하게 된다. 기준 빔은 그 후 동일한 검출기(180)의 상이한 부분 상에 투영되거나 또는 이와 달리 상이한 검출기(도시하지 않음) 상으로 투영된다.To measure the intensity of the incident radiation, for example, a reference beam can be used. To this end, when a radiation beam is incident on the partially reflective surface 160 , a portion of the radiation beam passes through the partially reflective surface 160 and is directed toward the reference mirror 140 as a reference beam. The reference beam is then projected onto different portions of the same detector 180 or otherwise projected onto a different detector (not shown).

예를 들면 405 - 790 nm 또는 그보다 낮은, 예컨대 200 - 300 nm와 같은 범위의 관심 파장을 선택하기 위해 하나 이상의 간섭 필터(130)의 세트가 이용될 수 있다. 간섭 필터는 상이한 필터의 세트를 포함하기보다는 튜닝가능할 수도 있다. 간섭 필터 대신 격자(grating)가 이용될 수 있다. 애퍼쳐 스톱 또는 공간 광 변조기(미도시)가 조명 경로에 제공되어 타겟 상의 방사선의 입사각의 범위를 제어할 수 있다.For example, a set of one or more interference filters 130 may be used to select a wavelength of interest in the range such as 405 - 790 nm or lower, such as 200 - 300 nm. The interference filter may be tunable rather than including a set of different filters. A grating may be used instead of an interference filter. An aperture stop or spatial light modulator (not shown) may be provided in the illumination path to control the range of angles of incidence of radiation on the target.

검출기(180)는 단일 파장(또는 좁은 파장 범위)에서의 재지향된 방사선의 세기를 측정할 수도 있고, 여러 파장에서의 세기를 별도로 측정할 수도 있으며, 또는 일정 파장 범위에 걸쳐 통합된 세기를 측정할 수도 있다. 또한, 검출기는 횡자기 편광(transverse magnetic-polarized) 및 횡전기 편광 방사선(transverse electric-polarized radiation)의 세기, 및/또는 횡자기 편광 방사선과 횡전기 편광 방사선 간의 위상차를 별도로 측정할 수도 있다.The detector 180 may measure the intensity of the redirected radiation at a single wavelength (or a narrow wavelength range), it may measure the intensity at multiple wavelengths separately, or it may measure the integrated intensity over a range of wavelengths. may be The detector may also separately measure the intensity of the transverse magnetic-polarized and transverse electric-polarized radiation and/or the phase difference between the transverse magnetic-polarized radiation and the transverse electric-polarized radiation.

기판(W) 상의 타겟(30)은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 솔리드 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟(30)은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 솔리드 레지스트 필라(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필라 또는 비아는 기판 내에 또는 기판 상에(예를 들어, 기판 상의 하나 이상의 층 내에) 에칭될 수 있다. 패턴(예를 들어, 바, 필라 또는 비아의 패턴)은 패터닝 프로세스 중 처리에 있어서의 변화(예를 들어, 리소그래피 투영 장치(특히 투영 시스템(PS)) 내의 광학 수차, 초점 변화, 선량 변화 등)에 민감하고, 인쇄된 격자에서의 변동으로 나타날 것이다. 이에 따라, 프린트된 격자의 측정된 데이터가 격자를 재구성하는데 이용된다. 인쇄 단계 및/또는 다른 검사 프로세스의 지식으로부터, 라인 폭 및 라인 형상과 같은 1-D 격자의 하나 이상의 파라미터 또는 필라 또는 비아의 폭 또는 길이 또는 형상과 같은 2-D 격자의 하나 이상의 파라미터가, 처리 유닛(PU)에 의해 수행되는 재구성 프로세스에 입력될 수 있다.The target 30 on the substrate W may be a 1-D grating, which is printed such that, after development, a bar is formed of a solid resist line. The target 30 may be a 2-D grating, which is printed such that, after development, bars are formed into solid resist pillars or vias in resist. The bars, pillars, or vias may be etched into or on the substrate (eg, in one or more layers on the substrate). A pattern (e.g., a pattern of bars, pillars, or vias) is subject to changes in processing during the patterning process (e.g., optical aberrations in a lithographic projection apparatus (especially projection system PS), changes in focus, changes in dose, etc.) sensitive to , and will appear as variations in the printed grid. Accordingly, the measured data of the printed grating is used to reconstruct the grating. From knowledge of the printing step and/or other inspection process, one or more parameters of a 1-D grating, such as line width and line shape, or one or more parameters of a 2-D grating, such as width or length or shape of pillars or vias, are processed It may be input to a reconfiguration process performed by the unit PU.

재구성에 의한 파라미터의 측정에 추가하여, 회절-기반 계측 또는 검사가 제품 및/또는 레지스트 패턴 내의 피쳐의 비대칭의 측정에 사용될 수 있다. 비대칭 측정의 특정한 응용예는 예를 들어 오버레이의 측정을 위한 것이지만, 하지만 다른 응용예도 역시 알려져 있다. 이러한 경우에, 타겟(30)은 서로 중첩된 주기적 피쳐들의 하나의 세트를 통상적으로 포함한다. 예를 들어, 비대칭은 타겟(30)으로부터 오는 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼 내의 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 도 3 또는 도 4의 기구를 사용하는 비대칭 측정의 개념은, 예를 들어 미국 특허 공개 번호 US2006-066855에 기술되는데, 이것은 그 전체 내용이 원용되어 본원에 통합된다. 간단히 말하면, 타겟의 회절 스펙트럼 내의 회절 차수의 위치가 타겟의 주기성에 의해서만 결정되는 반면에, 회절 스펙트럼 내의 비대칭은 타겟을 이루는 개개의 피쳐들에 있는 비대칭을 표시한다. 검출기(180)가 이미지 센서일 수 있는 도 4의 기구에서, 회절 차수에 있는 이러한 비대칭은 검출기(180)에 의해 기록된 퓨필 이미지 내의 비대칭으로서 직접적으로 나타난다. 이러한 비대칭은 유닛(PU) 내에 있는 디지털 이미지 처리에 의해 측정되고, 오버레이의 공지된 값에 대하여 교정될 수 있다.In addition to measurement of parameters by reconstruction, diffraction-based metrology or inspection may be used for measurement of asymmetry of features in the article and/or resist pattern. A specific application of asymmetry measurement is for example for the measurement of an overlay, but other applications are also known. In this case, the target 30 typically includes one set of periodic features superimposed on one another. For example, asymmetry can be measured by comparing opposite portions of the diffraction spectrum coming from the target 30 (eg, by comparing the -1 and +1 orders in the diffraction spectrum of a periodic grating). The concept of asymmetry measurement using the instrument of FIG. 3 or FIG. 4 is described, for example, in US Patent Publication No. US2006-066855, which is incorporated herein by reference in its entirety. Simply put, the position of the diffraction order in the diffraction spectrum of a target is determined only by the periodicity of the target, whereas asymmetry in the diffraction spectrum is indicative of asymmetry in the individual features that make up the target. 4 , where detector 180 may be an image sensor, this asymmetry in the diffraction order appears directly as an asymmetry in the pupil image recorded by detector 180 . This asymmetry can be measured by digital image processing within the unit PU and corrected for a known value of the overlay.

도 5는 도 4의 장치에서의 통상적인 타겟(30), 및 조명 스폿(S)의 평면도를 도시한다. 주변 구조체로부터의 간섭이 없는 회절 스펙트럼을 얻기 위해서, 일 실시예에서 타겟(30)은 조명 스폿(S)의 폭(예를 들어, 직경) 보다 더 큰 주기적 구조체(예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 다르게 말하면, 타겟은 조명에 의해 '언더필되고(underfilled'), 회절 신호에는 타겟 자체 밖의 제품 피쳐 등으로부터의 신호가 본질적으로 존재하지 않는다. 조명 장치(2, 120, 130, 170)는 대물 렌즈(150)의 후초점면에 걸쳐서 균일한 세기의 조명을 제공하도록 구성될 수 있다. 또는, 예를 들어 조명 경로에 애퍼쳐를 포함함으로써, 조명은 온 축 또는 오프 축 방향으로 제한될 수 있다.FIG. 5 shows a top view of a typical target 30 and illumination spot S in the apparatus of FIG. 4 . To obtain a diffraction spectrum free of interference from surrounding structures, in one embodiment the target 30 is a periodic structure (eg, a grating) that is larger than the width (eg, diameter) of the illumination spot S. The width of the spot S may be smaller than the width and length of the target. In other words, the target is 'underfilled' by the illumination, and the diffraction signal is essentially free of signals from product features or the like outside the target itself. The illumination devices 2 , 120 , 130 , 170 may be configured to provide illumination of uniform intensity across the back focal plane of the objective lens 150 . Or, for example, by including an aperture in the illumination path, illumination may be limited in the on-axis or off-axis direction.

도 6은 계측법을 사용하여 얻어진 측정된 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 변수의 값을 결정하는 예시적인 프로세스를 개략적으로 도시한다. 검출기(180)에 의해 검출된 방사선은 타겟(30')에 대한 측정된 방사선 분포(108)를 제공한다.6 schematically illustrates an exemplary process for determining values of one or more variables of interest of a target pattern 30' based on measured data obtained using metrology. The radiation detected by detector 180 provides a measured radiation distribution 108 for target 30'.

주어진 타겟(30')에 대해서, 방사선 분포(208)는, 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver; 210)를 사용하여, 파라미터화된 모델(206')로부터 계산 / 시뮬레이션될 수 있다. 파라미터화된 모델(206)은 타겟을 구성하고 타겟과 연관된 다양한 재료의 예시적인 층들을 보여준다. 파라미터화된 모델(206)은 고려 대상인 타겟의 피쳐 및 일부의 층에 대한 변수 중 하나 이상을 포함할 수 있고, 이들은 변경되고 유도될 수 있다. 도 6에 도시된 바와 같이, 변수 중 하나 이상은 하나 이상의 층의 두께 t, 하나 이상의 피쳐의 폭 w(예를 들어, CD), 하나 이상의 피쳐의 높이 h, 및/또는 하나 이상의 피쳐의 측벽 각도 α를 포함할 수 있다. 비록 도시되지 않지만, 변수 중 하나 이상은 층들 중 하나 이상의 굴절률(예를 들어, 실수 또는 복소 굴절률, 굴절률 텐서 등), 하나 이상의 층의 소광 계수, 하나 이상의 층의 흡수, 현상 도중의 레지스트 손실, 하나 이상의 피쳐의 푸팅(footing), 및/또는 하나 이상의 피쳐의 선 에지 거칠기를 더 포함할 수도 있으나, 이에 한정되지는 않는다. 변수의 초기 값은 측정되고 있는 타겟에 대해 기대되는 값일 수 있다. 그러면 측정된 방사선 분포(108)는 212에서 계산된 방사선 분포(208)와 비교되어 이들 사이의 차를 결정한다. 차이가 있으면, 파라미터화된 모델(206)의 변수 중 하나 이상의 값은 변경될 수 있고, 새롭게 계산된 방사선 분포(208)가 계산되고, 측정된 방사선 분포(108)와 계산된 방사선 분포(208) 사이에 충분한 매칭이 존재할 때까지 측정된 방사선 분포(108)에 대해 비교된다. 해당 시점에서, 파라미터화된 모델(206)의 변수들의 값은 실제 타겟(30')의 기하학적 구조에 대한 양호하거나 최선의 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(108)와 계산된 방사선 분포(208) 사이의 차가 공차 임계 안에 속하면 충분한 매칭이 존재하는 것이다.For a given target 30', the radiation distribution 208 may be calculated/simulated from the parameterized model 206' using, for example, a numerical Maxwell solver 210. The parameterized model 206 shows exemplary layers of various materials that make up the target and are associated with the target. The parameterized model 206 may include one or more of the parameters for some layers and features of the target under consideration, which may be modified and derived. 6 , one or more of the variables is a thickness t of one or more layers, a width w (eg, CD) of one or more features, a height h of one or more features, and/or a sidewall angle of one or more features. α may be included. Although not shown, one or more of the variables may be a refractive index of one or more of the layers (eg, real or complex refractive index, refractive index tensor, etc.), an extinction coefficient of one or more layers, absorption of one or more layers, resist loss during development, one It may further include, but is not limited to, footing of one or more features, and/or line edge roughness of one or more features. The initial value of the variable may be an expected value for the target being measured. The measured radiation distribution 108 is then compared with the calculated radiation distribution 208 at 212 to determine the difference therebetween. If there is a difference, the values of one or more of the variables of the parameterized model 206 can be changed, a newly calculated radiation distribution 208 is calculated, and the measured radiation distribution 108 and the calculated radiation distribution 208 are changed. The measured radiation distributions 108 are compared until there is a sufficient match between them. At that point, the values of the parameters of the parameterized model 206 provide a good or best match to the geometry of the actual target 30'. In one embodiment, a sufficient match exists if the difference between the measured radiation distribution 108 and the calculated radiation distribution 208 falls within the tolerance threshold.

실시예에서 사용하기에 적합한 다른 검사 장치가 도 7a에 도시된다. 타겟(T) 및 타겟을 조명하기 위해 사용되는 측정 방사선의 회절된 광선이 도 7b에 더 상세히 도시되어 있다. 도시된 검사 장치는 암시야 계측 장치라고 알려진 타입이다. 이러한 계측 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 이러한 장치에서, 소스(11)(예를 들어, 제논 램프)에 의하여 방출된 방사선은 렌즈(12, 14) 및 대물 렌즈(16)를 포함하는 광학 시스템에 의하여 광학 요소(15)를 통해 기판(W)으로 지향된다. 이러한 렌즈들은 4F 배치구성(4F arrangement)의 이중 시퀀스로 배치된다. 다른 렌즈 장치가 기판 이미지를 예를 들어 검출기에 제공하고, 공간적-주파수 필터링을 위하여 중간 동공-평면의 액세스를 동시에 허용하기만 하면, 이것도 역시 사용될 수 있다. 그러므로, 방사선이 기판에 입사하는 각도 범위는, 본 명세서에서 공액(conjugate) 퓨필 평면이라고 불리는 기판 평면의 공간적 스펙트럼을 제공하는 평면에서의 공간적 세기 분포를 규정함으로써 선택될 수 있다. 특히, 이것은 대물 렌즈 동공 평면의 역-투영된(back-projected) 이미지인 평면에, 렌즈들(12 및 14) 사이에 적합한 형태의 애퍼쳐 플레이트(13)를 삽입함으로써 수행될 수 있다. 예시된 예에서, 애퍼쳐 플레이트(13)는, 다른 조명 모드가 선택되게 하는 13N 및 13S 라고 명명되는 다른 형태들을 가진다. 이러한 예에서 조명 시스템은 오프-축 조명 모드를 형성한다. 제 1 조명 모드에서, 애퍼쳐 플레이트(13N)는, 오직 설명의 편의를 위해서 '북쪽'이라고 지정되는 방향으로부터 오프-축 방사를 제공한다. 제 2 조명 모드에서, 애퍼쳐 플레이트(13S)는 유사하지만 '남쪽'이라고 명명되는 방향으로부터 오는 조명을 제공하기 위하여 사용된다. 다른 애퍼쳐를 사용하면 조명의 다른 모드들도 가능해진다. 퓨필 평면의 나머지는 어두운 것이 선호되는데, 이것은 원하는 조명 모드 외부의 임의의 불필요한 방사선이 원하는 측정 신호와 간섭을 일으킬 것이기 때문이다.Another inspection device suitable for use in an embodiment is shown in FIG. 7A . The target T and the diffracted beam of measurement radiation used to illuminate the target are shown in more detail in FIG. 7b . The inspection device shown is of a type known as a dark field metrology device. This metrology apparatus may be a standalone device or may be integrated into one of the lithographic apparatus LA, for example a measurement station, or a lithographic cell LC. An optical axis with multiple branches across the device is represented by a dashed line O. In such an arrangement, radiation emitted by a source 11 (eg, a xenon lamp) is passed through an optical element 15 via an optical system comprising lenses 12 , 14 and an objective lens 16 to a substrate ( W) is oriented. These lenses are arranged in a double sequence in a 4F arrangement. Another lens arrangement may also be used, as long as it provides the substrate image for example to the detector and simultaneously allows access of the intermediate pupil-plane for spatial-frequency filtering. Therefore, the angular range at which radiation is incident on the substrate can be selected by defining a spatial intensity distribution in the plane that provides the spatial spectrum of the substrate plane, referred to herein as the conjugate pupil plane. In particular, this can be done by inserting an aperture plate 13 of a suitable shape between the lenses 12 and 14 in a plane that is a back-projected image of the pupil plane of the objective lens. In the illustrated example, the aperture plate 13 has different shapes, labeled 13N and 13S, which allow different illumination modes to be selected. The lighting system in this example forms an off-axis lighting mode. In the first illumination mode, the aperture plate 13N provides off-axis radiation from a direction designated 'north' for convenience of explanation only. In the second illumination mode, aperture plate 13S is used to provide illumination coming from a similar but termed 'south' direction. Using different apertures also enables other modes of lighting. The rest of the pupil plane is preferably dark, since any unwanted radiation outside the desired illumination mode will interfere with the desired measurement signal.

도 7b에 도시된 바와 같이, 타겟(T)은 대물 렌즈(16)의 광축(O)에 법선을 이루는 기판(W)과 함께 배치된다. 기판(W)은 지지대(미도시)에 의해 지지될 수 있다. 축(O)에서 벗어난 각도로부터 타겟(T)에 충돌하는 측정 방사선(I)의 광선은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟의 경우에, 이러한 광선들은 계측 타겟(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 플레이트(13)에 있는 애퍼쳐가 유한한 폭(방사선의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사광선(I)은 사실상 각도의 일정한 범위를 점유할 것이고, 회절된 광선 0 및 +1/-1은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다. 대물 렌즈에 진입하는 1차 광선이 중앙 광축과 가깝게 정렬되도록 타겟의 주기적 구조체 피치 및 조명 각도가 설계되거나 조절될 수 있다는 점에 주의한다. 도 7a 및 도 7b에 예시된 광선들은 다소 오프 축이어서 도면에서 더 쉽게 구별될 수 있게 도시된다.As shown in FIG. 7B , the target T is disposed together with the substrate W that is normal to the optical axis O of the objective lens 16 . The substrate W may be supported by a support (not shown). A ray of measurement radiation I impinging on the target T from an angle off axis O is such that a zero-order ray (solid line 0) and two primary rays (dashed-dotted line +1 and dot-dash line -1) are generated. do. It should be remembered that in the case of an overfilled sub-target, these rays are only one of many parallel rays that cover the area of the substrate containing the metrology target T and other features. Since the aperture in plate 13 has a finite width (the width necessary to allow a useful amount of radiation), the incident ray I will in fact occupy a certain range of angles, and the diffracted rays 0 and + 1/-1 will spread to some extent. Depending on the point spread function of the subtarget, each order +1 and -1 will spread more widely over a range of angles rather than a single ideal ray as shown. Note that the periodic structure pitch and illumination angle of the target may be designed or adjusted so that the primary ray entering the objective is closely aligned with the central optical axis. The rays illustrated in FIGS. 7A and 7B are somewhat off-axis and are shown to be more easily distinguishable in the figure.

기판(W) 상의 타겟(T)에 의하여 회전된 것 중 적어도 0 및 +1 차 광선들은 대물 렌즈(16)에 의하여 수집되고 다시 광학 요소(15)를 통해 지향된다. 도 7a를 참조하면, 제 1 및 제 2 조명 모드 모두는 북쪽(N) 및 남쪽(S)이라고 명명된 서로 반대인 애퍼쳐를 지정함으로써 예시된다. 측정 방사선의 입사 광선(I)이 광축의 북쪽으로부터 입사하는 경우, 즉 제 1 조명 모드가 애퍼쳐 플레이트(13N)를 사용하여 적용되면, +1(N) 이라고 명명된 +1 회절 광선이 대물 렌즈(16)에 입사한다. 이에 반해, 제 2 조명 모드가 애퍼쳐 플레이트(13S)를 사용하면 적용되는 경우, -1 회절 광선(-1(S)라고 명명됨)이 렌즈(16)에 진입한다.At least the 0 and +1 order rays rotated by the target T on the substrate W are collected by the objective lens 16 and directed back through the optical element 15 . Referring to FIG. 7A , both the first and second illumination modes are illustrated by designating opposing apertures labeled north (N) and south (S). When the incident ray I of the measurement radiation is incident from the north of the optical axis, i.e., the first illumination mode is applied using the aperture plate 13N, the +1 diffracted ray designated +1(N) is applied to the objective lens. Enter (16). In contrast, when the second illumination mode is applied using the aperture plate 13S, a -1 diffracted ray (named -1(S)) enters the lens 16 .

빔 분할기(17)는 회절된 빔을 두 개의 측정 브랜치를 향해 분할한다. 제 1 측정 브랜치에서, 광학 시스템(18)은 0차와 1차 회절빔을 사용하여 제 1 센서(19)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 각각의 회절 차수는 센서 상의 다른 포인트에 도달하여, 이미지 처리를 통하여 차수를 비교하고 대조할 수 있다. 센서(19)에 의하여 캡쳐된 동공 평면 이미지는 검사 장치를 포커싱하는 것 및/또는 1차 빔의 세기 측정을 정규화하기 위하여 사용될 수 있다. 또한 재구성과 같은 많은 측정 목적을 위하여 퓨필 평면 이미지가 사용될 수 있다.The beam splitter 17 splits the diffracted beam towards two measurement branches. In the first measurement branch, the optical system 18 uses the 0th and 1st order diffracted beams to image the diffraction spectrum (pupil plane image) of the target on a first sensor 19 (eg a CCD or CMOS sensor). to form Each diffraction order arrives at a different point on the sensor, allowing the order to be compared and contrasted through image processing. The pupil plane image captured by the sensor 19 can be used to focus the inspection device and/or to normalize the intensity measurement of the primary beam. Also, pupil plane images can be used for many measurement purposes, such as reconstruction.

제 2 측정 브랜치에서, 광학 시스템(20, 22)은 타겟(T)의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 제 2 측정 브랜치에서, 애퍼쳐 스톱(aperture stop; 21)이 동공-평면에 대하여 켤레인 평면에 제공된다. 애퍼쳐 스톱(21)은 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 -1 또는 +1 일차 빔에 의해서만 형성되게 하는 기능을 한다. 센서(19 및 23)에 의하여 캡쳐된 이미지는 이미지 프로세서(PU)로 출력되고, 이것의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. '이미지'라는 용어는 본 명세서에서 광의로 사용된다는 것에 주의한다. 이와 같은 주기적 구조체 피쳐의 이미지는, -1 및 +1 차수 중 오직 하나만 존재할 경우에는 형성되지 않을 것이다.In the second measurement branch, the optical system 20 , 22 forms an image of the target T on the sensor 23 (eg a CCD or CMOS sensor). In the second measurement branch, an aperture stop 21 is provided in the plane conjugate to the pupil-plane. The aperture stop 21 functions to block the 0th-order diffracted beam so that the image of the target formed on the sensor 23 is formed only by the -1 or +1-order beam. The images captured by the sensors 19 and 23 are output to an image processor PU, the function of which will depend on the particular type of measurement being performed. Note that the term 'image' is used in a broad sense herein. An image of such periodic structure features will not be formed if only one of the -1 and +1 orders are present.

도 7a, 도 7c 및 도 7d에 도시되는 애퍼쳐 플레이트(13) 및 필드 스톱(21)의 특정 형태들은 순전히 예일 뿐이다. 일 실시예에서, 타겟의 온-축 조명이 사용되며, 오프-축 애퍼쳐를 가지는 애퍼쳐 스톱이 회절된 방사선의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 사용된다. 또 다른 실시예에서, 2차, 3차 및 더 고차인 빔(도 7a, 도 7b, 도 7c, 또는 도 7d에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다.The specific forms of aperture plate 13 and field stop 21 shown in FIGS. 7A, 7C and 7D are purely examples. In one embodiment, on-axis illumination of the target is used, and an aperture stop with an off-axis aperture is used to substantially deliver only one primary light of diffracted radiation to the sensor. In another embodiment, secondary, tertiary, and higher order beams (not shown in FIGS. 7A, 7B, 7C, or 7D) may be used for measurements in lieu of or in addition to the primary beam.

측정 방사선이 이러한 다른 타입의 측정에 대해 적응될 수 있게 하기 위해서, 애퍼쳐 플레이트(13)는 원하는 패턴이 나타나도록 회전하는 디스크 주위에 형성되는 다수 개의 애퍼쳐 패턴을 포함할 수도 있다. 애퍼쳐 플레이트(13N 또는 13S)가 하나의 방향(셋-업에 따라 X 또는 Y)으로 배향된 주기적 구조체들을 측정하기 위해서만 사용될 수 있다는 점에 주의한다. 직교 주기적 구조체를 측정하기 위해서, 타겟이 90° 및 270°만큼 회전되는 방식이 구현될 수 있다. 그 외의 애퍼쳐 플레이트들이 도 7c 및 도 7d에 도시된다. 장치의 이러한 사용법과 수많은 다른 변형예와 적용예들은, 전술된 특허 출원 공개 문헌들에 기술되어 있다.In order to enable the measurement radiation to be adapted for these different types of measurements, the aperture plate 13 may comprise a plurality of aperture patterns formed around a rotating disk so that the desired pattern appears. Note that aperture plate 13N or 13S can only be used to measure periodic structures oriented in one direction (X or Y depending on set-up). To measure an orthogonal periodic structure, a scheme in which the target is rotated by 90° and 270° can be implemented. Other aperture plates are shown in Figures 7c and 7d. This use of the device and numerous other variations and applications are described in the patent application publications described above.

도 8은 알려진 실무에 따라서 기판에 형성된(복합) 타겟을 도시한다. 이러한 예에서 타겟은, 서로 근접하게 위치되어 계측 장치의 측정 방사선 조명 빔에 의하여 형성된 측정 스폿(31) 내에 모두 존재하게 될 4 개의 주기적 구조체(또는 격자)(32 내지 35)을 포함한다. 따라서 4 개의 주기적 구조체는 모두 동시에 조명되고 센서(19 및 23)에 동시에 결상된다. 오버레이의 측정에만 관련되는 예에서, 주기적 구조체(32 내지 35)는 기판(W)에 형성된, 예컨대 반도체 디바이스의 다른 층들에 패터닝되는 주기적 구조체에 오버라이 함으로써 형성되는 복합 주기적 구조체들이다. 주기적 구조체(32 내지 35)는 복합 주기적 구조체의 다른 부분들이 형성되는 층들 사이의 오버레이의 측정을 용이하게 하기 위하여 상이하게, 바이어스된 오버레이 오프셋을 가질 수도 있다. 오버레이 바이어스라는 의미는 도 8을 참조하여 후술될 것이다. 또한 주기적 구조체(32 내지 35)는 인입하는 방사선을 X 및 Y 방향으로 회절하기 위해, 도시된 바와 같이 그들의 배향에 있어서 다를 수 있다. 일 예에서, 주기적 구조체(32 및 34)는 +d, -d 각각의 바이어스 오프셋을 가지는 X-방향 주기적 구조체들이다. 주기적 구조체(33 및 35)는 +d, -d 각각의 바이어스 오프셋을 가지는 Y-방향 주기적 구조체들이다. 이러한 주기적 구조체들의 개별 이미지는 센서(23)에 의하여 캡쳐된 이미지에서 식별될 수 있다. 이것은 타겟의 한 예일 뿐이다. 타겟은 4 개보다 많거나 적은 주기적 구조체를 포함할 수 있고, 또는 오직 하나의 주기적 구조체만을 포함할 수도 있다.8 shows a (composite) target formed on a substrate according to known practice. The target in this example comprises four periodic structures (or gratings) 32 to 35 which are positioned close to each other and will all be present within the measurement spot 31 formed by the measuring radiation illumination beam of the metrology device. Thus, all four periodic structures are simultaneously illuminated and imaged simultaneously on sensors 19 and 23 . In an example relating only to the measurement of overlay, periodic structures 32 - 35 are complex periodic structures formed on the substrate W, for example, by overlying a periodic structure that is patterned into other layers of a semiconductor device. Periodic structures 32 - 35 may have differently biased overlay offsets to facilitate measurement of overlay between the layers from which different portions of the composite periodic structure are formed. The meaning of the overlay bias will be described later with reference to FIG. 8 . Periodic structures 32-35 may also differ in their orientation as shown in order to diffract incoming radiation in the X and Y directions. In one example, periodic structures 32 and 34 are X-direction periodic structures having a bias offset of +d, -d, respectively. Periodic structures 33 and 35 are Y-direction periodic structures with bias offsets of +d and -d, respectively. Individual images of these periodic structures can be identified in the images captured by the sensor 23 . This is just one example of a target. The target may contain more or less than four periodic structures, or may contain only one periodic structure.

도 9는 도 7의 장치에 있는 도 8의 타겟을 사용하고, 도 7d의 애퍼쳐 플레이트(13NW 또는 13SE)를 사용하여 센서(23)에 형성되고 센서에 의하여 검출될 수 있는 이미지의 일 예를 도시한다. 퓨필 평면 이미지 센서(19)는 개개의 다른 주기적 구조체(32 내지 35)들을 분해할 수 없는 대신에, 이미지 센서(23)는 가능하다. 어두운 사각형은 센서 상의 이미지의 필드 이고, 그 안에서 기판 상의 조명된 스폿(31)이 대응하는 원형 영역(41)으로 이미징된다. 이러한 경우, 직사각형 영역(42 내지 45)은 소타겟 주기적 구조체(32 내지 35)의 이미지를 나타낸다. 만일 타겟들이 제품 영역에 위치된다면, 제품 피쳐도 역시 이러한 이미지 필드의 주위에서 보여질 수 있다. 이미지 프로세서 및 제어 시스템(PU)은 패턴 인식을 사용하여 이러한 이미지를 처리하여 주기적 구조체(32 내지 35)의 별개의 이미지(42 내지 45)를 식별한다. 이러한 방식으로, 이미지는 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬될 필요가 없으며, 이것이 측정 장치 전체의 쓰루풋을 크게 개선시킨다.FIG. 9 shows an example of an image that may be detected by the sensor and formed on the sensor 23 using the target of FIG. 8 in the device of FIG. 7 and using the aperture plate 13NW or 13SE of FIG. 7D. show Instead of the pupil planar image sensor 19 being able to resolve the individual different periodic structures 32 to 35, the image sensor 23 is capable. The dark rectangle is the field of the image on the sensor, in which the illuminated spot 31 on the substrate is imaged into the corresponding circular area 41 . In this case, the rectangular regions 42-45 represent images of the sub-target periodic structures 32-35. If targets are located in the product area, product features can also be seen around this image field. An image processor and control system PU processes these images using pattern recognition to identify distinct images 42 - 45 of periodic structures 32 - 35 . In this way, the images do not have to be very precisely aligned at specific locations within the sensor frame, which greatly improves the throughput of the measurement device as a whole.

주기적 구조체들의 개별 이미지가 식별되면, 예를 들어 식별된 영역 내의 선택된 픽셀 세기 값을 평균화하거나 합산함으로써 그러한 개별 이미지의 세기가 측정될 수 있다. 이미지의 세기 및/또는 다른 속성이 서로 비교될 수 있다. 이러한 결과는 패터닝 프로세스의 다른 파라미터를 측정하도록 결합될 수 있다. 오버레이 성능은 이러한 파라미터의 하나의 중요한 예이다.Once individual images of periodic structures have been identified, the intensity of those individual images may be measured, for example, by averaging or summing selected pixel intensity values within the identified area. Intensity and/or other properties of the images may be compared to each other. These results can be combined to measure other parameters of the patterning process. Overlay performance is one important example of such a parameter.

도 10은, 예를 들어 PCT 특허 출원 공개 번호 WO 2011/012624(그 전체 내용이 원용되어 본원에 통합됨))에 기술된 방법을 사용하여, 컴포넌트 주기적 구조체(32 내지 35)를 포함하는 두 개의 층 사이의 오버레이 오차(즉, 원치 않는 그리고 의도하지 않은 오버레이 오정렬)가 어떻게 측정되는지를 예시한다. 이러한 측정은, 세기 비대칭의 측정치를 얻기 위하여 타겟 주기적 구조체의 정상 및 상보적 회절 차수 이미지 내의 세기들을 비교함으로써 드러나는, 타겟 비대칭을 식별함으로써 이루어진다. 일 실시예에서, 정상 회절 차수는 +1 차 방사선이고 상보적 회절 차수는 -1 차 방사선이다. 본 명세서에서의 논의가 정상 회절 차수가 +1 차 방사선이고 상보적 회절 차수가 -1 차 방사선인 것에 집중하지만, 다른 대응하는 더 높은 차수, 예를 들어 +2 차 및 -2 차의 세기들도 비교될 수 있다.Figure 10 shows two layers comprising component periodic structures 32 to 35, using, for example, the method described in PCT Patent Application Publication No. WO 2011/012624, the entire contents of which are incorporated herein by reference). It illustrates how the overlay error between (ie, unwanted and unintended overlay misalignment) is measured. This measurement is made by identifying the target asymmetry, which is revealed by comparing the intensities in normal and complementary diffraction order images of the target periodic structure to obtain a measure of the intensity asymmetry. In one embodiment, the normal diffraction order is +1 order radiation and the complementary diffraction order is -1 order radiation. Although the discussion herein focuses on the normal diffraction order being +1 order radiation and the complementary diffraction order -1st order radiation, other corresponding higher order intensities, e.g., +2 and -2 intensities, are also can be compared.

단계 S1에서, 기판, 예를 들어 반도체 웨이퍼는 도 2의 리소그래피 셀과 같은 리소그래피 장치를 통하여 한 번 이상 처리되어, 주기적 구조체(32 내지 35)를 포함하는 타겟을 생성한다. S2에서, 도 7의 검사 장치를 사용함으로써, 주기적 구조체(32 내지 35)는 1차 회절빔들 중 하나(예를 들어, +1 차)만을 사용하여 획득된다. 단계 S3에서, 조명 모드를 변경하거나, 또는 이미징 모드를 변경하거나, 또는 검사 장치의 가시 범위 내에서 기판(W)을 180° 만큼 회전시킴으로써, 다른 1차 회절빔(-1 차)을 사용하는 주기적 구조체의 제 2 이미지가 획득될 수 있다. 결과적으로, -1 차 회절 방사선이 제 2 이미지에 캡쳐된다.In step S1 , a substrate, eg, a semiconductor wafer, is processed one or more times through a lithographic apparatus such as the lithographic cell of FIG. 2 to create a target comprising periodic structures 32 - 35 . In S2, by using the inspection apparatus of FIG. 7, periodic structures 32 to 35 are obtained using only one of the first-order diffracted beams (eg, +1 order). In step S3, periodic use of different first-order diffracted beams (-1st order) by changing the illumination mode, or changing the imaging mode, or by rotating the substrate W by 180° within the visible range of the inspection apparatus A second image of the structure may be obtained. As a result, −1 order diffracted radiation is captured in the second image.

각각의 이미지 내에 1차 회절된 방사선의 절반만을 포함시킴으로써, 여기에서 지칭되는 '이미지'는 통상적인 암시야 현미경 이미지가 아니다. 타겟 주기적 구조체의 개개의 타겟 피쳐는 해상되지 않을 것이다. 각각의 타겟 주기적 구조체는 특정한 세기 레벨의 영역에 의하여 간단하게 표현될 것이다. 단계 S4에서, 관심 지역(ROI)이 각각의 컴포넌트 주기적 구조체의 이미지로부터 식별되고, 이로부터 세기 레벨이 측정될 것이다.By including only half of the first order diffracted radiation within each image, the 'image' referred to herein is not a conventional dark field microscopy image. The individual target features of the target periodic structure will not be resolved. Each target periodic structure will simply be represented by a region of a particular intensity level. In step S4, a region of interest (ROI) is identified from the image of each component periodic structure, from which the intensity level will be measured.

각각의 개개의 타겟 주기적 구조체에 대한 ROI를 식별하고 이것의 세기를 측정하면, 타겟의 비대칭, 및 따라서 오버레이 에러가 이제 결정될 수 있다. 이것은, 각각의 타겟 주기적 구조체(32 내지 35)에 대한 정상 및 상보적 회절 차수 방사선에 대해 획득된 세기 값을 비교하여 그들의 세기 비대칭, 예를 들어 그들의 세기에 있는 임의의 차이를 식별하는 단계 S5에서 수행된다(예를 들어, 프로세서(PU)에 의함). 용어 "차분"은 감산만을 의미하는 것으로 의도되지 않는다. 차분은 비율 형태로 계산될 수 있다. 단계 S6에서, 타겟(T) 근처에서의 패터닝 프로세스의 하나 이상의 성능 파라미터를 계산하기 위해서, 여러 타겟 주기적 구조체에 대해 측정된 세기 비대칭이 그러한 타겟 주기적 구조체에 부과된 임의의 알려진 오버레이 바이어스에 대한 지식과 함께 사용된다.Having identified the ROI for each individual target periodic structure and measuring its intensity, the target's asymmetry, and thus the overlay error, can now be determined. This is done in step S5 by comparing the intensity values obtained for the normal and complementary diffracted order radiation for each target periodic structure 32 to 35 to identify their intensity asymmetry, for example any differences in their intensity. performed (eg, by a processor (PU)). The term "differential" is not intended to mean subtraction only. The difference can be calculated in the form of a ratio. In step S6, in order to calculate one or more performance parameters of the patterning process in the vicinity of the target T, the measured intensity asymmetry for several target periodic structures is combined with knowledge of any known overlay bias imposed on those target periodic structures. used together

도 11a 내지 도 11d는 상이한 바이어스 오프셋을 가지는 타겟 주기적 구조체(오버레이 주기적 구조체)의 개략적인 단면을 도시한다. 이들은 도 7 내지 도 9에서 볼 수 있는 바와 같이, 기판(W) 상의 타겟(T)으로서 사용될 수 있다. 오직 설명의 편의를 위해서 X 방향에서 주기성을 가지는 주기 구조체가 도시된다. 이러한 주기적 구조체와 상이한 바이어스 및 상이한 배향과의 상이한 조합들이 개별적으로 또는 타겟의 일부로서 제공될 수 있다.11A-11D show schematic cross-sections of target periodic structures (overlay periodic structures) with different bias offsets. They can be used as a target T on a substrate W, as can be seen in FIGS. 7 to 9 . For convenience of explanation only, a periodic structure having periodicity in the X direction is shown. Different combinations of these periodic structures with different biases and different orientations may be provided individually or as part of the target.

도 11a에서 시작하면, L1 및 L2로 명명되는 적어도 두 개의 층들에 형성되는 타겟(600)이 도시된다. 하부 또는 하단 층(L1)에서, 제 1 주기적 구조체(하부 또는 하단 주기적 구조체), 예를 들어 격자는 기판(606) 상에서 피쳐(602) 및 공간(604)에 의해 형성된다. 층 L2에서, 제 2 주기적 구조체, 예를 들어 격자는 피쳐(608) 및 공간(610)에 의해 형성된다. (피쳐(602, 608)(예를 들어 라인)가 지면 안으로 연장되도록 단면이 도시된다.) 주기적 구조체 패턴은 양자 모두의 층에서 피치 P로 반복된다. 피쳐(602 및 608)는 라인, 도트, 블록 및 비아 홀의 형태를 가질 수 있다. 도 11a에 도시된 상황에서, 오정렬에 기인한 오버레이 기여분이 없고, 예를 들어 오버레이 오차도 없고 부과된 바이어스도 없어서, 제 2 구조체의 각각의 피쳐(608)는 제 1 구조체 내의 피쳐(602) 위에 정확하게 놓이게 된다.Beginning with FIG. 11A , a target 600 formed in at least two layers labeled L1 and L2 is shown. In the lower or lower layer L1 , a first periodic structure (lower or lower periodic structure), eg a grating, is formed by features 602 and spaces 604 on the substrate 606 . In layer L2 , a second periodic structure, eg a grating, is formed by features 608 and spaces 610 . (The cross-section is shown so that features 602 and 608 (eg lines) extend into the ground.) The periodic structure pattern repeats at pitch P in both layers. Features 602 and 608 may take the form of lines, dots, blocks, and via holes. In the situation shown in FIG. 11A , there is no overlay contribution due to misalignment, eg, no overlay error and no bias imposed, so that each feature 608 of the second structure is above the feature 602 in the first structure. are placed correctly.

도 11b에서, 제 1 구조체의 피쳐(608)가 제 2 구조체의 피쳐에 대해서 우측으로 거리 d만큼 천이되도록, 알려진 제 1 부과된 바이어스 +d가 있는 동일한 타겟이 도시된다. 바이어스 거리 d는 실무상 수 나노미터, 예를 들어 10 nm 내지 20 nm일 수 있는 반면에, 피치 P는 예를 들어 300 내지 1000 nm의 범위에 있으며, 예를 들어 500 nm 또는 600 nm이다. 도 11c에서, 608의 피쳐가 좌측으로 천이되도록 제 2의 알려진 부과된 바이어스 -d가 있는 다른 피쳐가 도시된다. d의 값은 각각의 구조체에 대해서 동일할 필요가 없다. 도 11a 내지 도 11c에 도시된 이러한 타입의 바이어스된 주기적 구조체는 전술된 종래의 특허 출원 공개 문헌에서 기술되었다.In FIG. 11B , the same target with a known first imposed bias +d is shown such that a feature 608 of a first structure is shifted a distance d to the right with respect to a feature of a second structure. The bias distance d may in practice be several nanometers, for example from 10 nm to 20 nm, while the pitch P is for example in the range from 300 to 1000 nm, for example 500 nm or 600 nm. In FIG. 11C , another feature is shown with a second known imposed bias -d such that the feature at 608 is shifted to the left. The value of d need not be the same for each structure. A biased periodic structure of this type shown in FIGS. 11A-11C has been described in the above-mentioned prior patent application publications.

도 11e는, 위에서 바라본, 도 11a 내지 도 11c에 도시되는 바와 같은 상부 및 하부 층 내에 주기적 구조체를 포함하는 서브-타겟(612, 614, 616 및 618)을 가지는 예시적인 타겟(600)을 개략적으로 도시한다. 하부 층은 도 11e에는 도시되지 않는다. 일 실시예에서, 서브-타겟(612, 614, 616 및 618)은 두 수직 방향(예를 들어, X 및 Y)에서 오버레이를 측정하고, 이를 용이하게 하기 위하여 부과된 바이어스 d를 가지도록(도 11b 및 도 11c에서 전술된 바와 같이) 설계된다. 도 11e의 실시예가 네 개의 서브-타겟을 보여주지만, 개수는 달라질 수 있고, 이들은 모두 1 개의 방향에서 오버레이를 측정하거나 2 개가 넘는 방향에서 오버레이를 측정하기 위하여 사용될 수 있다.11E schematically illustrates an exemplary target 600 having sub-targets 612 , 614 , 616 and 618 including periodic structures in top and bottom layers as shown in FIGS. 11A-11C , viewed from above. show The lower layer is not shown in FIG. 11E . In one embodiment, sub-targets 612 , 614 , 616 and 618 measure overlay in two vertical directions (eg, X and Y) and have a bias d imposed to facilitate this (Fig. 11b and 11c) are designed. Although the embodiment of FIG. 11E shows four sub-targets, the number may vary, and they may all be used to measure overlay in one direction or to measure overlay in more than two directions.

일 실시예에서, 서브-타겟(612 및 614)은 오버레이를 X-방향으로 함께 측정하도록 설계된다. 일 실시예에서, 서브-타겟(612)은 +d의 바이어스를 가지는 반면에, 서브-타겟(614)은 -d의 바이어스를 가진다. 일 실시예에서, 서브-타겟(616 및 618)은 오버레이를 Y-방향으로 함께 측정하도록 설계된다. 일 실시예에서, 서브-타겟(616)은 +d의 바이어스를 가지는 반면에, 서브-타겟(618)은 -d의 바이어스를 가진다.In one embodiment, sub-targets 612 and 614 are designed to measure the overlay together in the X-direction. In one embodiment, sub-target 612 has a bias of +d, while sub-target 614 has a bias of -d. In one embodiment, sub-targets 616 and 618 are designed to measure overlay together in the Y-direction. In one embodiment, sub-target 616 has a bias of +d, while sub-target 618 has a bias of -d.

도 11f는 도 11e에서 도시되는 바와 같은 타겟(600)의 단계 S2로부터의 정상 차수(예를 들어, +1 차) 방사선의 검출된 회절 신호의 일 예를 도시한다. 도 11g는 도 11e에서 도시되는 바와 같은 타겟(600)의 단계 S3으로부터의 상보적 차수(예를 들어, -1 차) 방사선의 검출된 회절 신호의 일 예를 도시한다. 각각의 주기적 구조체 방향(X 및 Y)에 대하여, 도 11f 및 도 11g에 "+"(+d 바이어스의 경우) 및 "-"(-d 바이어스의 경우)로 표시되는 반대 방향의 의도적 바이어스를 가지는 두 주기적 구조체가 존재한다. 그러므로, X+는 서브-타겟(612)으로부터의 검출된 회절 신호를 나타내고, X-는 서브-타겟(614)으로부터의 검출된 회절 신호를 나타내며, Y+는 서브-타겟(618)으로부터의 검출된 회절 신호를 나타내고, Y-는 서브-타겟(616)으로부터의 검출된 회절 신호를 나타낸다. 따라서, 각각의 주기적 구조체 주기성 방향마다 네 개의 회절 세기 신호가 검출된다.11F shows an example of a detected diffraction signal of normal order (eg, +1 order) radiation from step S2 of target 600 as shown in FIG. 11E . 11G shows an example of a detected diffraction signal of complementary order (eg, -1 st order) radiation from step S3 of the target 600 as shown in FIG. 11E . For each periodic structure direction (X and Y), it has an intentional bias in the opposite direction, denoted by "+" (for +d bias) and "-" (for -d bias) in FIGS. 11F and 11G. There are two periodic structures. Therefore, X+ represents the detected diffraction signal from sub-target 612 , X- represents the detected diffraction signal from sub-target 614 , and Y+ represents the detected diffraction signal from sub-target 618 . signal, and Y- denotes the detected diffraction signal from sub-target 616 . Thus, four diffraction intensity signals are detected for each periodic structure periodicity direction.

도 11h는 2층 주기적 구조체(도 11a 내지 도 11c에 도시된 바와 같음)가 있는 타겟(서브-타겟(612, 614, 616 또는 618)과 같음)으로부터의 방사선의 회절을 설명하기 위한 간단한 모델의 개략도이다. 상부 층 및 하부 층으로부터의 회절된 방사선의 복소 진폭이 표시된다. 하부 층으로부터의 회절된 방사선은 오버레이로부터의 위상 기여분을 포함한다.11H is a simplified model for explaining the diffraction of radiation from a target (such as sub-target 612 , 614 , 616 or 618 ) with a two-layer periodic structure (as shown in FIGS. 11A-11C ). It is a schematic diagram. The complex amplitudes of the diffracted radiation from the upper and lower layers are indicated. The diffracted radiation from the underlying layer includes a phase contribution from the overlay.

도 12에서, 곡선(702)은, 타겟을 형성하는 개개의 주기적 구조체 내의, 그리고 특히 제 1 구조체의 개별 주기적 구조체 내의, 제로 오프셋을 가지며 구조적 비대칭을 가지지 않는 '이상적인' 타겟에 대한 오버레이(OV)와 세기 비대칭(A) 사이의 관계를 보여준다. 결과적으로, 이러한 이상적인 타겟의 타겟 비대칭은, 알려진 부과된 바이어스 및 오버레이 오차(OVE)에서 유래되는 제 1 구조체 및 제 2 구조체의 오정렬에 기인한 오버레이 기여분만을 포함한다. 이러한 그래프 및 도 13의 그래프는 본 명세서의 이면에 있는 이론들을 예시하기 위한 것이고, 각각의 그래프에서 세기 비대칭(A) 및 오버레이(OV)의 단위는 임의적이다. 실제 치수의 예들은 아래에서 더 제공될 것이다.In FIG. 12 , curve 702 is an overlay (OV) for an 'ideal' target with zero offset and no structural asymmetry within the individual periodic structures forming the target, and in particular within the individual periodic structures of the first structure. and intensity asymmetry (A). Consequently, the target asymmetry of this ideal target includes only overlay contributions due to misalignment of the first and second structures resulting from a known imposed bias and overlay error OV E . This graph and the graph of FIG. 13 are intended to illustrate the theories behind this specification, and the units of intensity asymmetry (A) and overlay (OV) in each graph are arbitrary. Examples of actual dimensions will be provided further below.

도 12의 '이상적인' 상황에서, 곡선(702)은 세기 비대칭(A)이 오버레이와 비선형 주기 관계(예를 들어 정현파 관계)를 가진다는 것을 나타낸다. 정현파 변화의 주기 P는, 물론 적합한 척도로 변환되는 주기적 구조체의 주기 또는 피치 P에 대응한다. 이러한 예에서 정현파 형태는 완전하지만, 실제 상황에서는 고조파를 포함할 수 있다.In the 'ideal' situation of Figure 12, curve 702 indicates that intensity asymmetry A has a non-linear periodic relationship (eg sinusoidal relationship) with the overlay. The period P of the sinusoidal change corresponds, of course, to the period or pitch P of the periodic structure which is transformed into a suitable scale. The sinusoidal shape in this example is perfect, but in real life it may contain harmonics.

위에서 언급된 바와 같이, 바이어스된 주기적 구조체(알려진 부과된 오버레이 바이어스를 가짐)는 단일 측정에 의존하는 대신에 오버레이를 측정하기 위하여 사용될 수 있다. 이러한 바이어스는 바이어스를 생성했던 패터닝 디바이스(예를 들어 레티클)에서 규정된 공지된 값을 가지는데, 이것은 측정된 세기 비대칭에 대응하는 오버레이의 온-기판 교정의 역할을 한다. 도면에서, 계산하는 것이 그래프로 예시된다. 단계 S1 내지 단계 S5에서, 세기 비대칭 측정치 A+d 및A-d는, 각각 부과된 바이어스 +d 및 -d를 가지는 주기적 구조체에 대해서 얻어진다(예를 들어, 도 11b 및 도 11c에 도시된 바와 같음). 이러한 측정치를 정현 곡선에 대입하면 표시된 바와 같은 포인트(704 및 706)가 된다. 바이어스를 알면, 참 오버레이 오차(OVE)가 계산될 수 있다. 정현 곡선의 피치 P는 타겟의 디자인으로부터 알려진다. 곡선(702)의 수직 스케일은 시작 시에는 알려지지 않으며, 1차 고조파 비례 상수 K이라고 불릴 수 있는 미지의 인자이다. 따라서, 오버레이 감도 K는 오버레이에 대한 세기 비대칭 측정의 감도의 척도이다. 일 실시예에서, 이것은 측정된 세기 오버레이에 대한 비례성이다. 따라서 이것에 의하여 오버레이의 프로세스 의존성을 검출하는 것이 쉬워진다.As mentioned above, a biased periodic structure (with a known imposed overlay bias) can be used to measure overlay instead of relying on a single measurement. This bias has a known value defined in the patterning device (eg reticle) that generated the bias, which serves as an on-board correction of the overlay corresponding to the measured intensity asymmetry. In the figure, the calculation is illustrated graphically. In steps S1 to S5, intensity asymmetry measures A+d and Ad are obtained for a periodic structure with biases +d and -d imposed, respectively (eg, as shown in FIGS. 11B and 11C ). . Plugging these measurements into the sinusoidal curve results in points 704 and 706 as indicated. Knowing the bias, the true overlay error (OV E ) can be calculated. The pitch P of the sinusoidal curve is known from the design of the target. The vertical scale of curve 702 is not known at the start, and is an unknown factor that can be called the first harmonic proportionality constant K. Thus, the overlay sensitivity K is a measure of the sensitivity of the intensity asymmetry measurement to the overlay. In one embodiment, this is proportional to the measured intensity overlay. Thus, this makes it easier to detect the process dependency of the overlay.

방정식으로 표현하면, 오버레이 에러(OVE)와 세기 비대칭(A) 사이의 관계는 다음으로 추정된다:Expressed as an equation, the relationship between overlay error (OV E ) and intensity asymmetry (A) is estimated as:

Figure 112019122472226-pct00001
Figure 112019122472226-pct00001

여기에서, 오버레이 에러(OVE)는 타겟 피치 P가 각도 2π 라디안에 대응하도록 하는 스케일로 표현된다. 상이한 알려진 바이어스(예를 들어 +d 및 -d)를 가진 주기적 구조체의 두 개의 측정을 사용하면, 오버레이 오차(OVE)는 다음을 사용하여 계산될 수 있다:Here, the overlay error OV E is expressed on a scale such that the target pitch P corresponds to an angle of 2π radians. Using two measurements of a periodic structure with different known biases (eg +d and -d), the overlay error (OV E ) can be calculated using:

Figure 112019122472226-pct00002
Figure 112019122472226-pct00002

다시 도 11h를 참조하면, 오버레이 OV(오버레이 오차 OVE라고도 불림)는 다음처럼 평가될 수도 있다. 구체적으로 설명하면, 도 11h에 표현된 모델에 기반하여, 회절된 방사선의 +1 차 및 -1 차수는 다음과 같이 계산될 수 있다:Referring again to FIG. 11H , the overlay OV ( also called overlay error OV E ) may be evaluated as follows. Specifically, based on the model represented in Fig. 11H, the +1 and -1 orders of the diffracted radiation can be calculated as follows:

Figure 112019122472226-pct00003
Figure 112019122472226-pct00003

여기에서는

Figure 112019122472226-pct00004
는 오버레이 및 바이어스에 기인한 위상차이고 및
Figure 112019122472226-pct00005
는 상부 및 하부 층으로부터의 회절된 방사선 사이의 위상차의 나머지이며, 이것은 상부 및 하부 주기적 구조체들 사이의 층의 두께 T에 비례하고 입사 방사선의 파장에 반비례한다.here
Figure 112019122472226-pct00004
is the phase difference due to overlay and bias and
Figure 112019122472226-pct00005
is the remainder of the phase difference between the diffracted radiation from the upper and lower layers, which is proportional to the thickness T of the layer between the upper and lower periodic structures and inversely proportional to the wavelength of the incident radiation.

편의를 위하여, 하나의 주기적 구조체 방향(예를 들어 X)의 네 개의 세기는 다음과 같이 지정될 수 있다:For convenience, the four intensities of one periodic structure direction (eg X) may be specified as follows:

PBN(양의 바이어스 주기적 구조체로부터의 +1차 회절 차수) PBN (+1 diffraction order from positive bias periodic structure)

PBC(양의 바이어스 주기적 구조체로부터의 -1차 회절 차수) PBC (-1st diffraction order from positive bias periodic structure)

NBN(음의 바이어스 주기적 구조체로부터의 +1차 회절 차수) NBN (+1 diffraction order from negative bias periodic structure)

NBC(음의 바이어스 주기적 구조체로부터의 -1차 회절 차수) NBC (-1st Diffraction Order from Negative Bias Periodic Structure)

그러므로, ΔIPB는 PBN-PBC로서 지정될 수 있고, ΔINB는 NBN-NBC로서 지정될 수 있다. 그러면, +1차 및 -1차 방사선으로부터의 그리고 또한 양의 바이어스 및 음의 바이어스 주기적 구조체로부터의 회절파의 진폭 및 위상(오버레이 위상 제외)은 동일하고, 계측 디바이스의 광학기가 그 자체로 대칭적이라고 가정하면, +1차 및 -1차 방사선의 세기 사이의 차분은

Figure 112019122472226-pct00006
로서 유도되고, K는
Figure 112019122472226-pct00007
와 같은 오버레이 비례성이다. 그러므로, 오버레이는 다음과 같이 계산될 수 있다:Therefore, ΔI PB may be designated as PBN-PBC, and ΔI NB may be designated as NBN-NBC. Then, the amplitude and phase (except the overlay phase) of the diffracted waves from the +1 and -1 order radiation and also from the positive and negative bias periodic structures are the same, and the optics of the metrology device are themselves symmetrical. Assuming that , the difference between the intensities of +1 and -1 radiation is
Figure 112019122472226-pct00006
is derived as , and K is
Figure 112019122472226-pct00007
is the same overlay proportionality as . Therefore, the overlay can be calculated as:

Figure 112019122472226-pct00008
Figure 112019122472226-pct00008

이제, 도 11d는, 이러한 경우에는 제 1 구조체에 있는 구조적 비대칭(하부 또는 바닥 격자 비대칭)인 구조적 비대칭의 현상을 개략적으로 도시한다. 도 11a 내지 도 11c에 있는 주기적 구조체 내의 피쳐는 완전히 정방형 측면을 가지는 것으로 도시되지만, 실제 피쳐는 표면 상에 어느 정도의 기울기와 어느 정도의 거칠기를 가질 것이다. 그럼에도 불구하고 이들은 프로파일에 있어서는 적어도 대칭적이 되도록 의도된다. 도 11d에 표시된 제 1 구조체에 있는 피쳐(602) 및/또는 공간(604)은 더 이상 대칭적 형태를 가지지 않고, 오히려 하나 이상의 처리 단계에 의해 왜곡되게 되었다. 따라서, 예를 들어 각각의 공간의 하단면은 틸트되게 되었다(하단벽 틸트). 예를 들어, 피쳐 및 공간의 측벽 각도도 비대칭하게 되었다. 그 결과, 타겟의 전체 타겟 비대칭은, 구조적 비대칭으로부터 독립적인 오버레이 기여분(즉, 제 1 구조체 및 제 2 구조체의 오정렬에 기인한 오버레이 기여분; 그 자체는 오버레이 오차 및 임의의 알려진 부과된 바이어스로 이루어짐) 및 타겟에 있는 이러한 구조적 비대칭에 기인한 구조적 기여분을 포함할 것이다.11D schematically illustrates the phenomenon of structural asymmetry, in this case structural asymmetry (bottom or bottom lattice asymmetry) in the first structure. Although the features in the periodic structures in FIGS. 11A-11C are shown as having perfectly square sides, the actual features will have some degree of slope and some degree of roughness on the surface. They are nevertheless intended to be at least symmetrical in profile. The features 602 and/or spaces 604 in the first structure shown in FIG. 11D no longer have a symmetrical shape, but rather have been distorted by one or more processing steps. Thus, for example, the bottom face of each space is tilted (bottom wall tilt). For example, the sidewall angles of features and spaces have also become asymmetrical. As a result, the overall target asymmetry of the target is an overlay contribution independent of the structural asymmetry (i.e., the overlay contribution due to misalignment of the first and second structures; itself consisting of the overlay error and any known imposed bias). and structural contributions due to this structural asymmetry in the target.

오직 두 개의 바이어스된 주기적 구조체를 사용하여 도 10의 방법에 의해 오버레이가 측정되면, 공정에서 유도된 구조적 비대칭은 오정렬에 기인한 오버레이 기여분과 구별될 수 없고, 결과적으로 오버레이 측정(특히 원치 않은 오버레이 에러를 측정하기 위한 것)은 신뢰할 수 없게 된다. 타겟 중 제 1 구조체(하단 주기적 구조체)에 있는 구조적 비대칭은 구조적 비대칭의 공통 형태이다. 이것은, 예를 들어 제 1 구조체가 최초 형성된 이후에 수행되는 화학적-기계적 연마(CMP)와 같은 기판 처리 단계 동안 생길 수 있다.If overlay was measured by the method of Fig. 10 using only two biased periodic structures, then the structural asymmetry induced in the process is indistinguishable from the overlay contribution due to misalignment, and consequently the overlay measurement (especially unwanted overlay error). to measure ) becomes unreliable. Structural asymmetry in the first structure of the target (lower periodic structure) is a common form of structural asymmetry. This may occur, for example, during a substrate processing step, such as chemical-mechanical polishing (CMP), which is performed after the first structure is initially formed.

도 13은 구조적 비대칭, 예를 들어 도 11d에 도시된 하단 주기적 구조체 비대칭을 도입하는 제 1 효과를 보여준다. '이상적인' 정현 곡선(702)은 더 이상 유효하지 않다. 그러나, 적어도 근사적으로, 하단 주기적 구조체 비대칭 또는 다른 구조적 비대칭은 세기 천이 항 K0 및 위상 천이 항

Figure 112019122472226-pct00009
를 세기 비대칭
Figure 112019122472226-pct00010
에 추가하는 효과를 가진다. 결과적으로 얻어지는 곡선이 다이어그램에 712로 표시되는데, 라벨 K0는 세기 천이 항을 나타내고, 라벨
Figure 112019122472226-pct00011
는 위상 오프셋 항을 나타낸다. 세기 천이 항 K0 및 위상 천이 항
Figure 112019122472226-pct00012
은 측정 방사선의 타겟 및 선택된 특성의 조합, 예컨대 측정 방사선의 파장 및 편광에 따라 달라지고, 프로세스 변이에 민감하다. 수학적으로 표시하면, 단계 S6에서의 계산을 위해 사용되는 관계는 다음이 된다:Figure 13 shows the first effect of introducing a structural asymmetry, for example the bottom periodic structure asymmetry shown in Figure 11d. The 'ideal' sinusoidal curve 702 is no longer valid. However, at least approximately, the bottom periodic structure asymmetry, or other structural asymmetry, is the intensity shift term K 0 and the phase shift term
Figure 112019122472226-pct00009
count asymmetry
Figure 112019122472226-pct00010
has the effect of adding The resulting curve is shown in the diagram as 712, where the label K 0 represents the intensity transition term, and the label
Figure 112019122472226-pct00011
denotes the phase offset term. The intensity shift term K 0 and the phase shift term
Figure 112019122472226-pct00012
depends on the combination of the target and selected properties of the measurement radiation, such as the wavelength and polarization of the measurement radiation, and is sensitive to process variations. Mathematically expressed, the relationship used for the calculation in step S6 becomes:

Figure 112019122472226-pct00013
Figure 112019122472226-pct00013

구조적 비대칭이 있는 경우, 수학식 2로 기술되는 오버레이 모델은 세기 천이 항 K0와 위상 천이 항

Figure 112019122472226-pct00014
에 의해 영향받는 오버레이 오차 값을 제공할 것이고, 따라서 부정확할 것이다. 또한, 세기 및 위상 천이가 파장 및/또는 편광에 의존적이기 때문에, 구조적 비대칭은 오버레이 오차를 매핑할 때에 하나 이상의 상이한 측정 파라미터(예를 들어, 측정 빔의 파장, 측정 빔의 편광 등)를 사용하는 동일한 타겟의 측정에 차이가 생기게 할 것이다.When there is structural asymmetry, the overlay model described by Equation 2 is an intensity shift term K 0 and a phase shift term
Figure 112019122472226-pct00014
will give an overlay error value affected by , and thus will be inaccurate. Also, because intensity and phase shifts are wavelength and/or polarization dependent, structural asymmetry can be attributed to the use of one or more different measurement parameters (e.g., wavelength of the measurement beam, polarization of the measurement beam, etc.) when mapping the overlay error. This will result in differences in measurements of the same target.

수정된 단계 S6의 오버레이 계산은 특정한 가정에 의존한다. 우선, 세기 비대칭이 오버레이의 사인 함수와 같이 움직이고, 주기 P는 격자 피치에 대응한다는 것이 가정된다. 이러한 가정은 주어진 오버레이 범위에 대해서 유효하다. 피치-파장비가 작으면 격자로부터 적은 수의 전파 회절 차수만 허용되기 때문에, 고조파의 개수는 적도록 설계될 수 있다. 그러나, 실무상 오정렬에 기인한 세기 비대칭에 대한 오버레이 기여분은 반드시 완전한 정현파가 아닐 수 있고, OV = 0 중심으로 반드시 완전히 대칭적이지 않을 수도 있다.The overlay calculation of the modified step S6 depends on certain assumptions. First, it is assumed that the intensity asymmetry behaves like a sine function of the overlay, and that the period P corresponds to the grating pitch. This assumption is valid for a given overlay range. Since a small pitch-wavelength ratio allows only a small number of propagation diffraction orders from the grating, the number of harmonics can be designed to be small. However, in practice, the overlay contribution to the intensity asymmetry due to misalignment may not necessarily be perfectly sinusoidal, and may not necessarily be perfectly symmetric around OV = 0.

그러므로, 구조적 비대칭의 효과는 다음과 같이 일반적으로 공식화될 수 있다:Therefore, the effect of structural asymmetry can be formulated generally as:

Figure 112019122472226-pct00015
Figure 112019122472226-pct00015

Figure 112019122472226-pct00016
Figure 112019122472226-pct00016

여기에서 ΔI-(A-와도 같은 의미임) 및 ΔI+(A+와도 같은 의미임)는 측정된 세기 비대칭을 나타내고, ΔIBG는 구조적 비대칭의 세기 비대칭에 대한 기여분이다. 따라서, 오버레이 오차 ΔOV는 ΔIBG / K의 함수라고 여겨질 수 있다.where ΔI ( synonymous with A − ) and ΔI + ( synonymous with A + ) represent the measured intensity asymmetry, and ΔI BG is the contribution of the structural asymmetry to the intensity asymmetry. Therefore, the overlay error ΔOV can be considered a function of ΔI BG / K .

여기서, 타겟에 있는 구조적 비대칭에 추가적으로 또는 대안적으로, 타겟의 인접한 주기적 구조체들 사이 또는 인접 타겟들 사이의 스택 차이는 오버레이 측정과 같은 측정의 정확도에 악영향을 주는 인자일 수 있다는 것이 더욱 발견되었다. 스택 차이는 인접한 주기적 구조체 또는 타겟들 사이의 물리적 구성에 있어서의 설계되지 않은 차이로서 이해될 수 있다. 스택 차이는, 인접한 주기적 구조체 또는 타겟들에 공통인 오버레이 오차, 의도적인 바이어스, 및 구조적 비대칭 이외의 것에 기인하는, 측정 방사선의 광학적 특성(예를 들어, 세기, 편광 등)에서의 차이를 야기한다. 스택 차이는, 인접한 주기적 구조체 또는 타겟들 사이의 두께 차이(예를 들어, 하나의 주기적 구조체 또는 타겟이 실질적으로 같은 레벨에 있도록 설계된 다른 주기적 구조체 또는 타겟보다 높거나 낮게 하는, 하나 이상의 층의 두께의 차이), 인접한 주기적 구조체 또는 타겟들 사이의 굴절률 차이(예를 들어, 실질적으로 동등한 결합 굴절률을 가지도록 설계되었지만 하나의 주기적 구조체 또는 타겟에 대한 하나 이상의 층의 결합 굴절률이 다른 주기적 구조체 또는 타겟에 대한 하나 이상의 층의 결합 굴절률과 달라지게 하는, 하나 이상의 층의 굴절률의 차이), 인접한 주기적 구조체 또는 타겟들의 재료의 차이(예를 들어, 실질적으로 동일한 재료를 가지도록 설계된 다른 주기적 구조체 또는 타겟과 하나의 주기적 구조체 또는 타겟에 대한 재료의 차이가 생기도록 하는, 재료 타입, 재료 균일성 등의 차이), 인접한 주기적 구조체 또는 타겟들의 구조체의 격자 주기의 차이(예를 들어, 실질적으로 동일한 격자 주기를 가지도록 설계된 하나의 주기적 구조체 또는 타겟에 대한 격자 주기의 다른 주기적 구조체 또는 타겟과의 차이), 인접한 주기적 구조체 또는 타겟들의 구조체의 깊이의 차이(예를 들어, 실질적으로 동일한 깊이를 가지도록 설계된 하나의 주기적 구조체 또는 타겟의 구조체의 깊이의 다른 주기적 구조체 또는 타겟으로부터의 차이), 인접한 주기적 구조체 또는 타겟들의 피쳐의 폭(CD)의 차이(예를 들어, 실질적으로 동일한 피쳐 폭을 가지도록 설계된 하나의 주기적 구조체 또는 타겟의 피쳐의 폭의 다른 주기적 구조체 또는 타겟으로부터의 차이) 등을 포함하지만 이들로 제한되는 것은 아니다. 몇 가지 예들에서, 스택 차이는 패터닝 프로세스 중 처리 단계, 예컨대 CMP, 층 증착, 에칭 등에 의해서 도입된다. 일 실시예에서, 주기적 구조체 또는 타겟들은, 서로 200 μm 내에, 서로 150 μm 내에, 서로 100 μm 내에, 서로 75 μm 내에, 서로 50 μm 내에, 서로 40 μm 내에, 서로 30 μm 내에, 서로 20 μm 내, 또는 서로 10 μm 내에 있으면 인접한 것이다.Here, in addition to or alternatively to structural asymmetry in a target, it has been further discovered that stack differences between adjacent periodic structures of a target or between adjacent targets can be a factor that adversely affects the accuracy of measurements, such as overlay measurements. Stack differences can be understood as undesigned differences in physical configuration between adjacent periodic structures or targets. Stack differences cause differences in the optical properties (e.g., intensity, polarization, etc.) of the measurement radiation due to other than overlay errors, intentional biases, and structural asymmetries common to adjacent periodic structures or targets. . The stack difference is the difference in thickness between adjacent periodic structures or targets (eg, the thickness of one or more layers such that one periodic structure or target is higher or lower than another periodic structure or target designed to be substantially at the same level). difference), differences in refractive index between adjacent periodic structures or targets (e.g., for periodic structures or targets that are designed to have substantially equivalent combined refractive indices, but where the combined refractive indices of one or more layers relative to one periodic structure or target are different) a difference in the refractive index of one or more layers that causes the combined refractive index of the one or more layers to differ); Differences in material type, material uniformity, etc., which cause differences in material relative to periodic structures or targets, differences in grating periods of structures of adjacent periodic structures or targets (e.g., to have substantially the same grating period) The difference in the depth of a structure of one periodic structure or target that is designed to be of a grating period relative to another periodic structure or target), a difference in the depth of the structures of adjacent periodic structures or targets (eg, one periodic structure designed to have substantially the same depth). or the difference in the depth of the structure of the target from another periodic structure or target), the difference in the width (CD) of a feature of adjacent periodic structures or targets (eg, one periodic structure designed to have substantially the same feature width or other periodic structures in the width of the features of the target or differences from the target); and the like. In some examples, the stack difference is introduced by a processing step during the patterning process, such as CMP, layer deposition, etching, and the like. In one embodiment, the periodic structures or targets are within 200 μm of each other, within 150 μm of each other, within 100 μm of each other, within 75 μm of each other, within 50 μm of each other, within 40 μm of each other, within 30 μm of each other, within 20 μm of each other. , or are adjacent if they are within 10 μm of each other.

스택 차이(격자들 사이의 격자 불균형이라고 불릴 수 있음)의 효과는 다음과 같이 일반화되어 공식화될 수 있다:The effect of stack differences (which can be called lattice imbalance between lattices) can be generalized and formulated as follows:

Figure 112019122472226-pct00017
Figure 112019122472226-pct00017

Figure 112019122472226-pct00018
(9)
Figure 112019122472226-pct00018
(9)

여기에서 ΔK는 스택 차이에 기인할 수 있는 오버레이 감도에서의 차분을 나타낸다. 그러므로, 오버레이 오차 ΔOV는

Figure 112019122472226-pct00019
에 비례할 수 있다.Here, Δ K represents a difference in the overlay sensitivity attributable to differences in the stack. Therefore, the overlay error ΔOV is
Figure 112019122472226-pct00019
can be proportional to

따라서, 스택 차이의 특징을 결정하기 위하여, 하나 이상의 스택 차이 파라미터가 규정될 수 있다. 위에서 언급된 바와 같이, 스택 차이 파라미터는 인접한 주기적 구조체 또는 타겟의 미설계된 상이한 물리적 구성의 척도이다. 일 실시예에서, 스택 차이 파라미터는 인접한 주기적 구조체 또는 타겟의 단면을 평가하는 것으로부터 결정될 수 있다.Accordingly, to determine a characteristic of the stack difference, one or more stack difference parameters may be defined. As mentioned above, the stack difference parameter is a measure of the undesigned different physical configuration of adjacent periodic structures or targets. In one embodiment, the stack difference parameter may be determined from evaluating a cross-section of an adjacent periodic structure or target.

일 실시예에서, 스택 차이 파라미터는, 상부 격자가 적용되기 전에 하부 인접 격자를 평가함으로써, 복합 격자의 하부 인접 격자에 대해서 결정될 수 있다. 일 실시예에서, 스택 차이 파라미터는 인접한 주기적 구조체 또는 타겟의 광학적 측정으로부터 또는 인접한 주기적 구조체 또는 타겟의 단면으로부터, 인접한 주기적 구조체 또는 타겟을 재구성하는 것으로부터 유도될 수 있다. 즉, 물리적 치수, 특성, 재료 특성 등은 재구성되고, 인접한 주기적 구조체 또는 타겟들 사이의 차이가 결정되어 스택 차이 파라미터가 된다.In one embodiment, the stack difference parameter may be determined for the lower neighboring grating of the composite grating by evaluating the lower neighboring grating before the upper grating is applied. In one embodiment, the stack difference parameter may be derived from reconstructing an adjacent periodic structure or target from an optical measurement of an adjacent periodic structure or target or from a cross-section of an adjacent periodic structure or target. That is, physical dimensions, properties, material properties, etc. are reconstructed, and differences between adjacent periodic structures or targets are determined to be stack difference parameters.

스택 차이 파라미터의 일 실시예는 다음과 같이 규정될 수 있는 주기적 구조체 세기 불균형(GI)이다:One embodiment of the stack difference parameter is the periodic structure intensity imbalance (GI), which can be defined as:

Figure 112019122472226-pct00020
(8)
Figure 112019122472226-pct00020
(8)

여기에서

Figure 112019122472226-pct00021
+d 바이어스를 가지는 제 1 주기적 구조체에 의해 회절된 +1 차 회절 차수 세기 신호
Figure 112019122472226-pct00022
, 및 +d 바이어스를 가지는 제 1 주기적 구조체에 의해 회절된 -1 차 회절 차수 세기 신호
Figure 112019122472226-pct00023
의 평균이다. 이와 유사하게,
Figure 112019122472226-pct00024
-d 바이어스를 가지는 제 2 주기적 구조체에 의해 회절된 +1 차 회절 차수 세기 신호
Figure 112019122472226-pct00025
, 및 -d 바이어스를 가지는 제 2 주기적 구조체에 의해 회절된 -1 차 회절 차수 세기 신호
Figure 112019122472226-pct00026
의 평균이다. 일 실시예에서, 주기적 구조체 세기 불균형(GI)은 유도된 버전, 예컨대,
Figure 112019122472226-pct00027
,
Figure 112019122472226-pct00028
등일 수 있다.From here
Figure 112019122472226-pct00021
+1 diffraction order intensity signal diffracted by the first periodic structure with a +d bias
Figure 112019122472226-pct00022
, and a -1 diffraction order intensity signal diffracted by the first periodic structure having a +d bias.
Figure 112019122472226-pct00023
is the average of Similarly,
Figure 112019122472226-pct00024
+1 diffraction order intensity signal diffracted by the second periodic structure with -d bias
Figure 112019122472226-pct00025
, and a -1 diffraction order intensity signal diffracted by a second periodic structure having a −d bias.
Figure 112019122472226-pct00026
is the average of In one embodiment, periodic structure intensity imbalance (GI) is a derived version, e.g.,
Figure 112019122472226-pct00027
,
Figure 112019122472226-pct00028
etc.

전술된 오버레이 계산 방법의 문제점은, 그 유도 과정을 위해서 이루어졌던 가정이 흔히 유효하지 않을 수 있다는 것이다. 예를 들어, 광로 속성 및/또는 방사선의 소스는 정상 및 상보적 방사선 사이에서 완전히 대칭적이지 않을 수 있다; 이것은 실제 회절 세기와 혼합될 수 있다. 추가적으로 또는 대안적으로, 계측 타겟은 구조적으로 대칭이 아니다. 위에서 언급된 바와 같이, 이러한 경우는 통상적으로 패터닝 프로세스에서의 처리 단계에 기인하여 생긴다. 비대칭 거동은 정상 및 상보적 세기들 사이에서, 예를 들어 타겟의 하부 주기적 구조체의 구조적 비대칭(BGA)에 기인하여 및/또는 양의 바이어스 및 음의 바이어스 주기적 구조체들 사이의 스택 차이(주기적 구조체 세기 불균형(GI)에 의해 특징지어질 수 있음)에 기인하여 생길 수 있다.A problem with the overlay calculation method described above is that the assumptions made for its derivation process may often not be valid. For example, optical path properties and/or sources of radiation may not be completely symmetric between normal and complementary radiation; This can be mixed with the actual diffraction intensity. Additionally or alternatively, the metrology target is not structurally symmetrical. As mentioned above, this case usually arises due to processing steps in the patterning process. Asymmetric behavior may be due to, for example, structural asymmetry (BGA) of the underlying periodic structure of the target between normal and complementary intensities and/or stack differences (periodic structure intensity) between positive and negative bias periodic structures. imbalance (which can be characterized by GI)).

이러한 비대칭으로부터 초래되는 오차들 중 하나 이상을 해결하는 것을 돕기 위하여, 예를 들어 광로 속성 및/또는 방사선의 소스에서의 비대칭을 어느 정도까지 관리하기 위해서 교정이 사용될 수 있다. 그리고, 타겟에서의 물리적 차분(예를 들어, 하부 주기적 구조체 구조적 비대칭(BGA) 및/또는 스택 차이)에서와 같이, 하나 이상의 메트릭(스택 차이에 대한 주기적 구조체 세기 불균형(GI))이, 예를 들어 문제가 발생될 가능성이 낮은 파장을 식별하기 위하여 사용될 수 있다. 예를 들어, "최선의" 파장은 파장 스펙트럼의 양호한 영역을 예측하려고 시도하는 간접적 메트릭에 기반하여 선택될 수 있다. 메트릭들이 가끔 일치하지 않는다는 것을 고려하면 "최선의" 파장의 이러한 식별은 어려운 작업이다. 더욱이, 오버레이의 정확도는 "최선의" 선택된 파장에서도 언제나 최적이라고 여겨지지 않을 수도 있다.Calibration may be used to help address one or more of the errors resulting from such asymmetry, for example to manage to some extent asymmetry in optical path properties and/or sources of radiation. And, as in the physical difference at the target (eg, underlying periodic structure structural asymmetry (BGA) and/or stack difference), one or more metrics (periodic structure intensity imbalance over stack difference (GI)), for example For example, it can be used to identify wavelengths that are less likely to cause problems. For example, a “best” wavelength may be selected based on an indirect metric that attempts to predict a good region of the wavelength spectrum. This identification of the "best" wavelength is a difficult task given that the metrics sometimes do not match. Moreover, the accuracy of the overlay may not always be considered optimal, even at the “best” selected wavelength.

따라서, 새로운 오버레이 결정 기법을 사용하여 이러한 오차를 해결 및/또는 정정할 수 있는 것이 바람직하다. 이러한 오버레이 결정 기법은 다양한 응용예에서 사용될 수 있다. 제 1 예시적인 응용예는 요구되는 오버레이 값을 대량으로 또는 생산 중에 유도하여, 예를 들어 오버레이 값을, 예를 들어 패터닝 프로세스의 제어, 설계 등에서 사용하기 위한 패터닝 프로세스의 실행의 일부로서 유도하는 것이다. 다른 예시적인 응용예는, 계측 프로세스의 설계, 제어 등에서 사용하기 위한 오버레이 값을 유도하여, 예를 들어 측정을 위해 사용되는 방사선 파장과 같은 계측 프로세스의 상태를 선택하는 것이다(이러한 계측 프로세스는 수학식 1 내지 4에 대하여 설명된 기법과 같은 상이한 오버레이 계산 기법을 사용할 수 있음).Therefore, it would be desirable to be able to address and/or correct these errors using new overlay determination techniques. This overlay determination technique can be used in various applications. A first exemplary application is to derive the required overlay values in bulk or during production, for example to derive the overlay values as part of the execution of a patterning process for use in, for example, control, design, etc. of the patterning process. . Another exemplary application is to derive an overlay value for use in the design, control, etc. of the metrology process, to select the state of the metrology process, such as, for example, the radiation wavelength used for the measurement (this metrology process is expressed by the equation It is possible to use different overlay calculation techniques such as the techniques described for 1-4).

새로운 오버레이 결정 기법의 일 실시예에서는, 오버레이 오차에 의해 초래되는 것 이외에 방사선에 있는 여러 비대칭을 고려하고, 예를 들어 타겟 비대칭 및/또는 센서 비대칭에 대해 견실한 정확한 오버레이를 측정하도록 설계되는 수학적 모델이 사용된다. 일 실시예에서, 이러한 모델은 복수 개의 상이한 파장에 기반한 수학식을 수반한다. 일 실시예에서, 그 변수인 4 개의 상이한 파장을 가진 16 개의 연립 방정식이 제공된다. 따라서, 이러한 실시예에서, 오버레이 값을 유도하기 위하여, 4 개의 상이한 파장에 대하여 측정치가 획득되고, 16 개의 연립 방정식을 푸는데, 수학식들은, 예를 들어 16 개의 미지수를 가진다.In one embodiment of the new overlay determination technique, a mathematical model designed to account for several asymmetries in radiation other than those caused by overlay errors and to measure robust accurate overlays for, for example, target asymmetry and/or sensor asymmetry. this is used In one embodiment, this model involves equations based on a plurality of different wavelengths. In one embodiment, 16 simultaneous equations with 4 different wavelengths of the variable are provided. Thus, in this embodiment, measurements are taken for 4 different wavelengths and 16 simultaneous equations are solved to derive the overlay values, the equations having, for example, 16 unknowns.

4 개의 상이한 파장에 기반하고 도 11e에서 도시되는 바와 같은 타겟에 대한 연립 방정식의 일 예가 다음에 제공된다. 특히, 이것은 특정 오버레이 방향(예를 들어, X 또는 Y 방향) 및 해당 오버레이 방향과 연관된 서브-타겟에 대한 것이다. 예를 들어, 이것은 오버레이를 X-방향으로 측정하기 위한 서브-타겟(612 및 614)의 조합에 대한 것일 수 있고, 여기에서 서브-타겟(612)은 +d의 바이어스를 가지는 반면에 서브-타겟(614)은 -d의 바이어스를 가진다. 또는, 이것은 오버레이를 Y-방향으로 측정하기 위한 서브-타겟(616 및 618)의 조합에 대한 것일 수 있고, 여기에서 서브-타겟(616)은 +d의 바이어스를 가지는 반면에 서브-타겟(618)은 -d의 바이어스를 가진다. 연립 방정식은 다음을 포함한다:An example of a simultaneous equation for a target based on four different wavelengths and as shown in FIG. 11E is provided below. In particular, this is for a particular overlay direction (eg, X or Y direction) and a sub-target associated with that overlay direction. For example, this could be for a combination of sub-targets 612 and 614 to measure overlay in the X-direction, where sub-target 612 has a bias of +d while sub-target 612 has a bias of +d. (614) has a bias of -d. Alternatively, it may be for a combination of sub-targets 616 and 618 to measure overlay in the Y-direction, where sub-target 616 has a bias of +d while sub-target 618 is ) has a bias of -d. Simultaneous equations include:

Figure 112019122472226-pct00029
Figure 112019122472226-pct00029

Figure 112019122472226-pct00030
Figure 112019122472226-pct00030

Figure 112019122472226-pct00031
Figure 112019122472226-pct00031

Figure 112019122472226-pct00032
Figure 112019122472226-pct00032

Figure 112019122472226-pct00033
Figure 112019122472226-pct00033

Figure 112019122472226-pct00034
Figure 112019122472226-pct00034

Figure 112019122472226-pct00035
Figure 112019122472226-pct00035

Figure 112019122472226-pct00036
Figure 112019122472226-pct00036

Figure 112019122472226-pct00037
Figure 112019122472226-pct00037

Figure 112019122472226-pct00038
Figure 112019122472226-pct00038

Figure 112019122472226-pct00039
Figure 112019122472226-pct00039

Figure 112019122472226-pct00040
Figure 112019122472226-pct00040

Figure 112019122472226-pct00041
Figure 112019122472226-pct00041

Figure 112019122472226-pct00042
Figure 112019122472226-pct00042

Figure 112019122472226-pct00043
Figure 112019122472226-pct00043

Figure 112019122472226-pct00044
Figure 112019122472226-pct00044

여기에서

Figure 112019122472226-pct00045
는 최적화를 위한 함수이고(예를 들어, 그들의 절대 값을 최소화시킴), OV는 오버레이이며, λ1… λ4는 측정용 타겟을 조명하기 위하여 사용되는 조명 측정 방사선이고, A는 서브-타겟의 상부 주기적 구조체로부터의 회절파의 진폭이며, B 1B 4는 서브-타겟의 하부 주기적 구조체로부터의 회절파의 진폭이고(이러한 경우에, 4 개의 변수 B가 존재하는데, 각각은 서브-타겟 및 회절 차수의 각각의 조합과 연관되고 후술되는 바와 같은 특정한 방식으로 서로 다를(예를 들어, 상이한 값을 가짐) 수 있음(예를 들어 독립적일 수 있음)), β1… β4는 하부 주기적 구조체에 입사하는 방사선과 하부 주기적 구조체에 입사하는 방사선 사이에서 생기는 위상차이고(이러한 경우에, 4 개의 변수 β가 존재하는데, 각각은 서브-타겟 및 회절 차수의 각각의 조합과 연관되고 후술되는 바와 같은 특정한 방식으로 서로 다를(예를 들어, 상이한 값을 가짐) 수 있음), P는 타겟의 피치이며, d는 타겟의 바이어스이고, α1 및 α2는 센서 비대칭 오차를 설명하는 인자이며(이러한 예에서, 2 개의 변수 α가 존재하는데, 각각은 각각의 회절 차수와 연관되고 후술되는 바와 같은 특정한 방식으로 서로 다를(예를 들어, 상이한 값을 가짐) 수 있음), γ1… γ4는 상이한 파장에 의한 측정들 사이의 조명 측정 방사선 세기의 변화를 설명하는 인자이고(특히, 측정이 통상적으로 다른 시각에 이루어질 것이기 때문에, 세기는 상이한 파장에서 측정할 때 변할 수 있고 및/또는 세기는 다른 파장을 획득하도록 변경될 수 있으며 개수에 있어서 파장의 개수와 같음), 및 IPBN, IPBC, INBN, 및 INBC는 개별적으로 식별된 파장 λ1… λ4에서 방사선을 사용하여 측정된 추출된 평균 세기이고, 양의 바이어스 주기적 구조체(예를 들어, 서브-타겟(612))로부터의 +1차 회절 차수 방사선(PBN), 양의 바이어스 주기적 구조체(예를 들어, 서브-타겟(612))로부터의 -1차 회절 차수(PBC), 음의 바이어스 주기적 구조체(예를 들어, 서브-타겟(614))로부터의 +1차 회절 차수(NBN), 및 음의 바이어스 주기적 구조체(예를 들어, 서브-타겟(614))로부터의 -1차 회절 차수(NBC)에 각각 대응한다.From here
Figure 112019122472226-pct00045
is the function for optimization (eg, minimizing their absolute values), OV is the overlay, λ 1 … λ 4 is the illumination measurement radiation used to illuminate the target for measurement, A is the amplitude of the diffracted wave from the upper periodic structure of the sub-target, B 1B 4 is the amplitude of the diffraction wave from the underlying periodic structure of the sub-target (in this case, there are four variables B, each associated with a respective combination of sub-target and diffraction order and associated with a specific specific as described below). may differ from each other in a way (eg, have different values) (eg may be independent), β 1 ... β 4 is the phase difference between the radiation incident on the underlying periodic structure and the radiation incident on the underlying periodic structure (in this case, there are four variables β, each associated with a respective combination of sub-target and diffraction order, and They may differ from each other (eg, have different values) in certain ways as described below, where P is the pitch of the target, d is the bias of the target, and α 1 and α 2 are factors that account for the sensor asymmetry error. (in this example, there are two variables α, each associated with a respective diffraction order and may differ from each other (eg, have different values) in certain ways as described below, γ 1 … γ 4 is a factor that accounts for the variation in illumination measurement radiation intensity between measurements with different wavelengths (in particular, since measurements will usually be made at different times, the intensity can vary when measured at different wavelengths and/or The intensity can be changed to obtain different wavelengths and in number equals the number of wavelengths), and I PBN , I PBC , I NBN , and I NBC are the individually identified wavelengths λ 1 . is the extracted average intensity measured using radiation at λ 4 , the +1 diffracted order radiation (PBN) from the positive bias periodic structure (eg, sub-target 612 ), the positive bias periodic structure ( For example, −1st diffraction order (PBC) from sub-target 612 ), +1 diffraction order (NBN) from negative bias periodic structure (eg, sub-target 614 ); and the negative bias periodic structure (eg, sub-target 614 ), respectively, from the −1st diffraction order (NBC).

이러한 예에서는 4 개의 상이한 파장들이 사용되지만, 다른 개수의 파장도 사용될 수 있다. 예를 들어, 다양한 가정들이 이루어진다면 2 개의 파장이 사용될 수 있다. 다른 예로서, 4 개보다 많은 파장도 사용될 수 있다. 변동에 대한 모델의 견실성을 증가시키기 위해서, 5 개 이상(또는 3 개 이상)의 파장으로부터의 정보를 가산하는 것이 사용될 수 있다. 추가적으로 또는 대안적으로, 추가적인 미지의 파라미터, 예컨대 스폿 불균일성(특히, 양의 바이어스와 음의 바이어스 세기들 사이에서 다른 센서로부터의 비대칭)을 결정하기 위하여 5 개 이상의 파장이 사용될 수 있다.Four different wavelengths are used in this example, but other numbers of wavelengths may be used. For example, two wavelengths may be used if various assumptions are made. As another example, more than four wavelengths may be used. To increase the robustness of the model to variations, summing information from five or more (or three or more) wavelengths can be used. Additionally or alternatively, five or more wavelengths may be used to determine additional unknown parameters, such as spot non-uniformity (particularly asymmetry from other sensors between positive and negative bias intensities).

일 실시예에서, 연립 방정식에서 상이한 오차 소스들이 고려될 수 있다. 예를 들어, 일 실시예에서, 양의(예를 들어, +1 차) 순서 및 음의(예를 들어, -1 차) 차수 방사선 사이의 센서, 타겟의 구조적 비대칭, 및/또는 타겟 내의 스택 차이이다.In one embodiment, different sources of error may be considered in the simultaneous equations. For example, in one embodiment, a sensor between positive (eg, +1 order) and negative (eg, −1 order) order radiation, a structural asymmetry of the target, and/or a stack within the target. That's the difference.

일 실시예에서, 양의(예를 들어, +1 차) 차수 및 음의(예를 들어, -1 차) 차수 방사선 사이의 센서 비대칭은 상이한 변수 α1 및 α2를 가짐으로써 처리된다. 일 실시예에서, α1은 양의(예를 들어, +1 차) 차수 방사선에 대응하고, α2는 음의(예를 들어, -1 차) 차수 방사선에 대응한다. 통상적으로, α1 및 α2는 오버레이를 결정하기 위하여 수학식들이 평가될 때 상이한 값을 가질 것이다.In one embodiment, the sensor asymmetry between positive (eg, +1 order) and negative (eg, -1st) order radiation is addressed by having different variables α 1 and α 2 . In one embodiment, α 1 corresponds to positive (eg, +1 order) order radiation and α 2 corresponds to negative (eg, −1 order) order radiation. Typically, α 1 and α 2 will have different values when the equations are evaluated to determine the overlay.

일 실시예에서, 타겟의 구조적 비대칭은 특정한 상이한 진폭 B 변수 및 특정한 상이한 β 변수를 가짐으로써 설명된다. 특히, 일 실시예에서, 측정된 방사선의 특정 회절 차수(예를 들어, +1 차)의 양의 값에 대한 방사선의 진폭 변수(예를 들어, B 1 및/또는 B 3)는 측정된 방사선의 특정 회절 차수(예를 들어, -1 차)의 음의 값에 대한 방사선의 진폭 변수(예를 들어, B 1 및/또는 B 3에 대해 각각 B 2 및/또는 B 4)와 다르고, 적어도 측정된 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 위상 변수(예를 들어, β1 및/또는 β3)는 측정된 방사선의 특정 회절 차수 중 음의 값에 대한 방사선의 위상 변수(예를 들어, β1 및/또는 β3 각각에 대한 β2 및/또는 β4)와 다르다. 일 실시예에서, B 1, B 3, β1 및/또는 β3는 +1 차 방사선에 대응하고, B 2, B 4, β2 및/또는 β4는 -1 차 방사선에 대응한다. 통상적으로 일부 타겟 비대칭이 존재하기 때문에, B 1 B 2, B 3 B 4, β1 및 β2, 및 β3 및 β4는 오버레이를 결정하기 위하여 수학식들이 평가될 때 상이한 값을 가질 것이다.In one embodiment, the structural asymmetry of the target is accounted for by having certain different amplitude B parameters and certain different β parameters. In particular, in one embodiment, the amplitude variable (eg B 1 and/or B 3 ) of the radiation for a positive value of a particular diffraction order (eg +1 order) of the measured radiation is the measured radiation. differs from the amplitude variable (eg, B 2 and/or B 4 for B 1 and/or B 3 , respectively) of the radiation for negative values of a particular diffraction order (eg −1 order) of , and at least The phase variable of the radiation for a positive value of a particular diffraction order of the measured radiation (eg β 1 and/or β 3 ) is the phase variable of the radiation for a negative value of the particular diffraction order of the measured radiation (eg β 3 ). eg β 2 and/or β 4 ) for β 1 and/or β 3 respectively. In one embodiment, B 1 , B 3 , β 1 and/or β 3 correspond to +1 primary radiation, and B 2 , B 4 , β 2 and/or β 4 correspond to −1 primary radiation. Since there is usually some target asymmetry, B 1 and B 2 , B 3 and B 4 , β 1 and β 2 , and β 3 and β 4 will have different values when the equations are evaluated to determine the overlay. will be.

일 실시예에서, 타겟 내의 스택 차이는 특정한 상이한 진폭 B 변수 및 특정한 상이한 β 변수를 가짐으로써 설명된다. 특히, 일 실시예에서, 양의 바이어스(예를 들어, +d)를 가진 타겟의 서브-타겟에 대한 방사선의 진폭 변수(예를 들어, B 1 및/또는 B 2)는 음의 바이어스(예를 들어, -d)를 가지는 타겟의 서브-타겟에 대한 방사선의 진폭 변수(예를 들어, B 1 및/또는 B 2에 대하여 각각 B 3 및/또는 B 4)와 다르고, 적어도 양의 바이어스(예를 들어, +d)를 가지는 타겟의 서브-타겟에 대한 방사선의 위상 변수(예를 들어, β1 및/또는 β2)는 음의 바이어스(예를 들어, -d)를 가지는 타겟의 서브-타겟에 대한 방사선의 위상 변수(예를 들어, β1 및/또는 β2에 대하여 각각 β3 및/또는 β4)와 다르다. 일 실시예에서, B 1, B 2, β1 및/또는 β2는 양의 바이어스를 가지는 타겟의 서브-타겟에 대응하고, B 3, B 4, β3 및/또는 β4는 음의 바이어스를 가지는 타겟의 서브-타겟에 대응한다. 통상적으로 일부 스택 차이가 존재하기 때문에, B 1 B 3, B 2 B 4, β1 및 β3, 및 β2 및 β4는 오버레이를 결정하기 위하여 수학식들이 평가될 때 상이한 값을 가질 것이다.In one embodiment, stack differences within the target are accounted for by having certain different amplitude B variables and certain different β variables. In particular, in one embodiment, the amplitude variable (eg, B 1 and/or B 2 ) of the radiation relative to a sub-target of a target with a positive bias (eg, +d) is negatively biased (eg, B 2 ). For example, -d) differs from the amplitude variable of the radiation for a sub-target of the target (eg, B 3 and/or B 4 for B 1 and/or B 2 respectively) and has at least a positive bias ( For example, the phase variable (eg, β 1 and/or β 2 ) of radiation relative to a sub-target of a target with +d) is the sub-target of a target with negative bias (eg, −d). - differs from the phase parameters of the radiation relative to the target (eg β 3 and/or β 4 for β 1 and/or β 2 respectively). In one embodiment, B 1 , B 2 , β 1 and/or β 2 correspond to a sub-target of a target having a positive bias, and B 3 , B 4 , β 3 and/or β 4 are negative biases. Corresponds to a sub-target of a target having . Because there is typically some stack difference, B 1 and B 3 , B 2 and B 4 , β 1 and β 3 , and β 2 and β 4 will have different values when the equations are evaluated to determine the overlay. will be.

추가적인 실시예에서, 연립 수학식 9 내지 24는, 진폭에 대응하는 변수(예컨대 A, B)가 조명 상태, 예를 들어 파장에 의존하도록, 그리고 정정 파라미터에 대응하는 변수(예컨대 α1 및 α2)가 조명 상태, 예를 들어 파장에 반드시 의존하지는 않도록 형성될 수 있다. 연립 수학식 9 내지 24는 수학식 9 내지 24의 우항 각각에 가산된 추가적인 오프셋 상수를 포함할 수 있다.In a further embodiment, the simultaneous equations (9) to (24) are such that the variables corresponding to amplitude (eg, A, B) depend on the illumination state, eg, wavelength, and the variables corresponding to correction parameters (eg, α 1 and α 2 ). ) can be formed such that it does not necessarily depend on the illumination state, eg wavelength. Simultaneous Equations 9 to 24 may include additional offset constants added to each of the right terms of Equations 9 to 24.

그러므로, 수학식 9 내지 24를 평가하기 위하여, 타겟의 평균 세기가 네 개의 상이한 파장에 대하여 도 10에 대하여 전술된 바와 같이(예를 들어, 패턴 인식 방법에 의하여) 추출된다. 특히, 일 실시예에서, IPBN, IPBC, INBN, 및 INBC는 16 개의 세기 값을 산출하는 λ1… λ4 각각에 대하여 얻어진다. 더 나아가, 피치 P, 바이어스 d 및 파장 값 λ1… λ4이 수학식들에서 알려져 있다. 그러므로, 16 개의 미지수 - 오버레이 OV, 진폭 A, 진폭 B 1B 4, 위상차 β1… β4, 센서 비대칭 오차 인자 α1 및 α2, 및 조명 측정 방사선 세기 인자 γ1… γ4가 존재한다. 그러면, 수학식 9 내지 24는 적어도 오버레이 OV의 값을 얻도록 비선형 방정식을 풀기 위한 기법을 사용하여 풀린다.Therefore, to evaluate Equations 9-24, the average intensity of the target is extracted (eg, by a pattern recognition method) as described above with respect to FIG. 10 for four different wavelengths. In particular, in one embodiment, I PBN , I PBC , I NBN , and I NBC are λ 1 … yielding 16 intensity values. is obtained for each of λ 4 . Further, the pitch P, bias d and wavelength value λ 1 . . . λ 4 is known from the equations. Therefore, 16 unknowns - overlay OV, amplitude A, amplitude B 1B 4 , phase difference β 1 … β 4 , sensor asymmetry error factors α 1 and α 2 , and illumination measurement radiation intensity factor γ 1 . γ 4 is present. Equations 9 to 24 are then solved using a technique for solving non-linear equations to obtain at least the value of overlay OV.

그러므로, 일 실시예에서, 모델의 파라미터를 획득하기 위하여(그리고 오버레이(OV)의 값을 유도하기 위하여), 수학식의 최적화 문제는 하나 이상의 공지된 비선형 방정식 풀이 기법을 사용하여 공식화되고 풀릴 수 있다. 내부-포인트 및 신뢰-구간 반사 알고리즘(interior-point and the trust-region reflective algorithm)과 같은 하나 이상의 다양한 알고리즘이 최적화 문제를 풀기 위해서 사용될 수 있다. 더욱이, 목적함수의 그레디언트를 해석적으로 계산하고, 최적화 알고리즘에 이렇게 계산된 그레디언트를 공급하면, 수렴 속도와 결과의 정확도가 크게 증가될 수 있다.Thus, in one embodiment, in order to obtain the parameters of the model (and to derive the values of the overlay OV), the optimization problem of the equation may be formulated and solved using one or more known nonlinear equation solving techniques. . One or more of a variety of algorithms may be used to solve the optimization problem, such as an interior-point and the trust-region reflective algorithm. Furthermore, by analytically calculating the gradient of the objective function and supplying the gradient calculated in this way to the optimization algorithm, the convergence speed and the accuracy of the result can be greatly increased.

수학식들을 풀기 위한 기법의 특정하고 비한정적인 예가 이제 논의된다. 최종 최적화 문제를 더 분명하게 제시하기 위하여, 다음과 같은 몇 가지 보조 변수가 규정된다:A specific, non-limiting example of a technique for solving equations is now discussed. In order to present the final optimization problem more clearly, several auxiliary variables are specified:

Figure 112019122472226-pct00046
Figure 112019122472226-pct00046

그러므로, 최적화 문제는 후속하는 목적함수로 쓰여질 수 있다:Therefore, the optimization problem can be written as the following objective function:

Figure 112019122472226-pct00047
Figure 112019122472226-pct00047

여기서,

Figure 112019122472226-pct00048
이고,here,
Figure 112019122472226-pct00048
ego,

Figure 112019122472226-pct00049
Figure 112019122472226-pct00049

Figure 112019122472226-pct00050
이다.
Figure 112019122472226-pct00050
am.

그리고 lbub는 각각 최적화 알고리즘의 검색 공간을 좁히기 위해 규정된, 변수들의 하한 및 상한이다. 이러한 한계는 변수들의 물리적 해석에 기반하여 미리 결정되고, 예를 들어

Figure 112019122472226-pct00051
는 회절파의 진폭을 나타내고
Figure 112019122472226-pct00052
는 두 층들 사이의 회절파의 위상차를 나타낸다.and lb and ub are the lower and upper bounds of the variables, respectively, prescribed to narrow the search space of the optimization algorithm. These limits are predetermined based on the physical interpretation of the variables, for example
Figure 112019122472226-pct00051
is the amplitude of the diffracted wave and
Figure 112019122472226-pct00052
represents the phase difference of the diffracted wave between the two layers.

이러한 비선형의 제약된 최적화 문제를 효율적으로 풀기 위하여, 일 실시예에서는 비선형 최적화 알고리즘이 국지적인 최적값만 얻는 것을 피하고 수렴 속도를 증가시키기 위하여 일부 수학적 기법과 결합된다. 이하, 이러한 문제를 풀기 위해 취해지는 알고리즘 및 단계의 개관이 제공된다:In order to efficiently solve this non-linear constrained optimization problem, in one embodiment, the non-linear optimization algorithm is combined with some mathematical techniques to increase the convergence rate and avoid obtaining only the local optimum. An overview of the algorithms and steps taken to solve this problem is given below:

한계

Figure 112019122472226-pct00053
를 파라미터의 값들의 물리적 지식에 기반하여 규정한다.Limit
Figure 112019122472226-pct00053
is defined based on the physical knowledge of the values of the parameters.

목적함수에서

Figure 112019122472226-pct00054
Figure 112019122472226-pct00055
로 대체한다. 그러므로, 결과적으로 얻어지는 최적화 문제(새로운 변수
Figure 112019122472226-pct00056
에 기반함)는 제약이 제거된다.in the objective function
Figure 112019122472226-pct00054
cast
Figure 112019122472226-pct00055
be replaced with Therefore, the resulting optimization problem (new variable
Figure 112019122472226-pct00056
based on ), the constraint is removed.

Figure 112019122472226-pct00057
의 야코비안을 계산한다:
Figure 112019122472226-pct00057
Calculate the Jacobian of:

Figure 112019122472226-pct00058
Figure 112019122472226-pct00058

Figure 112019122472226-pct00059
인 동안에:
Figure 112019122472226-pct00059
While being:

Figure 112019122472226-pct00060
로부터 초기점
Figure 112019122472226-pct00061
을 그린다.
Figure 112019122472226-pct00060
starting point from
Figure 112019122472226-pct00061
draw a

Figure 112019122472226-pct00062
에 대하여.
Figure 112019122472226-pct00062
about.

수정된 레벤버그-마콰트 반복 알고리즘을 사용하여

Figure 112019122472226-pct00063
를 계산한다:Using a modified Levenberg-Marquat iteration algorithm
Figure 112019122472226-pct00063
Calculate:

Figure 112019122472226-pct00064
Figure 112019122472226-pct00064

목적 함수의 그레디언트를 계산한다:

Figure 112019122472226-pct00065
. 그리고, 그레디언트 벡터의 최대 절대 값이 0에 아주 가까우면, 중지 기준으로서 취한다. 그렇지 않으면, x 또는 목적함수의 값의 상대적인 변화를 중지 기준으로 취한다.Compute the gradient of the objective function:
Figure 112019122472226-pct00065
. And, if the maximum absolute value of the gradient vector is very close to zero, it is taken as the stopping criterion. Otherwise, the relative change in the value of x or the objective function is taken as the stopping criterion.

루프 반복 n에 대응하는 국부적인 최적 솔루션

Figure 112019122472226-pct00066
Figure 112019122472226-pct00067
을 저장한다. 또한, 만족되는 대응하는 중지 기준을 보고한다. 외부 루프 카운터(최적화의 다중 개시를 위하여 사용됨)를 n+1로 증가시킨다.Local optimal solution corresponding to loop iteration n
Figure 112019122472226-pct00066
and
Figure 112019122472226-pct00067
Save it. It also reports the corresponding cessation criteria that are satisfied. Increment the outer loop counter (used for multiple initiations of optimization) to n+1.

최적의 목적함수

Figure 112019122472226-pct00068
(이전의 단계에서 랜덤 초기점에 대하여 획득됨)의 최소를 계산한다. 최적의 값의 최소에 대하여, 대응하는 중지 기준이 그레디언트와 관련된 것이었는지(즉, 그레디언트가 0에 매우 가까움) 여부를 점검한다. 그렇다면, 이러한 목적 값 및 대응하는 최적의 포인트를 가능한 광역 솔루션으로서 보고한다.Optimal objective function
Figure 112019122472226-pct00068
Calculate the minimum of (obtained for random initial points in the previous step). For the minimum of the optimal value, check whether the corresponding stopping criterion was gradient related (ie the gradient is very close to zero). If so, report these target values and corresponding optimal points as possible global solutions.

전술된 개시 내용은, 다수의 파장(예를 들어, 전술된 방정식 내의 λ1… λ4)으로 타겟의 여러 측정을 수행함으로써 연립 방정식(예를 들어, 수학식 9 내지 24)을 획득하는 것을 기술한다. 그러나, 파장은 연립 방정식을 획득하기 위하여 변경될 수 있는 조명 상태 중 하나의 예일 뿐이다. 이와 같이, 본 명세서에서 설명되는 개념은 좀 더 일반적으로는 조명 방사선의 조명 상태를 변경하는 것에 적용될 수 있다. 예를 들어, 변경될 수 있는 다른 조명 상태에는 편광 또는 입사각이 있다.The foregoing disclosure describes obtaining simultaneous equations (eg, Equations 9-24) by performing multiple measurements of the target at multiple wavelengths (eg, λ 1 … λ 4 in the equations described above). do. However, wavelength is only one example of an illumination state that can be changed to obtain a system of equations. As such, the concepts described herein are more generally applicable to changing the illumination state of illuminating radiation. Other lighting states that may be changed, for example, are polarization or angle of incidence.

이미지들을 상이한 파장에서 결합하는 것이 유용한 이유는, 많은 모델 파라미터가 파장-의존적이라는 점에 있다(의존성들은 더 상세하게 후술되는 소위 스윙 곡선에서 서로결합된다). 그러므로, 상이한 파장에서의 이미지는, 함께 취해진(따라서 모든 오차 소스 및 오버레이가 결합됨) 센서 및 타겟의 독립 샘플링이라고 여겨질 수 있다. 이러한 독립성이 중요하다: 각각의 이미지는 양호하게 선택된 모델에 의해서 결합되고 분리될 수 있는 고유한 정보를 제공한다. 상이한 편광에서 측정하면 광과 스택의 상이한 상호작용이 강조되고, 따라서(적어도 부분적으로) 독립적이다. 상이한 입사각에 관련된 측정에는 지금부터 설명되는 바와 같은 추가적인 고려 사항이 필요할 수 있다.What makes it useful to combine images at different wavelengths is that many model parameters are wavelength-dependent (the dependences are combined with each other in the so-called swing curve, discussed in more detail below). Thus, images at different wavelengths can be considered independent sampling of the sensor and target taken together (thus all error sources and overlays are combined). This independence is important: each image provides unique information that can be combined and separated by a well-chosen model. Measurements at different polarizations emphasize the different interactions of the light and the stack and are therefore (at least partially) independent. Measurements involving different angles of incidence may require additional considerations as will now be described.

도 7a에서 도시되는 것과 같은 많은 계측 디바이스에서, 조명 프로파일 그리고 따라서 어떤 입사각이 타겟으로 전송되어야 하는지를 결정하는 것은 애퍼쳐(13)이다. 파장의 경우에서와 같이, 입사각은 스윙 곡선에 대한 큰 기여자이고(이것은 파들의 간섭과 스택 내의 재료 속성에 의해 초래됨), 따라서 상이한 입사각(즉 퓨필 내의 상이한 포인트)은 시스템의 독립 샘플링을 제공할 수 있다. 스윙 곡선의 개념은 더 상세하게 후술될 것이다. 이상적으로는, 각각의 파는 독립적으로(즉, 상이한 각도에 걸쳐 스캐닝 레이저에 의하여) 샘플링되어야 한다. 그러나, 통상적인 계측 조명 소스는 파들의 연속체를 상이한 각도에서 동시에 방출한다(이것이 부분적으로 간섭성 소스이기 때문임). 따라서, 퓨필의 샘플링은 현재, 애퍼쳐에 의해서 관장된다. 많은 이용가능한 애퍼쳐는 서로에 대해 중첩하는 조명 프로파일을 가지며, 따라서 완전히 독립적인 샘플을 제공하지 않는다. 이것이, 파장들이 스펙트럼 중첩을 가지지 않거나 거의 가지지 않는, 상이한 파장에서 취해진 이미지들과 근본적으로 다르다.In many metrology devices, such as that shown in FIG. 7A , it is the aperture 13 that determines the illumination profile and thus which angle of incidence should be transmitted to the target. As in the case of wavelength, the angle of incidence is a large contributor to the swing curve (this is caused by the interference of the waves and the material properties in the stack), so different angles of incidence (i.e. different points within the pupil) will provide independent sampling of the system. can The concept of the swing curve will be described later in more detail. Ideally, each wave should be sampled independently (ie by the scanning laser over different angles). However, a typical metrology illumination source emits a continuum of waves simultaneously at different angles (because it is a coherent source in part). Thus, the sampling of the pupil is currently governed by the aperture. Many of the available apertures have illumination profiles that overlap with each other and therefore do not provide completely independent samples. This is fundamentally different from images taken at different wavelengths, where the wavelengths have little or no spectral overlap.

그러므로, 변동된 조명 상태가 입사각으로 확장되는 여러 방법이 설명될 것이다. 이러한 방법은, 수학식 9 내지 24에 의해 설명되는 바와 같은 멀티-이미지 오버레이 추출 알고리즘으로 공급될 수 있는 독립 이미지의 개수를 크게 증가시킬 수 있다. 핵심 아이디어는, 퓨필의 가능한 최소의 고유 섹션들을 사용하여 별개의 획득이 수행된다는 것이다. 이것은 많은 상이한 방법들로 구현될 수 있고, 이들 중 일부가 예시될 것이다.Therefore, several ways in which the varied illumination state is extended to the angle of incidence will be described. This method can greatly increase the number of independent images that can be fed to a multi-image overlay extraction algorithm as described by equations (9) to (24). The key idea is that a separate acquisition is performed using the smallest possible unique sections of the pupil. This can be implemented in many different ways, some of which will be illustrated.

하드웨어 변화를 요구하지 않는 제 1 방법은, 현재 이용가능한 애퍼쳐들을 사용하여 이미지를 획득하는 것 및 획득된 세기를 선형 조합하여, 본질적으로 독립적인 퓨필 샘플링을 생성하는 것을 포함한다. 이것은 유효한 접근법인데, 그 이유는 이미지들이 참가하는 모든 파들의 비간섭성(incoherent) 합에 의해 형성되기 때문이다. 예를 들어, 제 1 조명 프로파일을 규정하는 제 1 애퍼쳐 플레이트를 사용하여 이미지 A가 획득될 수 있고, 제 2 조명 프로파일을 규정하는 제 2 애퍼쳐 플레이트를 사용하여 이미지 B가 획득될 수 있는데, 제 1 및 제 2 조명 프로파일은, 제 1 조명 프로파일이 전체적으로 제 2 조명 프로파일 내에 포함되도록(공간적으로) 중첩한다. 이미지들이 적합하게 정렬되면, 새로운 유도된 이미지 C를 얻기 위해서 획득된 이미지 B 및 획득된 이미지 A의 차분이 결정될 수 있다(예를 들어, 에너지 센서 판독치에 의한, 예를 들어 신중한 정규화 이후에). 이와 같이, 이미지 C는 제 1 애퍼쳐 프로파일 밖이지만 제 2 애퍼쳐 프로파일 내인 파들로부터의 정보를 (거의) 포함할 것이다. 그러므로, 획득된 이미지 A 및 유도된 이미지 C는 실질적으로 독립적이 되고, 수학식 9 내지 24에 의해 기술된 알고리즘에서 사용될 수 있는데, 여기에서 용어 λn은 이제 상이한 이미지 및 따라서 상이한 입사각을 나타낼 것이다. 이와 같이, 이용가능할 수 있는 상이한 애퍼쳐 플레이트로부터, 독립적인 퓨필 샘플링의 상이한 베이스들이 구성될 수 있다. 전술된 선형 조합의 예가 2 개의 이미지들 사이의 차분을 포함하지만, 이러한 개념이 타겟의 실제 스윙 곡선과 더 잘 매칭되는 상이한 베이스를 얻기 위해서 2 개가 넘는 이미지의 선형 조합을 사용하는 것에도 적용된다는 점에 주목할 수 있다.A first method that does not require hardware changes involves acquiring an image using currently available apertures and linearly combining the acquired intensities to generate essentially independent pupil sampling. This is a valid approach, since the images are formed by the incoherent sum of all participating waves. For example, image A may be acquired using a first aperture plate defining a first illumination profile, and image B may be acquired using a second aperture plate defining a second illumination profile, The first and second illumination profiles overlap (spatially) such that the first illumination profile is entirely contained within the second illumination profile. Once the images are properly aligned, the difference between acquired image B and acquired image A can be determined (eg by energy sensor readings, eg after careful normalization) to obtain a new derived image C. . As such, image C will (almost) contain information from waves outside the first aperture profile but within the second aperture profile. Therefore, the acquired image A and the derived image C become substantially independent and can be used in the algorithm described by equations (9) to (24), where the term λ n will now denote different images and thus different angles of incidence. As such, different bases of independent pupil sampling may be constructed from different aperture plates that may be available. Although the example of a linear combination described above involves a difference between two images, this concept also applies to using a linear combination of more than two images to obtain a different base that better matches the actual swing curve of the target. can be noted on

이러한 방법의 장점은, 각각의 측정을 위하여 사용되는 애퍼쳐 플레이트가 샘플링된 영역보다 커지도록 선택될 수 있다는 것과 하드웨어 변화가 요구되지 않는다는 점인데, 이것은 블러링(blurring) 및 에지 효과가 이미지 내에서 최소로 유지된다는 것을 의미한다.The advantage of this method is that the aperture plate used for each measurement can be selected to be larger than the sampled area and no hardware changes are required, which means that blurring and edge effects are not present in the image. meant to be kept to a minimum.

다른 방법에서, 애퍼쳐 프로파일은 중첩하지 않는 다수의 프로파일을 규정하기 위해서 선택가능할 수도 있다. 예를 들어, 하나의 애퍼쳐 프로파일(또는 여러 개의 애퍼쳐 프로파일)이 조명 모드 선택기(IMS)에 추가될 수 있고, 이것은 IMS 휠을 작은 스텝으로 회전시킴으로써 퓨필에 걸쳐서 연속적으로 이동될 수 있다. 이러한 방식으로, 퓨필은 연속적으로 샘플링될 수 있고, 최적 샘플링이 식별될 수 있다. 그러면 제 1 솔루션보다 더 많은 유연성이 제공되는데, 그 이유는 샘플링되는 애퍼쳐 프로파일의 영역들이 고정되지 않기 때문이다. 또한, 이러한 작은 애퍼쳐 프로파일에 기인하는 임의의 이미지 블러링은 샘플링들에 걸쳐서 동일할 것이다. 상이한 길이의 이러한 수 개의 애퍼쳐 프로파일들이 제 1 접근법에서 설명된 것과 같은 방식으로 결합되어, 더 미세한 기반(basis)을 제공할 수 있다. 암시야 이미지를 과하게 블러링하려면, 애퍼쳐는 너무 작아져서는 안 된다는 것에 주의한다.Alternatively, the aperture profile may be selectable to define multiple non-overlapping profiles. For example, an aperture profile (or multiple aperture profiles) can be added to the illumination mode selector (IMS), which can be moved continuously across the pupil by rotating the IMS wheel in small steps. In this way, the pupil can be sampled continuously and an optimal sampling can be identified. This provides more flexibility than the first solution, since the regions of the aperture profile being sampled are not fixed. Also, any image blurring due to this small aperture profile will be the same across the samples. Several of these aperture profiles of different lengths can be combined in the same way as described in the first approach to provide a finer basis. To overblur the darkfield image, be careful not to make the aperture too small.

전술된 솔루션에는 순차적인 획득이 필요한데, 그러려면 대물 렌즈 이전의 큰 퓨필 영역이 차단되기 때문에 추가 시간이 필요하다. 그러나, 상이한 각도로 이동하는 파들을 병렬적으로 획득하는 것은, 퓨필의 상이한 부분을 감지 카메라의 상이한 영역(예를 들어, 단일-샷에서 측정된 4 개의 사분역(quadrant))으로 투영시키는 웨지 프리즘을 사용함으로써 얻어질 수 있다. 이와 같이 웨지를 사용하는 아이디어를, 퓨필 사분체의 더 작은 영역을 감지 카메라의 개별 영역에 투영시킴으로써 개별, "초-각(hyper-angular)" 측정들이 가능해지는데, 이것은 "하이퍼-스펙트럼" 셋업에서 측정되는 병렬 파장과 동의어이다. 이러한 시스템은 미세하게 세그멘트화된 웨지 또는 공간 광 변조기(SLM)에 의해 구현될 수 있고, 이것은 광의 위상을 픽셀마다 변경시킨다. SLM이 웨지를 대체하여, 동시에 샘플링될 퓨필 영역의 동적 선택이 가능하게 할 수 있다(그러면 적합한 분해능을 유지하기 위하여, 감지 카메라에 대해서 현재 사용되는 것보다 동일한 픽셀 밀도에서 더 큰 총 CCD 구역이 필요할 수 있음).The solution described above requires sequential acquisition, which requires additional time because the large pupil area before the objective is blocked. However, acquiring waves traveling at different angles in parallel is a wedge prism that projects different parts of the pupil into different areas of the sensing camera (eg, four quadrants measured in a single-shot). can be obtained by using The idea of using a wedge like this is by projecting a smaller area of the pupil quadrant onto a separate area of the sensing camera, allowing individual, "hyper-angular" measurements, which in a "hyper-spectral" setup. It is synonymous with the parallel wavelength being measured. Such a system can be implemented by a finely segmented wedge or spatial light modulator (SLM), which changes the phase of the light pixel by pixel. SLM could replace wedges, allowing dynamic selection of pupil regions to be sampled simultaneously (then, to maintain adequate resolution, a larger total CCD area would be needed at the same pixel density than currently used for sensing cameras) can).

기판 상의 상이한 타겟에 대한 파라미터 값의 거동들이 비슷하고 안정한 것으로 보인다는 것이 발견되었다. 따라서, 몇 개의 타겟으로부터의 결과를 사용하여 파라미터 최적화를 위한 검색 공간의 범위를 한정하는 것이 가능해지고, 그러면 최적화 알고리즘의 수렴 속도가 크게 빨라질 수 있다.It has been found that the behaviors of the parameter values for different targets on the substrate appear similar and stable. Therefore, it becomes possible to use the results from several targets to limit the scope of the search space for parameter optimization, and then the convergence speed of the optimization algorithm can be greatly increased.

그러므로, 도 14를 참조하면, 전술된 다파장 기법을 수반하는 방법이 개략적으로 도시된다. 1400에서, 연립 방정식이 본 명세서에서 설명된 바와 같이 제공된다. 예를 들어, 연립 방정식은 16 개 이상의 수학식을 가질 수 있고, 오버레이 및 측정 방사선 파장의 함수일 수 있다. 1410에서, 연립 방정식을 푸는 데에 사용되기 위해서 방사선 값들이 획득된다. 일 실시예에서, 방사선 값은 물리적 기판 상의 물리적 타겟으로부터 측정될 수 있다. 일 실시예에서, 방사선 값은, 계측 타겟을 조명하고 계측 타겟에 의해 재지향된 방사선을 검출하는 것을 시뮬레이션하는 시뮬레이터에 의해 결정될 수 있다. 1420에서, 방사선 값은 수학식의 하나 이상의 파라미터에 대해서 풀기 위하여 연립 방정식과 함께 사용된다. 일 실시예에서, 풀어야 할 파라미터는 오버레이이다. 일 실시예에서, 전술된 하나 이상의 최적화 기법이 사용될 수 있다. 1430에서, 풀어야 할 하나 이상의 파라미터가 적용된다. 예를 들어, 풀어야 할 파라미터는 대량으로 생산의 일부로서 결정된 오버레이일 수 있고, 예를 들어 패터닝 프로세스의 양태를 제어, 디자인 등을 하기 위해서 사용될 수 있다. 다른 예로서, 풀어야 할 파라미터는, 예를 들어 측정을 위해 사용되는 방사선 파장과 같은 계측 프로세스의 상태를 선택하기 위한, 계측 프로세스의 설계, 제어 등에서 사용되도록 결정된 오버레이일 수 있다(이러한 계측 프로세스는 수학식 1 내지 4에 대하여 설명된 기법과 같은 상이한 오버레이 계산 기법을 사용할 수 있음). 계측 프로세스의 디자인, 제어 등에서 사용되도록 결정된 오버레이를 사용하는 일 예가 도 15에 대하여 후술된다.Therefore, referring to FIG. 14 , a method involving the multi-wavelength technique described above is schematically illustrated. At 1400, a system of equations is provided as described herein. For example, the simultaneous equations may have 16 or more equations, and may be functions of overlay and measurement radiation wavelengths. At 1410, radiation values are obtained for use in solving the simultaneous equation. In one embodiment, the radiation value may be measured from a physical target on a physical substrate. In one embodiment, the radiation value may be determined by a simulator simulating illuminating a metrology target and detecting radiation redirected by the metrology target. At 1420, the radiation values are used in conjunction with a simultaneous equation to solve for one or more parameters of the equation. In one embodiment, the parameter to be solved is the overlay. In one embodiment, one or more of the optimization techniques described above may be used. At 1430, one or more parameters to be solved are applied. For example, the parameter to be solved may be an overlay determined as part of mass production, and may be used, for example, to control aspects of the patterning process, design, and the like. As another example, the parameter to be solved may be an overlay determined to be used in the design, control, etc. of the metrology process, for example to select a state of the metrology process, such as the radiation wavelength used for the measurement (these metrology processes are mathematically Different overlay calculation techniques may be used, such as the techniques described for equations 1-4). An example of using an overlay determined to be used in the design, control, etc. of a metrology process is described below with respect to FIG. 15 .

요약하자면, 회절하는 계측 타겟으로부터의 방사선 산란 문제의 여러 파라미터를 고려하는 것에 기반하는, 정확한 오버레이를 결정하기 위한 새로운 물리적 모델이 제공된다. 이러한 방법은 오버레이의 더 정확한 결정을 제공하고 및/또는 오버레이의 견실한 계산을 제공할 수 있다. 일 실시예에서, 이것은 산란 문제의 수학적인 기술에 기반하여 얻어지는데, 복수 개의 주기적 구조체의 각각의 주기적 구조체에 대하여 그리고 파 형성의 두 회절 차수들에 대하여, 이러한 파라미터 중 적어도 일부로서 복수 개의 파장 및 오버레이를 포함하는 비선형 연립 방정식의 파라미터의 세트가 형성된다. 이러한 설명과 함께, 복수 개의 방사선 값(예를 들어, 16 개의 측정되거나 시뮬레이션된 세기 값)을 얻기 위하여 복수 개의 상이한 파장(예를 들어, 4 개의 상이한 파장)에서 적어도 2 개의 주기적 구조체(2 개의 주기적 구조체는 양의 바이어스 및 음의 바이어스를 각각 가짐)의 2 개의 회절 차수를 측정하면 충분할 수 있다. 적어도 복수 개의 방사선 값 및 파장을 공지된 값으로 가지면, 비선형 연립 방정식을 풀 수 있는데, 오버레이를 포함하는 산란 문제의 여러 파라미터들은 미지수이다.In summary, a new physical model for determining accurate overlay is provided, which is based on considering several parameters of the problem of radiation scattering from a diffractive metrology target. Such a method may provide for a more accurate determination of overlay and/or provide robust computation of overlay. In one embodiment, this is obtained based on a mathematical description of the scattering problem, wherein for each periodic structure of the plurality of periodic structures and for two diffraction orders of wave formation, as at least some of these parameters a plurality of wavelengths and A set of parameters of the nonlinear system of equations including the overlay is formed. In conjunction with this description, at least two periodic structures (e.g., two periodic It may be sufficient to measure two diffraction orders of the structure (with a positive bias and a negative bias respectively). Having at least a plurality of radiation values and wavelengths as known values, a system of nonlinear equations can be solved, in which several parameters of the scattering problem, including overlay, are unknown.

더욱이, 연립 방정식은 오버레이를 계산할 때 구조적 비대칭, 스택 차이 및/또는 센서 비대칭을 고유하게 고려할 수 있다. 즉, 연립 방정식의 하나 이상의 파라미터는, 구조적 비대칭, 스택 차이 및/또는 센서 비대칭의 효과를 오버레이 값을 결정하는 데에 포함시키도록 본 명세서에서 설명된 바와 같이 구성된다. 따라서, 이러한 방법은 오버레이의 더 정확한 추정을 제공할 수 있다. 더욱이, 센서 비대칭의 경우, 이러한 방법이 본 명세서에서 설명된 바와 같은 센서 비대칭에 직결되는 하나 이상의 파라미터로 구성되면, 센서 비대칭을 제거하기 위하여 사용되는 180 도의 기판 회전으로부터의 추가적인 정보가 필요 없게 될 수 있다. 이것은 오버레이 결정이, 센서 비대칭에 직결된 하나 이상의 파라미터를 연립 방정식에 포함함으로써 센서 비대칭을 직접적으로 설명할 수 있기 때문이다.Moreover, simultaneous equations may uniquely take into account structural asymmetries, stack differences, and/or sensor asymmetries when calculating overlays. That is, one or more parameters of the simultaneous equations are configured as described herein to include the effects of structural asymmetry, stack difference, and/or sensor asymmetry in determining the overlay value. Thus, this method can provide a more accurate estimate of the overlay. Moreover, in the case of sensor asymmetry, if this method consists of one or more parameters directly related to the sensor asymmetry as described herein, additional information from the 180 degree substrate rotation used to eliminate the sensor asymmetry may be eliminated. have. This is because the overlay decision can directly account for sensor asymmetry by including one or more parameters directly related to the sensor asymmetry in the simultaneous equation.

위에서 언급된 바와 같이, 본 명세서에서 설명되는 기법은 계측 장치에 대한 디폴트 오버레이 계산 방법으로서 사용될 수 있다(예를 들어, 수학식 2 또는 수학식 4를 사용하는 대신에). 쓰루풋의 경우, 이러한 기법을 사용하는 것은, 예를 들어 파장들 사이의 스위칭이 충분히 빠르고 및/또는 연립 방정식을 풀이하는 것이 충분히 빠르다면, 가능할 수 있다. 하드웨어가 바하면, 다수의 파장에서의 실제 측정이 상이한 파장들 사이에서 스위칭하기 위한 고속 하드웨어 스위치를 사용하여 가능해진다. 추가하여, 본 명세서에서 설명된 기법들을 풀이하면, 예를 들어 오버레이 값을 얻기 위해 비선형 연립 방정식을 풀이하는 것에 있어서 속도 개선이 이루어질 수 있다. 그러므로, 이러한 기법은 디폴트 오버레이 계산 방법으로서 유망하다.As noted above, the techniques described herein may be used as a default overlay calculation method for a metrology device (eg, instead of using Equation 2 or Equation 4). In the case of throughput, using this technique may be possible if, for example, switching between wavelengths is fast enough and/or solving the simultaneous equations is fast enough. Given the hardware, actual measurements at multiple wavelengths are possible using high-speed hardware switches to switch between different wavelengths. In addition, solving the techniques described herein may result in speed improvements in solving simultaneous nonlinear equations to obtain overlay values, for example. Therefore, this technique is promising as a default overlay calculation method.

더 나아가, 타겟의 측정 정확도 및/또는 감도는 타겟 자체의 하나 이상의 속성 및/또는 타겟 상에 제공되는 측정 방사선의 하나 이상의 속성, 예를 들어 방사선의 파장, 방사선의 편광, 및/또는 방사선의 세기 분포(즉, 각도 또는 공간적 세기 분포)에 대해서 변할 수 있다. 일 실시예에서, 방사선의 파장 범위는 소정 범위 중에서 선택된 하나 이상의 파장(예를 들어, 약 400 nm 내지 900 nm의 범위 중에서 선택됨)으로 한정된다. 더 나아가, 예를 들어 복수 개의 상이한 애퍼쳐를 사용하면 방사선 빔의 상이한 편광의 셀렉션이 제공될 수 있고 다양한 조명 형상이 제공될 수 있다.Furthermore, the measurement accuracy and/or sensitivity of the target depends on one or more properties of the target itself and/or one or more properties of the measurement radiation provided on the target, such as the wavelength of the radiation, the polarization of the radiation, and/or the intensity of the radiation. may vary with respect to a distribution (ie, an angular or spatial intensity distribution). In one embodiment, the wavelength range of the radiation is limited to one or more wavelengths selected from a range (eg, selected from the range of about 400 nm to 900 nm). Furthermore, for example, using a plurality of different apertures may provide a selection of different polarizations of the radiation beam and provide a variety of illumination shapes.

그러므로, 이러한 선택 및 측정을 가능하게 하려면, 측정 시스템을 사용하는 측정의 하나 이상의 파라미터를 규정하는 계측 레시피가 사용될 수 있다. 일 실시예에서, "계측 레시피"라는 용어는 측정 자체의 하나 이상의 파라미터, 측정된 타겟의 패턴의 하나 이상의 파라미터, 또는 양자 모두를 포함할 수 있다.Therefore, to enable such selection and measurement, a metrology recipe can be used that specifies one or more parameters of the measurement using the measurement system. In one embodiment, the term “metering recipe” may include one or more parameters of the measurement itself, one or more parameters of the pattern of the measured target, or both.

이러한 콘텍스트에서, 측정된 타겟의 패턴("타겟" 또는 "타겟 구조체"라고도 불림)은 광학적으로 측정되는, 예를 들어 그 회절이 측정되는 패턴일 수 있다. 측정된 타겟 패턴은 측정 목적을 위해 특별하게 설계되거나 선택된 패턴일 수 있다. 하나의 타겟의 다수의 복제본이 기판 상의 여러 위치에 배치될 수 있다.In this context, a measured pattern of a target (also called a “target” or “target structure”) may be a pattern that is optically measured, eg, its diffraction is measured. The measured target pattern may be a specially designed or selected pattern for the measurement purpose. Multiple copies of one target may be placed at various locations on the substrate.

일 실시예에서, 계측 레시피가 측정 자체의 하나 이상의 파라미터를 가지면, 측정 자체의 하나 이상의 파라미터는 측정에 사용되는 측정 빔 및/또는 측정 장치의 하나 이상의 파라미터를 포함할 수 있다. 예를 들어, 계측 레시피에서 사용된 측정이 회절-기반 광학 측정이라면, 측정 자체의 하나 이상의 파라미터는 측정 방사선의 파장, 및/또는 측정 방사선의 편광, 및/또는 측정 방사선 세기 분포, 및/또는 기판에 대한 측정 방사선의 조명 각도(예를 들어, 입사각, 아지무스 각도 등), 및/또는 회절된 측정 방사선의 기판 상의 패턴에 대한 상대 배향, 및/또는 타겟의 여러 측정된 포인트 또는 인스턴스, 및/또는 기판 상에서 측정되는 타겟의 인스턴스의 위치를 포함할 수 있다. 측정 자체의 하나 이상의 파라미터는 측정에 사용된 계측 장치의 하나 이상의 파라미터를 포함할 수 있는데, 이것은 검출기 감도, 개구수 등을 포함할 수 있다.In an embodiment, if the metrology recipe has one or more parameters of the measurement itself, the one or more parameters of the measurement itself may include one or more parameters of the measurement beam and/or measurement device used for the measurement. For example, if the measurement used in the metrology recipe is a diffraction-based optical measurement, then one or more parameters of the measurement itself are the wavelength of the measurement radiation, and/or the polarization of the measurement radiation, and/or the measurement radiation intensity distribution, and/or the substrate. the illumination angle (eg, angle of incidence, azimuth angle, etc.) of the measuring radiation with respect to, and/or the relative orientation of the diffracted measuring radiation to the pattern on the substrate, and/or several measured points or instances of the target, and/or or the location of the instance of the target being measured on the substrate. The one or more parameters of the measurement itself may include one or more parameters of a metrology device used for the measurement, which may include detector sensitivity, numerical aperture, and the like.

일 실시예에서, 계측 레시피가 측정되는 패턴의 하나 이상의 파라미터를 포함한다면, 측정되는 패턴의 하나 이상의 파라미터는, 하나 이상의 기하학적 특성(예컨대 패턴의 적어도 일부의 형상, 및/또는 패턴의 적어도 일부의 배향, 및/또는 패턴의 적어도 일부의 피치(예를 들어, 하부 주기적 구조체의 층 위의 층예 있는 상부 주기적 구조체의 피치 및/또는 하부 주기적 구조체의 피치), 및/또는 패턴의 적어도 일부의 크기(예를 들어, CD)(예를 들어, 상부 주기적 구조체 및/또는 하부 주기적 구조체의 피쳐의 CD를 포함한, 주기적 구조체의 피쳐의 CD), 및/또는 패턴의 피쳐의 세그먼트화(예를 들어, 주기적 구조체의 피쳐를 하부-구조체로 분할하는 것), 및/또는 주기적 구조체 또는 주기적 구조체의 피쳐의 길이), 및/또는 패턴의 적어도 일부의 재료 속성(예를 들어, 굴절률, 소광 계수, 재료 타입 등), 및/또는 패턴의 식별(예를 들어, 어떤 패턴이 다른 패턴과 르다고 구별하는 것) 등을 포함할 수 있다.In an embodiment, if the metrology recipe includes one or more parameters of the pattern being measured, the one or more parameters of the pattern being measured may include one or more geometric characteristics (eg, a shape of at least a portion of the pattern, and/or an orientation of at least a portion of the pattern). , and/or a pitch of at least a portion of the pattern (eg, a pitch of an upper periodic structure layered above a layer of a lower periodic structure and/or a pitch of a lower periodic structure), and/or a size of at least a portion of the pattern (eg, a pitch of the lower periodic structure) For example, CDs) (eg, CDs of features of periodic structures, including CDs of features of upper periodic structures and/or lower periodic structures), and/or segmentation of features of the pattern (eg, periodic structures) dividing the features of sub-structures into sub-structures), and/or the length of the periodic structure or features of the periodic structure), and/or material properties of at least a portion of the pattern (e.g., refractive index, extinction coefficient, material type, etc.) , and/or identification of a pattern (eg, distinguishing one pattern from another), and the like.

측정 레시피는 수학식:

Figure 112019122472226-pct00069
으로 표현될 수 있는데, 여기에서
Figure 112019122472226-pct00070
는 측정의 하나 이상의 파라미터이고
Figure 112019122472226-pct00071
는 측정된 하나 이상의 패턴의 하나 이상의 파라미터이다. 이해될 수 있는 것처럼, nm은 1일 수 있다. 더 나아가, 계측 레시피는 측정의 하나 이상의 파라미터 및 측정된 하나 이상의 패턴의 하나 이상의 파라미터 양자 모두를 가져야 하는 것은 아니다; 이것은의 하나 이상의 파라미터만을 가지거나 측정된 하나 이상의 패턴의 하나 이상의 파라미터만을 가질 수 있다.The measurement recipe is:
Figure 112019122472226-pct00069
can be expressed as, where
Figure 112019122472226-pct00070
is one or more parameters of the measurement and
Figure 112019122472226-pct00071
is one or more parameters of the one or more patterns measured. As can be appreciated, n and m may be 1. Furthermore, a metrology recipe does not have to have both the one or more parameters of the measurement and the one or more parameters of the one or more patterns measured; It may have only one or more parameters of or only one or more parameters of the one or more patterns measured.

타겟은, 예를 들어 타겟이 측정되는 스테이지가 다르고(예를 들어, A는 타겟이 잠상 구조체를 포함하는 경우에 측정하고 B는 타겟이 잠상 구조체를 포함하지 않는 경우에 측정함) 및/또는 그들의 측정의 파라미터에 있어서 다른 두 개의 계측 레시피 A 및 B를 사용한 측정을 거칠 수 있다. 계측 레시피 A 및 B는 적어도 측정되는 타겟에 있어서 다를 수 있다(예를 들어, A는 제 1 타겟을 측정하고 B는 상이한 제 2 타겟을 측정함). 계측 레시피 A 및 B는 그들의 측정 및 타겟 측정의 파라미터에 있어서 다를 수 있다. 측정 레시피 A 및 B는 심지어 동일한 측정 기법에 기반하지 않을 수도 있다. 예를 들어, 레시피 A는 산란 기반 측정에 기반할 수 있고 레시피 B는 주사 전자 현미경(AFM) 또는 원자력 현미경(AFM) 측정에 기반할 수 있다.The target is different, for example, at a stage at which the target is measured (eg, A measures when the target includes a latent image structure and B measures when the target does not include a latent image structure) and/or their Measurements using two different metrology recipes A and B can be made in terms of the parameters of the measurement. Metrology recipes A and B may differ at least in terms of the target being measured (eg, A measures a first target and B measures a different second target). Metrology recipes A and B may differ in the parameters of their measurements and target measurements. Measurement recipes A and B may not even be based on the same measurement technique. For example, recipe A may be based on scattering based measurements and recipe B may be based on scanning electron microscopy (AFM) or atomic force microscopy (AFM) measurements.

그러므로, 본 명세서에서 설명되는 다중 파장 기법의 다른 가능한 애플리케이션은, 예를 들어 대량 또는 생산 측정 이전에 수행된 레시피 선택을 위한 것이다. 따라서, 이러한 기법은 계측 레시피 선택을 위한 레퍼런스로서 정확한 오버레이를 제공하기 위하여 사용될 수 있다. 즉, 예를 들어 프로세스 변이에 대해 견실한 요구되는 및/또는 프로세스 파라미터의 측정 값을 제공하는 더 정확한 프로세스 파라미터 측정을 획득하기 위해서는, 계측 레시피(타겟-측정 파라미터 조합)의 바람직하게는 최적 선택에 도달하는 것이 바람직할 것이다.Therefore, another possible application of the multi-wavelength technique described herein is, for example, for recipe selection performed prior to mass or production measurements. Thus, this technique can be used to provide an accurate overlay as a reference for metrology recipe selection. That is, the preferably optimal selection of the metrology recipe (target-measurement parameter combination) is necessary, for example, in order to obtain more accurate process parameter measurements that provide robust measurements of the required and/or process parameters for process variations. It would be desirable to reach

따라서, 일 실시예에서, 요구되는 및/또는 프로세스 변이에 견실한 요구되는 프로세스 파라미터의 측정 값을 제공하는 프로세스 파라미터(예를 들어, 오버레이)를 제공할 하나 이상의 계측 레시피를 결정하려면, 전술된 다중 파장 기법이 이러한 하나 이상의 정확한 및/또는 견실한 계측 레시피를 식별하기 위해서 사용될 수 있다.Thus, in one embodiment, in order to determine one or more metrology recipes that will provide a process parameter (eg, an overlay) that provides a measure of the desired process parameter that is robust to the desired and/or process variation, the multiple Wavelength techniques may be used to identify one or more such accurate and/or robust metrology recipes.

도 15를 참조하면, 계측 레시피 선택의 방법의 일 실시예가 개략적으로 도시된다. 본질적으로, 이러한 방법은, 제 1 패터닝 프로세스 파라미터 결정 기법(예컨대 전술된 다중 파장 기법)을 사용하여 측정 방사선에 의해 조명되는 계측 타겟으로부터 패터닝 프로세스 파라미터의 제 1 값(예컨대 오버레이)을 결정하는 것, 제 1 패터닝 프로세스 파라미터 결정 기법과 다른 제 2 패터닝 프로세스 파라미터 결정 기법(예컨대 수학식 1 내지 4에 대하여 전술된 기법 또는, 예를 들어 계측 장치에 의해 제공되는 다른 기법)을 사용하여 계측 타겟에 대한 패터닝 프로세스 파라미터의 복수 개의 제 2 값(예컨대 오버레이)에 도달하는 것 - 각각의 제 2 값은 측정 방사선의 상이한 파장에서 결정됨 -, 및 제 1 값 및 제 2 값에 기반하여, 계측 타겟의 측정을 위한 계측 레시피에 대한 측정 방사선 파장을 식별하는 것을 수반한다. 전술된 다중 파장 기법을 제 1 패터닝 프로세스 파라미터 결정 기법으로 사용하는 이러한 방법의 더 상세한 예가 이제 도 15와 관련하여 설명될 것이다.15 , one embodiment of a method of metrology recipe selection is schematically illustrated. Essentially, this method comprises determining a first value (eg overlay) of a patterning process parameter from a metrology target illuminated by measurement radiation using a first patterning process parameter determination technique (eg the multi-wavelength technique described above); Patterning on a metrology target using a second patterning process parameter determination technique that is different from the first patterning process parameter determination technique (eg, the technique described above with respect to equations 1-4 or, for example, another technique provided by the metrology apparatus) reaching a plurality of second values (eg overlays) of the process parameter, each second value being determined at a different wavelength of the measurement radiation, and based on the first value and the second value, for measurement of the metrology target It involves identifying the measurement radiation wavelength for the metrology recipe. A more detailed example of this method using the multi-wavelength technique described above as the first patterning process parameter determination technique will now be described with respect to FIG. 15 .

1450에서, 선택적으로, 측정 방사선의 파장들의 더 큰 세트로부터 측정 방사선의 복수 개의 파장을 선택하도록 사전 선택이 수행된다. 이러한 사전 선택의 예들이 지금부터 설명될 것이다.At 1450 , optionally, a preselection is performed to select a plurality of wavelengths of measurement radiation from a larger set of wavelengths of measurement radiation. Examples of such pre-selection will now be described.

1460에서, 복수 개의 파장(예를 들어, 1450에서 미리-선택된 파장 또는 그렇지 않으면 제공된 복수 개의 파장)이 전술된 바와 같은 다수의 파장 연립 방정식(예를 들어, 제 1 오버레이 결정 기법)과 조합되어 사용되어 오버레이의 제 1 값에 도달한다. 이해될 수 있는 것처럼, 파장의 개수는 연립 방정식이 설정되는 것들에 매칭되어야 한다. 더 많은 것들이 있으면, 복수 개의 파장 중에서 연립 방정식에 대해서 필요한 개수와 매칭되는 최선의 파장의 셀렉션이 선택될 수 있다. 일 실시예에서, 사전 선택 기법은 최선의 파장의 선택을 가능하게 하기 위하여 각각의 파장에 대한 메트릭을 제공했다. 또는, 연립 방정식에서 사용되는 다수의 파장의 다양한 서브-조합이 선택될 수 있고, 오버레이의 제 1 값이 오버레이의 복수 개의 제 1 값에 도달하도록 계산될 수 있다(그러면 이러한 값은 오버레이의 평균 제 1 값을 획득하도록 별개로 또는 통계적으로 결합되어, 예를 들어 평균화되어 사용될 수 있음). 연립 방정식과 함께 사용되는 방사선 값은 시뮬레이션된 값 또는 물리적으로 측정된 값일 수 있다.At 1460 , the plurality of wavelengths (eg, the wavelengths pre-selected or otherwise provided at 1450 ) are used in combination with a simultaneous multi-wavelength equation (eg, the first overlay determination technique) as described above. to reach the first value of the overlay. As can be appreciated, the number of wavelengths must match those for which the simultaneous equations are established. If there are more, a selection of the best wavelengths matching the required number for the simultaneous equation among the plurality of wavelengths can be selected. In one embodiment, the pre-selection technique provided a metric for each wavelength to enable selection of the best wavelength. Alternatively, various sub-combinations of the plurality of wavelengths used in the simultaneous equations may be selected, and a first value of the overlay may be calculated to arrive at the plurality of first values of the overlay (then this value is the average second value of the overlay) may be used separately or statistically combined, eg averaged, to obtain a value of 1). The radiation values used in conjunction with the simultaneous equations may be simulated values or physically measured values.

1470에서, 예를 들어 계측 장치가 어떤 특정 파장을 제공하는 경우는, 수학식 1 내지 4에 관하여 전술된 오버레이 결정 기법 또는, 예를 들어 계측 장치에 의해 제공되는 다른 오버레이 결정 기법(예를 들어, 제 2 오버레이 결정 기법)이 복수 개의 파장들 각각에서의 계측 타겟에 대한 오버레이의 제 2 값을 유도하기 위해서 사용된다. 일 실시예에서, 그러한 파장은 계측 레시피가 선택되는 대상인 계측 장치에 의해 제공된 파장들 전부이거나 그 서브세트이다. 일 실시예에서, 그러한 파장은 1460에서 사용된 파장 전부이거나 그 서브세트이다. 제 2 오버레이 결정 기법에서 사용된 방사선 값은 시뮬레이션된 값 또는 물리적으로 측정된 값일 수 있다.At 1470 , for example, if the metrology device provides some particular wavelength, the overlay determination technique described above with respect to equations 1-4 or another overlay determination technique, eg, provided by the metrology device (eg, A second overlay determination technique) is used to derive a second value of overlay for the metrology target at each of the plurality of wavelengths. In one embodiment, such wavelengths are all or a subset of the wavelengths provided by the metrology device for which the metrology recipe is selected. In one embodiment, such wavelengths are all or a subset of the wavelengths used at 1460. The radiation value used in the second overlay determination technique may be a simulated value or a physically measured value.

그러면 제 2 값을 사용하여, 오버레이의 제 2 값이 오버레이의 제 1 값에 가장 가까운 파장이 선택된다. 그러므로, 일 실시예에서, 측정 레시피 파장을 식별하는 것은, 제 2 오버레이 결정 기법(예를 들어, 수학식 1 내지 4에 관하여 전술된 오버레이 결정 기법 또는, 예를 들어 계측 장치에 의해 제공된 다른 오버레이 결정 기법)을 사용하여 결정된 제 2 값 중 어떤 것이 제 1 오버레이 결정 기법(예컨대 전술된 다중 파장 기법)을 사용하여 결정된 제 1 값에 가장 가까운지 식별하는 것을 포함하고, 그러면 계측 레시피에 대한 식별된 측정 파장이 가장 가까운 제 2 값과 연관된 측정 방사선 파장이다. 일 실시예에서, 두 개 이상의 파장이 식별될 수 있다.Then, using the second value, the wavelength whose second value of the overlay is closest to the first value of the overlay is selected. Thus, in one embodiment, identifying the measurement recipe wavelength may include a second overlay determination technique (eg, the overlay determination technique described above with respect to equations 1-4, or other overlay determination provided by the metrology device, for example) and identifying which of the second values determined using the first overlay determination technique (eg, the multi-wavelength technique described above) is closest to the first value determined using the first overlay determination technique, and then the identified measurement for the metrology recipe. The wavelength is the measurement radiation wavelength associated with the nearest second value. In one embodiment, more than one wavelength may be identified.

1480에서, 예를 들어 계측 장치가 소정 범위의 파장을 가지는 파장을 탄력적으로 제공할 수 있는 경우에는(예를 들어, 파장들의 연속 범위 중에서 특정 파장을 튜닝함) 수학식 1 내지 4에 관하여 전술된 오버레이 결정 기법 또는, 예를 들어 계측 장치에 의해 제공되는 다른 오버레이 결정 기법(예를 들어, 제 2 오버레이 결정 기법)이 복수 개의 파장들 각각에서의 계측 타겟에 대한 오버레이의 제 2 값을 유도하기 위해서 사용된다. 일 실시예에서, 그러한 파장은 계측 레시피가 선택되는 대상인 계측 장치에 의해 제공된 파장의 범위에 걸친 파장들의 샘플링(예를 들어, 균일한 샘플링)이다. 일 실시예에서, 그러한 파장은 1460에서 사용된 파장 전부이거나 그 서브세트이다. 제 2 오버레이 결정 기법에서 사용된 방사선 값은 시뮬레이션된 값 또는 물리적으로 측정된 값일 수 있다.At 1480, for example, if the metrology device is capable of providing a wavelength having a range of wavelengths flexibly (e.g., tuning a specific wavelength among a continuous range of wavelengths) as described above with respect to equations 1-4 an overlay determination technique, or another overlay determination technique (eg, a second overlay determination technique) provided, for example, by the metrology apparatus to derive a second value of overlay for the metrology target at each of the plurality of wavelengths used In one embodiment, such a wavelength is a sampling (eg, uniform sampling) of wavelengths over a range of wavelengths provided by the metrology device for which the metrology recipe is selected. In one embodiment, such wavelengths are all or a subset of the wavelengths used at 1460. The radiation value used in the second overlay determination technique may be a simulated value or a physically measured value.

이렇게 제 2 값이 있으면, 제 2 값은 파장의 함수로서 근사화된다. 그러면, 최적의 파장이 오버레이의 제 1 값과 같거나 가장 가까운 제 2 값을 가지는 이러한 근사화로부터 외삽되거나 보간된다. 그러므로, 일 실시예에서, 측정 레시피 파장을 식별하는 것은, 제 2 오버레이 결정 기법(예를 들어, 수학식 1 내지 4에 관하여 전술된 오버레이 결정 기법 또는, 예를 들어 계측 장치에 의해 제공되는 다른 오버레이 결정 기법)을 사용하여 결정된 제 2 값을 측정 방사선 파장의 함수로서 근사화하고, 그러한 근사화로부터, 제 1 오버레이 결정 기법(예컨대 전술된 다중 파장 기법)을 사용하여 결정된 제 1 값에 가장 가깝거나 동일한 오버레이의 값을 가지는 식별된 측정 파장을 외삽 또는 보간하는 것을 포함한다. 일 실시예에서, 두 개 이상의 파장이 식별될 수 있다.Given this second value, the second value is approximated as a function of wavelength. The optimal wavelength is then extrapolated or interpolated from this approximation having a second value that is equal to or closest to the first value of the overlay. Thus, in one embodiment, identifying the measurement recipe wavelength may include a second overlay determination technique (eg, the overlay determination technique described above with respect to equations 1-4, or other overlay provided by, for example, the metrology device). a second value determined using a determination technique) is approximated as a function of the wavelength of the measurement radiation, and from that approximation, an overlay closest to or equal to the first value determined using the first overlay determination technique (such as the multi-wavelength technique described above) extrapolating or interpolating the identified measurement wavelength having a value of . In one embodiment, more than one wavelength may be identified.

1490에서, 하나 이상의 계측 레시피가 계측 타겟의 계측 프로세스와 사용되도록 출력되고, 여기에서 하나 이상의 계측 레시피 각각은 1460, 1470 또는 1480으로부터 식별된 파장을 가진다. 일 실시예에서, 하나 이상의 계측 레시피는 1470 또는 1480으로부터 식별된 파장을 가지고, 제 2 오버레이 결정 기법(예를 들어, 수학식 1 내지 4에 관하여 전술된 오버레이 결정 기법 또는, 예를 들어 계측 장치에 의해 제공되는 다른 오버레이 결정 기법(예를 들어, 제 2 오버레이 결정 기법))을 사용하여 오버레이를 결정하는 계측 프로세스와 함께 사용되기 위한 것이다. 일 실시예에서, 복수 개의 계측 레시피가 제공되고, 1460, 1470 또는 1480으로부터 식별된 파장을 가지며, 제 1 오버레이 결정 기법(예를 들어, 전술된 다중 파장 기법)을 사용하여 오버레이를 결정하는 계측 프로세스와 함께 사용되기 위한 것이다.At 1490 , one or more metrology recipes are output for use with a metrology process of a metrology target, wherein each of the one or more metrology recipes has a wavelength identified from 1460 , 1470 , or 1480 . In one embodiment, the one or more metrology recipes have a wavelength identified from 1470 or 1480, and include a second overlay determination technique (eg, the overlay determination technique described above with respect to equations 1-4 or, eg, to a metrology device). It is intended for use in conjunction with a metrology process that determines overlay using another overlay determination technique provided by (eg, a second overlay determination technique). In one embodiment, a metrology process in which a plurality of metrology recipes are provided, having wavelengths identified from 1460, 1470, or 1480, and determining an overlay using a first overlay determination technique (eg, the multi-wavelength technique described above). It is intended to be used with

도 16은 성능을 모니터링하고, 계측을 제어하기 위한 기반으로서 디자인 및/또는 생산 프로세스를 모니터링하기 위해 계측 레시피가 사용되는 프로세스를 예시하는 흐름도를 보여준다. 단계 D1에서, 기판은 적용가능한 계측 레시피에 따라서 처리되어 본 명세서에서 설명된 바와 같은 제품 피쳐 및 하나 이상의 계측 타겟을 생성한다. 단계 D2에서, 패터닝 프로세스 파라미터(예를 들어, 오버레이) 값은, 적용가능하다면, 계측 레시피의 하나 이상의 측정 파라미터를 사용하여 측정되고, 예를 들어 도 6 또는 도 10의 방법을 사용하여 계산된다. 선택적인 단계 D3에서, 측정된 패터닝 프로세스 파라미터(예를 들어, 오버레이) 값은(이용가능할 수 있는 다른 정보와 함께) 계측 레시피를 업데이트하기 위하여 사용될 수 있다(예를 들어, 본 명세서에서 설명된 바와 같은 방법을 사용하여 파장을 변경시킴). 업데이트된 계측 레시피는 패터닝 프로세스 파라미터의 재측정을 위하여, 및/또는 후속 처리된 기판 상의 패터닝 프로세스 파라미터를 측정하기 위하여 사용된다. 이러한 방식으로, 계산된 패터닝 프로세스 파라미터의 정확도가 개선된다. 업데이트 프로세스는 필요한 경우 자동화될 수 있다. 단계 D4에서, 패터닝 프로세스 파라미터 값은 추가적인 기판의 재작업 및/또는 처리를 위해 디바이스 제조 프로세스 내의 리소그래피 패터닝 단계 및/또는 다른 프로세스 단계를 제어하는 레시피를 업데이트하기 위해 사용된다. 다시 말하건대 이러한 업데이트는 필요한 경우 자동화될 수 있다.16 shows a flow diagram illustrating a process in which metrology recipes are used to monitor design and/or production processes as a basis for monitoring performance and controlling metrology. In step D1, the substrate is processed according to the applicable metrology recipe to create product features and one or more metrology targets as described herein. In step D2, patterning process parameter (eg, overlay) values are measured using one or more measurement parameters of the metrology recipe, if applicable, and calculated using, for example, the method of FIG. 6 or FIG. 10 . In optional step D3, the measured patterning process parameter (eg, overlay) values may be used (along with other information that may be available) to update the metrology recipe (eg, as described herein). change the wavelength using the same method). The updated metrology recipe is used for re-measurement of the patterning process parameter and/or for measuring the patterning process parameter on a subsequently processed substrate. In this way, the accuracy of the calculated patterning process parameters is improved. The update process can be automated if necessary. In step D4, the patterning process parameter values are used to update recipes controlling lithographic patterning steps and/or other process steps within the device fabrication process for further substrate rework and/or processing. Again, these updates can be automated if needed.

위에서 언급된 바와 같이, 사전 선택은 어떤 파장에 도달하기 위해서 사용될 수 있다. 다양한 단계들이 이러한 사전 선택을 위해서 이제부터 차례대로 설명되지만, 이들은 반드시 그러한 시퀀스로 수행되어야 하는 것은 아니다. 더 나아가, 모든 단계들이 수행되어야 하는 것도 아니다. 예를 들어, 단계 중 하나 이상이 수행될 수 있다. 그러므로, 단계 중에서 선택된 임의의 조합이 수행될 수 있다.As mentioned above, preselection can be used to reach any wavelength. Various steps are now described in turn for this preselection, but they do not necessarily have to be performed in such a sequence. Furthermore, not all steps have to be performed. For example, one or more of the steps may be performed. Therefore, any combination selected among the steps may be performed.

사전 선택은 복수 개의 상이한 파장에 대하여 계측 타겟에 대한 오버레이 데이터를 분석하는 것을 수반할 수 있다. 데이터는 실험적으로 또는 타겟을 사용한 생산 측정으로부터 획득될 수 있다. 예를 들어, 고려 대상인 타겟의 복수 개의 인스턴스가, 타겟이 사용될 대상인 패터닝 프로세스를 사용하여 기판에 걸쳐 인쇄될 수 있고, 그리고 각각의 인스턴스가 적용가능한 계측 장치로써 복수 개의 상이한 설정(예를 들어, 상이한 파장)에서 측정될 수 있다. 추가적으로 또는 대안적으로, 타겟을 측정하기 위하여 계측 레시피를 사용하는 것으로부터 얻어지는 오버레이 측정이 시뮬레이션될 수 있다. 시뮬레이션에서, 측정의 하나 이상의 파라미터는 파라미터 및/또는 계측 레시피의 파라미터들

Figure 112019122472226-pct00072
및/또는
Figure 112019122472226-pct00073
을 사용하여 결정된다(예를 들어 제공되거나 결정됨). 예를 들어, 계측 레시피에 대응하는 방사선과 타겟 사이의 상호작용은, 예를 들어 맥스웰 솔버 및 정밀 결합파 분석(RCWA)을 사용하여 또는 다른 수학적 모델링에 의하여, 계측 레시피의 그러한 파라미터로부터 결정될 수 있다. 그러므로, 타겟 및 연관된 계측 레시피를 사용하여 기대된 측정이 그러한 상호작용으로부터 결정될 수 있다. 그러므로, 어떤 경우에는, 예를 들어 강한 신호를 제공하는 타겟을 결정하기 위하여, 데이터가 측정 프로세스의 시뮬레이터를 사용하여 얻어질 수 있다; 시뮬레이터는 특정 특성의 특정 타겟(예를 들어, 피치, 피쳐 폭, 재료 타입 등에 관하여 특정된 타겟)이, 예를 들어 도 7의 장치의 검출기에서 측정될 세기를, 예를 들어 계산함으로써, 검사 장치의 측정 기법(예를 들어, 회절-기반 오버레이 측정)에 따라 계측 장치를 사용하여 어떻게 측정될지를 수학적으로 유도할 수 있다. 견실성 데이터를 얻기 위하여, 시뮬레이터는 프로세스 변동(기판에 걸쳐서 확장될 수 있음)을 모사하기 위하여 특정 범위(예를 들어, 10% 까지의 변화, 5% 까지의 변화, 2% 까지의 변화, 1% 까지의 변화, 또는 0.5% 까지의 변화) 내의 섭동을 도입할 수 있다.Preselection may involve analyzing overlay data for a metrology target for a plurality of different wavelengths. Data may be obtained experimentally or from production measurements using targets. For example, a plurality of instances of a target under consideration may be printed across a substrate using a patterning process for which the target is to be used, and each instance may be printed in a plurality of different settings (e.g., different wavelength) can be measured. Additionally or alternatively, overlay measurements resulting from using a metrology recipe to measure a target may be simulated. In the simulation, one or more parameters of the measurement are parameters and/or parameters of the metrology recipe.
Figure 112019122472226-pct00072
and/or
Figure 112019122472226-pct00073
is determined using (eg provided or determined). For example, the interaction between the target and the radiation corresponding to the metrology recipe may be determined from those parameters of the metrology recipe, for example using Maxwell's solver and precision coupled wave analysis (RCWA) or by other mathematical modeling. Therefore, an expected measurement using the target and associated metrology recipe can be determined from that interaction. Thus, in some cases, data may be obtained using a simulator of the measurement process, for example to determine a target providing a strong signal; The simulator is an inspection device by, for example, calculating the intensity at which a specific target of a specific characteristic (eg a target specified with respect to pitch, feature width, material type, etc.) will be measured, eg at the detector of the device of FIG. 7 . It is possible to derive mathematically how it will be measured using a metrology device according to a measurement technique (eg, diffraction-based overlay measurement) of . To obtain robustness data, the simulator is used to simulate process variations (which can be scaled across substrates) in a specific range (e.g., up to 10% change, up to 5% change, up to 2% change, 1 % change, or change up to 0.5%) can be introduced.

그러므로, 실험적 방법 또는 시뮬레이션은, 예를 들어 전술된 공식을 사용하여 특정 파라미터 또는 표시자 예컨대 OV, K 등에 대한 값을 제공할 수 있다.Thus, an empirical method or simulation may provide a value for a particular parameter or indicator such as OV, K, etc. using, for example, the formulas described above.

이러한 한 표시자는 스택 감도(stack sensitivity; SS)(신호 콘트라스트라고도 여겨짐)이다. 스택 감도는 타겟(예를 들어, 격자) 층들 사이의 회절때문에 오버레이가 변경될 때 신호의 세기가 얼마나 변하는지의 척도라고 이해될 수 있다. 즉, 오버레이 콘텍스트에서, 이것은 오버레이 타겟의 상부 주기적 구조체와 하부 주기적 구조체 사이의 콘트라스트를 검출하고, 따라서 상부 및 하부 주기적 구조체 사이의 회절 효율들 사이의 균형을 나타낸다. 따라서, 이것은 측정의 감도의 예시적인 척도이다. 일 실시예에서, 스택 감도는 세기 비대칭과 평균 세기 사이의 비율이다. 일 실시예에서, 스택 감도는 SS = K L / IM으로 공식화될 수 있는데, L은 사용자 규정 상수이고(예를 들어, 일 실시예에서, 값 L은 20 nm이고 및/또는 바이어스의 값은 d임) 그리고 IM은 타겟에 의해 회절된 측정 빔의 평균 세기이다. 일 실시예에서, 어떤 계측 레시피에 대한 스택 감도는 최대화되어야 한다. 그러나, 최대 스택 감도를 가지는 계측 레시피를 사용하는 것이 최선이 아닐 수 있다는 것이 발견되었다. 예를 들어, 스택 감도가 최대가 되는 측정 빔 파장은 낮은 오버레이 감도 및 열악한 프로세스 견실성에 대응할 수 있다.One such indicator is stack sensitivity (SS) (also referred to as signal contrast). Stack sensitivity can be understood as a measure of how much the intensity of a signal changes when the overlay is changed due to diffraction between target (eg, grating) layers. That is, in an overlay context, it detects the contrast between the upper and lower periodic structures of the overlay target, and thus represents a balance between the diffraction efficiencies between the upper and lower periodic structures. Thus, this is an exemplary measure of the sensitivity of the measurement. In one embodiment, the stack sensitivity is the ratio between the intensity asymmetry and the average intensity. In one embodiment, the stack sensitivity may be formulated as SS = K L / I M , where L is a user-defined constant (eg, in one embodiment, the value L is 20 nm and/or the value of bias is d ) and I M is the average intensity of the measuring beam diffracted by the target. In one embodiment, the stack sensitivity for any metrology recipe should be maximized. However, it has been discovered that it may not be best to use a metrology recipe with maximum stack sensitivity. For example, the measurement beam wavelength at which the stack sensitivity is maximal may correspond to low overlay sensitivity and poor process robustness.

계측 레시피의 예가 도 17 및 도 18에 제공된다. 이러한 데이터는 측정 데이터의 의존성을 하나 이상의 계측 레시피 파라미터, 특히 측정 자체의 하나 이상의 파라미터, 예컨대 측정 빔의 파장의 함수로서 나타낼 수 있다. 일 실시예에서, 데이터는 측정된 데이터의 진동 의존성(예를 들어, 필드 데이터(이미지 평면에서) 또는 퓨필 데이터(퓨필 평면에서) 얻어진 세기)을 측정 방사선 파장의 함수로서 나타낼 수 있다. 도 17은 단일 편광(이러한 경우에, 선형 X 편광)에 대한 다양한 파장에서의 측정을 위한 타겟에 대한 데이터의 예시적인 그래프이다. 곡선은 데이터에 걸쳐 근사화되었고, 따라서 이러한 표현은 스윙 곡선이라고 불릴 수 있다. 이해될 수 있는 것처럼, 데이터만이 처리될 수 있기 때문에 그래프는 생성될 필요가 없다. 도 18은 상이한 단일 편광(이러한 경우에, 선형 X 편광)에 대한 다양한 파장에서의 측정을 위한 동일한 타겟에 대한 데이터의 그래프이다. 도 17 및 도 18 양자 모두에서, 다양한 측정 빔 파장에 대하여 스택 감도 및 오버레이 감도가 그려졌다. 더 나아가, 여기에서 편광은 선형 X 및 Y 편광이지만, 이와 다르거나 추가적인 편광일 수 있다(예컨대 좌측 타원 편광 방사선, 우측 타원 편광 방사선 등).Examples of metrology recipes are provided in FIGS. 17 and 18 . Such data may represent the dependence of the measurement data as a function of one or more metrology recipe parameters, in particular one or more parameters of the measurement itself, eg the wavelength of the measurement beam. In an embodiment, the data may represent a vibrational dependence of the measured data (eg, intensity obtained from field data (in the image plane) or pupil data (in the pupil plane) as a function of the measured radiation wavelength. 17 is an exemplary graph of data for a target for measurements at various wavelengths for a single polarization (in this case, linear X polarization). The curve was approximated over the data, so this representation can be called a swing curve. As can be appreciated, the graph does not need to be created since only data can be processed. 18 is a graph of data for the same target for measurements at various wavelengths for different single polarizations (in this case, linear X polarization). In both FIGS. 17 and 18 , stack sensitivity and overlay sensitivity are plotted for various measurement beam wavelengths. Furthermore, the polarizations herein are linear X and Y polarizations, but may be different or additional polarizations (eg left elliptically polarized radiation, right elliptically polarized radiation, etc.).

이러한 데이터를 사용하면, 하나 이상의 특정 계측 레시피(예를 들어 파장)가 고려 대상에서 제거되어, 가능한 추가적 고려 대상인 계측 레시피의 세트가 선택될 수 있게 된다. 이러한 경우에, 계측 레시피는 동일한 타겟을 공유하지만, 측정 방사선 파장에 대해서는 변한다.Using such data, one or more specific metrology recipes (eg, wavelengths) are removed from consideration, allowing a set of possible additional metrology recipes to be selected. In this case, the metrology recipe shares the same target, but varies with respect to the measurement radiation wavelength.

이제, 어떤 파장이 해당 특정 타겟에 대한 피치/파장 한계를 벗어나기 때문에 제거될 수 있다. 즉, 타겟 피쳐의 피치 및 측정 방사선 파장은, 이러한 조합에서의 측정이 효과가 없도록 한다. 이러한 하나 이상의 계측 레시피는 영역(1500)으로부터 제외된다.Now, some wavelengths can be removed because they are outside the pitch/wavelength limits for that particular target. That is, the pitch of the target features and the measurement radiation wavelength make measurements in this combination ineffective. One or more such metrology recipes are excluded from region 1500 .

이러한 선택의 가능한 양태는, 임계를 만족하거나 교차하는(즉, 스택 감도 값의 특정 범위 내에 있는) 스택 감도(예를 들어, 기판에 걸친(그 후에 복수 개의 기판에 대하여 결정될 수 있음) 타겟의 복수 개의 인스턴스들로부터 얻어진 평균 스택 감도)를 가지는 그러한 하나 이상의 계측 레시피를 선택하는 것이다. 일 실시예에서, 스택 감도는 최대화되어야 한다(하지만 위에서 논의된 바와 같이, 다른 표시자 또는 파라미터를 희생시켜서는 안 되고, 더욱이, 프로세스 변동에 대한 견실성에 영향을 줄 수 있는 스택 감도에는 상한이 존재할 수 있다). 예를 들어, 0.05 이상의 스택 감도의 절대 값을 가지는 하나 이상의 계측 레시피가 추가적 고려사항으로서 선택될 수 있다. 물론, 0.05가 사용되어야 하는 것은 아니다. 이러한 경우에 숫자가 더 크면, 더 많은 측정 레시피가 제외될 것이다. 그러므로, 이러한 경우에 스택 감도 숫자는 상대적으로 낮다. 그러므로, 선택의 이러한 양태에 의해 제외된 그러한 하나 이상의 계측 레시피는 영역(1510)으로 마킹된다(이러한 영역은 이러한 상황에서 검사 장치에 의해 이용가능한 파장에 대략적으로 대응함; 도 17 및 도 18의 곡선에 적용된 분석은, 연속 파장 범위가 이용가능하고 검사 장치가 해당 범위 내의 임의의 파장에 정밀하고 안정되게 튜닝할 수 있다면 더 정밀할 것이다).A possible aspect of this selection is a stack sensitivity (eg, a plurality of targets across a substrate (which may then be determined for a plurality of substrates)) that meets or crosses a threshold (ie, is within a certain range of stack sensitivity values). to select one or more metrology recipes such as those with an average stack sensitivity obtained from n instances. In one embodiment, the stack sensitivity should be maximized (but not at the expense of other indicators or parameters, as discussed above; furthermore, there may be an upper limit to the stack sensitivity that may affect robustness to process variations. have). For example, one or more metrology recipes having an absolute value of stack sensitivity greater than or equal to 0.05 may be selected as an additional consideration. Of course, 0.05 does not have to be used. The larger the number in this case, the more measurement recipes will be excluded. Therefore, the stack sensitivity number in this case is relatively low. Thus, one or more metrology recipes excluded by this aspect of selection are marked with regions 1510 (which regions approximately correspond to wavelengths available by the inspection apparatus in this situation; on the curves of FIGS. 17 and 18 ; The applied analysis will be more precise if a continuous wavelength range is available and the inspection apparatus can be tuned precisely and stably to any wavelength within that range).

이러한 선택의 가능한 양태는 타겟 시그마의 고려사항이다. 타겟 시그마(TS)는 타겟 전체의 복수 개의 측정된 픽셀에 대하여 측정된 파라미터(예를 들어, 오버레이)의 통계적 변동이라고 이해될 수 있다. 이론상으로는, 각각의 픽셀은 특정 타겟에 대해 검출기에 의해 동일한 파라미터 값이 판독되도록 측정되어야 한다. 그러나, 실무상, 픽셀들 사이에는 변동이 있을 수 있다. 일 실시예에서, 타겟 시그마는 표준 편차의 형태 또는 분산의 형태이다. 그러므로, 타겟 시그마의 낮은 값은, 타겟에 걸쳐서 측정된 파라미터의 바람직한 낮은 변동을 의미한다. 타겟 시그마(TS)의 높은 값은, 타겟의 인쇄에서의 문제점(예를 들어, 오형성된 격자 라인), 오염 문제점(예를 들어, 타겟 상에 입자가 큰 것), 측정 빔 스폿 위치설정의 문제점, 및/또는 타겟에 걸친 측정 빔 세기 변동의 문제점을 나타낼 수 있다.A possible aspect of this selection is a consideration of target sigma. The target sigma TS may be understood as a statistical variation of a measured parameter (eg, overlay) for a plurality of measured pixels across a target. In theory, each pixel should be measured so that the same parameter value is read by the detector for a particular target. However, in practice, there may be variations between pixels. In one embodiment, the target sigma is in the form of standard deviation or in the form of variance. Therefore, a low value of the target sigma means a desirable low variation of the measured parameter across the target. High values of target sigma (TS) indicate problems in the printing of the target (eg misformed grating lines), contamination problems (eg large particles on the target), and measurement beam spot positioning problems. , and/or measurement beam intensity variation across the target.

그러므로, 이러한 선택의 추가적인 양태는, 임계를 만족하거나 교차하는(즉, 타겟 시그마 값의 특정 범위 내에 있는) 타겟 시그마(예를 들어, 기판에 걸친(그 후에 복수 개의 기판에 대하여 결정될 수 있음) 타겟의 복수 개의 인스턴스들로부터 얻어진 평균 타겟 시그마)를 가지는 그러한 하나 이상의 계측 레시피를 선택하는 것이다. 일 실시예에서, 타겟 시그마는 최소화되어야 한다. 예를 들어, 10 nm이하의 타겟 시그마를 가진 하나 이상의 계측 레시피가 추가적으로 고려되도록 선택될 수 있다. 물론, 10 nm가 사용되어야 하는 것은 아니다. 이러한 경우에 숫자가 더 낮으면, 더 많은 계측 레시피가 제외될 것이다. 그러므로, 이러한 경우에 타겟 시그마 숫자는 상대적으로 높다. 그러므로, 선택의 이러한 양태에 의하여 제외된 그러한 하나 이상의 계측 레시피는 영역(1515)으로서 마킹된다(이러한 영역은 이러한 상황에서 검사 장치에 의하여 이용가능한 파장에 대략적으로 대응함).Therefore, an additional aspect of this selection is a target sigma (eg, a target across a substrate (which may then be determined for a plurality of substrates) that meets or crosses a threshold (ie, is within a certain range of target sigma values)). to select one or more metrology recipes with an average target sigma obtained from a plurality of instances of In one embodiment, the target sigma should be minimized. For example, one or more metrology recipes with a target sigma of 10 nm or less may be selected for further consideration. Of course, 10 nm does not have to be used. The lower the number in this case, the more metrology recipes will be excluded. Therefore, the target sigma number in this case is relatively high. Therefore, those one or more metrology recipes excluded by this aspect of selection are marked as regions 1515 (which regions approximately correspond to wavelengths available by the inspection apparatus in this situation).

예를 들어 오버레이에서의 측정된 오차를 감소시키기 위하여, 측정 상태의 세트(예를 들어, 타겟 선택, 측정 빔 파장, 측정 빔 편광 등)가 큰 오버레이 감도 K로 선택될 수 있다. 그러므로, 이러한 선택의 가능한 양태는, 임계를 만족하거나 교차하는(즉, 오버레이 감도 값의 특정 범위 내에 있는) 오버레이 감도(예를 들어, 기판에 걸친(그 후에 복수 개의 기판에 대하여 결정될 수 있음) 타겟의 복수 개의 인스턴스들로부터 얻어진 평균 오버레이 감도)를 가지는 그러한 하나 이상의 계측 레시피를 선택하는 것이다. 일 실시예에서, 오버레이 감도는 계측 레시피에 대해서 최대화되어야 한다. 예를 들어, 최고 오버레이 감도의 절대 값의 범위 내의 오버레이 감도의 절대 값을 가지는 하나 이상의 계측 레시피가 추가적으로 고려되도록 선택될 수 있다. 예를 들어, 이러한 범위는 최고 오버레이 감도 값의 35% 이내, 30% 이내, 25% 이내, 20% 이내, 15% 이내 또는 10% 이내일 수 있다. 예를 들어, 오버레이 감도 값의 로컬 최소값들 또는 최대치들의 범위 이내의 하나 이상의 계측 레시피가 선택될 수 있다. 예를 들어, 이러한 범위는 로컬 최소값들 또는 최대치들의 35% 이내, 30% 이내, 25% 이내, 20% 이내, 15% 이내 또는 10% 이내일 수 있다. 물론, 상이한 범위가 사용될 수도 있다. 범위가 높아지면, 더 많은 계측 레시피가 보유된다. 그러므로, 선택의 이러한 양태에 의하여 제외된 그러한 하나 이상의 계측 레시피는 영역(1520)으로서 마킹된다(이러한 영역은 이러한 상황에서 검사 장치에 의하여 이용가능한 파장에 대략적으로 대응함).For example, to reduce measured errors in overlay, a set of measurement states (eg, target selection, measurement beam wavelength, measurement beam polarization, etc.) may be selected with a large overlay sensitivity K . Thus, a possible aspect of this selection is a target that meets or crosses a threshold (ie is within a certain range of overlay sensitivity values) (eg, across a substrate (which may then be determined for a plurality of substrates)). to select one or more metrology recipes having an average overlay sensitivity obtained from a plurality of instances of In one embodiment, the overlay sensitivity should be maximized for the metrology recipe. For example, one or more metrology recipes having absolute values of overlay sensitivity within a range of absolute values of highest overlay sensitivity may be selected for further consideration. For example, this range may be within 35%, within 30%, within 25%, within 20%, within 15%, or within 10% of the highest overlay sensitivity value. For example, one or more metrology recipes within a range of local minima or maxima of the overlay sensitivity value may be selected. For example, such a range may be within 35%, within 30%, within 25%, within 20%, within 15%, or within 10% of the local minimums or maximums. Of course, different ranges may be used. As the range increases, more metrology recipes are retained. Therefore, those one or more metrology recipes excluded by this aspect of selection are marked as regions 1520 (which regions approximately correspond to wavelengths available by the inspection apparatus in this situation).

이러한 선택의 가능한 양태는 스택 차이 파라미터를 임계에 대하여 고려하는 것이다. 일 실시예에서, 스택 차이 파라미터는 격자 불균형을 포함한다. 그러므로, 예를 들어 하나 이상의 계측 레시피의 서브세트는, 한 기판에 걸친 타겟의 복수 개의 인스턴스로부터 획득된(그 이후에 복수 개의 기판에 대하여 결정될 수 있음) 격자 불균형의 격자 불균형(GI)(예를 들어, 평균 격자 불균형 또는 변동(예를 들어, 분산, 표준 편차 등))을 임계에 대하여 평가함으로써 선택될 수 있다. 예를 들어, 0.05 또는 5% 이하의 격자 불균형을 가지는 하나 이상의 계측 레시피가 추가적으로 고려되도록 선택될 수 있다. 물론, 0.05 또는 5%가 사용되어야 하는 것은 아니다. 일 실시예에서, 스택 차이 파라미터는 최소화된다.A possible aspect of this choice is to consider the stack difference parameter relative to the threshold. In one embodiment, the stack difference parameter comprises a lattice imbalance. Thus, for example, a subset of one or more metrology recipes may include a lattice imbalance (GI) (eg, a lattice imbalance) of lattice imbalance obtained from multiple instances of a target spanning a substrate (which may then be determined for multiple substrates). For example, the mean lattice imbalance or variation (eg, variance, standard deviation, etc.) may be selected by evaluating it against a threshold. For example, one or more metrology recipes having a lattice imbalance of 0.05 or 5% or less may be selected for additional consideration. Of course, 0.05 or 5% does not have to be used. In one embodiment, the stack difference parameter is minimized.

이러한 선택의 가능한 양태는 자기-참조 표시자(self-referential indicator)(하나의 기판에 걸친 타겟의 복수 개의 인스턴스로부터 획득됨(그 이후에 복수 개의 기판에 대하여 결정될 수 있음))를 임계에 대하여 평가하는 것이다. 일 실시예에서, 자기-참조 표시자는, 그 전체 내용이 본원에 참조되어 원용되는 PCT 특허 출원 공개 번호 제 WO 2015/018625에서 설명되는 A+ 대 A- 분석을 사용하여 획득된 자기-참조 성능 파라미터(예를 들어 오버레이) 이거나 이것을 수반한다.A possible aspect of this choice is to evaluate a self-referential indicator (obtained from multiple instances of a target across one substrate (which may then be determined for multiple substrates)) against a threshold. will do In one embodiment, the self-referencing indicator is a self-referencing performance parameter obtained using the A + vs. A - analysis described in PCT Patent Application Publication No. WO 2015/018625, the entire contents of which are incorporated herein by reference in their entirety. (eg overlay) or accompanying it.

A+ 대 A- 분석은 본원의 콘텍스트에서 양의 바이어스(A+)를 가진 주기적 구조체 및 음의 바이어스(A-)를 가진 주기적 구조체를 가지는 타겟의 복수 개의 인스턴스에 대하여 계측 레시피를 평가하는 것을 의미할 것이다. 그러므로, 수행 파라미터로서의 오버레이의 경우, A+ 및 A-는 계측 레시피 각각 및 타겟의 각각의 인스턴스에 대하여 결정되고, A+의 결정된 값은 A-의 결정된 값에 대하여 평가되어, 이러한 데이터 전체의 근사화를 제공하며, 이러한 근사화에 관련된 값은 타겟의 한 인스턴스에 대한 실제 오버레이의 더 정확한 값에 대응한다. 이것이 타겟의 각각의 인스턴스에 대하여 반복되어, 자기-참조 성능 파라미터의 복수 개의 값을 제공할 것이다. 일 실시예에서, 그러한 복수 개의 값은 평균화되어 기판에 걸친 실제 오버레이의 평균(예를 들어, 산술평균)인 더 정확한 값을 제공한다(타겟의 각각의 인스턴스는 동일한 오버레이를 가지도록 의도된다는 것이 가정됨).A + versus A - analysis in the context of the present application means evaluating a metrology recipe for multiple instances of a target having a periodic structure with a positive bias (A + ) and a periodic structure with a negative bias (A - ) something to do. Therefore, in the case of overlay as a performance parameter, A + and A are determined for each metrology recipe and each instance of the target, and the determined value of A + is evaluated against the determined value of A − , an approximation of these data as a whole. , and the values associated with this approximation correspond to more accurate values of the actual overlay for one instance of the target. This will be repeated for each instance of the target to provide multiple values of the self-referencing performance parameter. In one embodiment, such multiple values are averaged to provide a more accurate value that is the average (eg, arithmetic mean) of the actual overlay across the substrate (assuming each instance of the target is intended to have the same overlay). being).

도 19는 존재하는 유일한 비대칭이 바이어스 및 오버레이에 기인한 비대칭이 되도록 피쳐 비대칭을 가지지 않는 오버레이 격자에 대한, 근사화를 보여주기 위한 A+ 대 A-의 예시적인 그래프이다. 이러한 경우에, A+ 및 A- 사이의 관계는 원점을 통과하는 직선에 놓인다(피쳐 비대칭이 없다고 가정되었기 때문임). 모든 계측 레시피에 대한 대응하는 A+ 대 A- 데이터 포인트는 이러한 직선에 놓인다. 이러한 라인의 기울기(근사화임)는 실제 오버레이의 더 정확한 값에 관련된다. 도 19는, 제로 오버레이를 나타내고 -1의 기울기를 가지는 직선인, OV=0으로 명명된 점선, +1의 기울기를 가지고 무한대에 가까워지는 오버레이를 나타내는 직선인, OV로 명명된 점선, -1 보다 적은 기울기를 가지고 0보다 작은 오버레이를 나타내는 직선인, OV<0으로 명명된 실선, 및 -1 보다 큰 기울기를 가지고 제로보다 큰 오버레이를 나타내는 직선인, OV>0으로 명명된 실선을 보여준다. 또한, +d와 같은 오버레이는 y-축과 나란한 도시된 직선이 될 것이고; -d와 같은 오버레이는 x-축과 나란한 직선이 될 것이라는 것을 알 수 있는데, d는 격자 바이어스이다.19 is an exemplary graph of A + versus A to show the approximation for an overlay grating without feature asymmetry such that the only asymmetry present is asymmetry due to bias and overlay. In this case, the relationship between A + and A lies on a straight line through the origin (since no feature asymmetry is assumed). The corresponding A + versus A - data points for all metrology recipes lie on these straight lines. The slope of this line (which is an approximation) is related to a more accurate value of the actual overlay. 19 is a dashed line labeled OV=0, a straight line representing zero overlay and having a slope of -1, a dashed line labeled OV ∞, a straight line representing an overlay approaching infinity with a slope of +1, -1 It shows a solid line labeled OV<0, which is a straight line with a less slope representing an overlay less than zero, and a solid line labeled OV>0, a straight line with a slope greater than -1 and representing an overlay greater than zero. Also, an overlay such as +d will be the illustrated straight line parallel to the y-axis; We can see that an overlay like -d will be a straight line parallel to the x-axis, where d is the lattice bias.

그러므로, A+ 대 A- 회귀분석은, 데이터 세트에 걸쳐서 근사화된 선분의 기울기를 결정함으로써, 피쳐 비대칭에 기인하는 기여분이 없는 것처럼 오버레이의 더 정확한 값을 제공할 수 있는데, 이러한 선분은 반드시 원점을 통과하도록 근사화되는 것은 아니다. 또는, 피쳐 비대칭은 원점으로부터 근사화된 라인의 오프셋(예를 들어, 절편 항)을 통해 결정될 수 있다.Therefore, A + vs. A - regression can give more accurate values of the overlay as if there were no contributions due to feature asymmetry, by determining the slope of the approximated line segment across the data set, which segment must be at the origin. It is not approximated to pass. Alternatively, feature asymmetry may be determined via the offset (eg, intercept term) of the approximated line from the origin.

더 나아가, 오버레이의 실제 측정된 값은 타겟의 인스턴스들 각각에 대하여 그리고 각각의 계측 레시피에 대하여 결정될 수 있다(타겟의 각각의 인스턴스가 동일한 오버레이를 가지도록 의도되는 것이 가정되는 경우). 이러한 값들은 통계적으로 처리되어 특정 계측 레시피에 대한 오버레이의 평균 및 통계적 변동(예를 들어, 표준 편차)을 제공할 수 있다.Furthermore, the actual measured value of the overlay may be determined for each of the instances of the target and for each metrology recipe (provided that each instance of the target is intended to have the same overlay). These values can be statistically processed to provide a mean and statistical variation (eg, standard deviation) of the overlay for a particular metrology recipe.

그러면, 자기-참조 표시자는 특정 계측 레시피에 대한 오버레이의 결정된 더 정확한 값 및 오버레이의 측정된 값 사이의 비교일 수 있다. 일 실시예에서, 자기-참조 표시자는 실제 오버레이의 결정된 평균의 더 정확한 값과 오버레이 플러스의 평균 측정된 값 더하기 3 개의 표준 편차 사이의 차이인데, 이것은 어떤 임계에 대하여 평가될 수 있다(예를 들어, 계측 레시피는 이러한 경우에 자기-참조 표시자가 3 nm 이하라면 선택될 것이지만, 3 nm가 아닌 값도 사용될 수 있음). 따라서, 이러한 자기-참조 표시자는 실질적으로 기판에 걸친 잔차 지문(residual fingerprint)이다. 일 실시예에서, 자기-참조 표시자는 최소화되어야 한다.The self-referencing indicator may then be a comparison between the determined more accurate value of the overlay and the measured value of the overlay for a particular metrology recipe. In one embodiment, the self-referencing indicator is the difference between the more accurate value of the determined average of the actual overlay and the average measured value of the overlay plus plus three standard deviations, which can be evaluated against some threshold (eg , the metrology recipe would be chosen in this case if the self-referencing indicator was less than or equal to 3 nm, although values other than 3 nm could also be used). Thus, this self-referencing indicator is essentially a residual fingerprint across the substrate. In one embodiment, the self-referencing indicator should be minimized.

그러므로, 사실상, 이러한 기법은 기판에 걸쳐 여러 상이한 계측 레시피를 사용하여 검출된 주기적 구조체(예를 들어, 바이어스된 오버레이 격자)의 비대칭을 근사화하여, 프로세스 파라미터(예를 들어, 오버레이)의 더 정확한 값의 자기-참조 지문을 생성하는 것을 수반한다. 그러면, 더 정확한 자기-참조 프로세스 파라미터 값(예를 들어, 오버레이)은 하나 이상의 계측 레시피의 프로세스 파라미터(예를 들어, 오버레이)의 측정된 값과 비교되어, 그러한 하나 이상의 계측 레시피를 사용하는 측정의 정확도를 보장하는 것을 돕도록 자기-참조 지문에 가까운 하나 이상의 계측 레시피 수율 결과를 식별한다.Thus, in effect, this technique approximates the asymmetry of periodic structures (eg, biased overlay gratings) detected using several different metrology recipes across the substrate, resulting in more accurate values of process parameters (eg, overlay). It involves generating a self-referential fingerprint of A more accurate self-referencing process parameter value (eg, overlay) is then compared to measured values of a process parameter (eg, overlay) of one or more metrology recipes, such that Identifies one or more metrology recipe yield results that are close to the self-referencing fingerprint to help ensure accuracy.

결과적으로, 하나 이상의 계측 레시피(예를 들어, 측정 파장)는 앞선 평가 중 하나 이상의 이후에 유지되어야 한다(물론, 계측 레시피가 유지되지 않으면, 하나 이상의 다른 계측 레시피 파라미터, 예를 들어 타겟 자체의 하나 이상의 파라미터가 변경될 필요가 있을 수 있음). 여기에서, 하나 이상의 선택된 계측 레시피는 단계 1460에서 사전 선택을 위하여 출력되고 사용될 수 있다.Consequently, one or more metrology recipes (eg measurement wavelengths) must be maintained after one or more of the preceding evaluations (of course, if the metrology recipe is not maintained, one or more other metrology recipe parameters, eg one of the target itself, More parameters may need to be changed). Here, one or more selected metrology recipes may be output and used for pre-selection in step 1460 .

그러므로, 일 실시예에서, 예를 들어 전술된 다중 파장 기법을 사용하여 오버레이를 정확하게 계산하고, 결과적으로, 그러한 정확한 오버레이가 그러한 계측 레시피를 사용하여 측정된 오버레이가 더 정확하거나 가장 정확하게 되도록 최적의 계측 레시피의 선택을 유도하도록 사용된다. 따라서, 근사화 및 발견법(heuristic) 단계에 기반하여 정확한 오버레이에 대한 "최적" 계측 레시피에 도달하기 위한 레시피 선택 알고리즘이 아니라, 본 명세서에서 설명된 바와 같은 방법은 전술된 다중 파장 기법을 레시피 선택에 적용하고, 따라서 해석적으로 더 많은 근거를 가지는 공식을 사용한 계측 레시피 선택법을 제공한다.Therefore, in one embodiment, the overlay is accurately calculated using, for example, the multi-wavelength technique described above, and as a result, such an accurate overlay is the optimal metrology so that the overlay measured using such a metrology recipe is more accurate or most accurate. Used to guide selection of recipes. Thus, rather than a recipe selection algorithm to arrive at a "best" metrology recipe for accurate overlay based on approximation and heuristic steps, the method as described herein applies the multi-wavelength technique described above to recipe selection. Therefore, we provide a method for selecting a measurement recipe using a formula that is more analytically valid.

더 주의할 점은, 비-최적 파장과 작업하기 위해서 제약들이 부과되는 경우에도, 많은 경우, 스택 감도가 너무 낮지 않기만 하면(즉 입력에 잡음이 너무 많지 않으면), 전술된 다중 파장 기법은 여전히 정확한 오버레이를 결정할 수 있다는 것이다(계측 레시피 선택 또는 대량 또는 생산 측정과 같은 임의의 애플리케이션의 경우). 비-최적 파장과 작업하는 손해는, 입력에 잡음이 너무 많고(예를 들어, 낮은 스택 감도) 및/또는 파장이 서로 너무 멀리 떨어져 있으며 계측 타겟의 재료가 대략적으로 파장 의존적인 경우, 오버레이의 정확도가 떨어지는 것일 수 있다. 하지만, 대부분의 경우에, 이러한 상황은 적합하게 설계된 계측 타겟을 사용한 적합하게 설계된 계측 프로세스에서는 발생할 가능성이 적다.More note, even when constraints are imposed to work with non-optimal wavelengths, in many cases the multi-wavelength technique described above will still The ability to determine the correct overlay (for any application such as metrology recipe selection or mass or production measurement). The detriment of working with non-optimal wavelengths is the accuracy of the overlay if the input is too noisy (eg, low stack sensitivity) and/or the wavelengths are too far from each other and the material of the metrology target is roughly wavelength dependent. may be falling However, in most cases, this situation is unlikely to occur in a properly designed metrology process using a properly designed metrology target.

일 실시예에서, 계측 타겟으로부터 패터닝 프로세스 파라미터를 결정하는 방법으로서,In one embodiment, a method of determining a patterning process parameter from a metrology target comprising:

상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 조명 방사선의 복수 개의 파장 중 상이한 파장에 대응함 -; 및 상기 값들의 조합을 사용하여 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함하는, 방법이 제공된다.obtaining a plurality of values of diffracted radiation from the metrology target, each value of the plurality of values corresponding to a different one of the plurality of wavelengths of illumination radiation for the target; and determining the same value of the patterning process parameter for the target using the combination of values.

일 실시예에서, 상기 회절 방사선의 값은 상기 복수 개의 파장 중 적어도 네 개의 파장의 각각에 대하여 획득된다. 일 실시예에서, 상기 타겟은 상이한 바이어스를 각각 가지는 적어도 두 개의 서브-타겟을 포함하고, 상기 값들 각각은 특정 서브-타겟으로부터의 회절 방사선에 대응한다. 일 실시예에서, 상기 값들은, 상기 회절 방사선의 특정 회절 차수 중 양의 값의 방사선 및 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값의 방사선에 개별적으로 대응한다. 일 실시예에서, 상기 값들의 조합을 사용하여 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계는, 연립 방정식 중 적어도 하나의 방정식의 변수로서 상기 복수 개의 파장들 각각을 포함하는 상기 연립 방정식을 사용하는 것을 포함한다. 일 실시예에서, 연립 방정식은 적어도 16 개의 수학식을 포함한다. 일 실시예에서, 연립 방정식은 최대 16 개의 미지수를 포함한다. 일 실시예에서, 상기 타겟은 상부 주기적 구조체 및 하부 주기적 구조체를 포함하고, 상기 연립 방정식의 각각의 방정식은, 상기 타겟의 하부 주기적 구조체로부터의 방사선의 진폭을 나타내는 변수 및 상기 타겟으로부터의 방사선의 위상을 나타내는 변수의 함수인 하나 이상의 항을 포함하며, 적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 진폭 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 진폭 변수와 다르고, 적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 위상 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 위상 변수와 다르다. 일 실시예에서, 상기 타겟은, 주기적 구조체의 양의 바이어스를 갖는 상기 타겟의 서브-타겟 및 주기적 구조체의 음의 바이어스를 갖는 상기 타겟의 서브-타겟을 포함하고, 상기 연립 방정식의 각각의 방정식은, 상기 타겟으로부터의 방사선의 진폭을 나타내는 변수 및 상기 타겟으로부터의 방사선의 위상을 나타내는 변수의 함수인 하나 이상의 항을 포함하며, 적어도 상기 양의 바이어스가 있는 서브-타겟에 대한 방사선의 진폭 변수는, 상기 음의 바이어스가 있는 서브-타겟에 대한 방사선의 진폭 변수와 다르고, 적어도 상기 양의 바이어스가 있는 서브-타겟에 대한 방사선의 위상 변수는, 상기 음의 바이어스가 있는 서브-타겟에 대한 방사선의 위상 변수와 다르다. 일 실시예에서, 상기 연립 방정식의 각각의 방정식은 센서 비대칭 오차를 나타내는 변수의 함수인 하나 이상의 항을 포함한다. 일 실시예에서, 적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 센서 비대칭 오차 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 센서 비대칭 오차 변수와 다르다. 일 실시예에서, 상기 연립 방정식을 사용하는 것은, 상기 패터닝 프로세스 파라미터의 값에 도달하도록, 비선형 연립 방정식을 풀이(solving)하는 것을 포함한다. 일 실시예에서, 패터닝 프로세스 파라미터는 오버레이이다. 일 실시예에서, 회절 방사선의 값은 패터닝 프로세스를 사용하여 처리된 기판 상의 계측 타겟의 측정으로부터 획득된 회절 값이다. 일 실시예에서, 회절 방사선의 값은 계측 타겟의 측정의 시뮬레이션으로부터 획득된 회절 값이다.In an embodiment, the value of the diffracted radiation is obtained for each of at least four wavelengths of the plurality of wavelengths. In an embodiment, the target comprises at least two sub-targets each having a different bias, each of the values corresponding to diffracted radiation from a particular sub-target. In an embodiment, the values correspond respectively to positive ones of the specific diffraction orders of the diffracted radiation and negative ones of the specific diffraction orders of the diffracted radiation respectively. In one embodiment, determining the same value of the patterning process parameter using the combination of values comprises using the simultaneous equation including each of the plurality of wavelengths as a variable in at least one of the simultaneous equations. include that In one embodiment, the simultaneous equations include at least 16 equations. In one embodiment, the system of equations contains up to 16 unknowns. In one embodiment, the target comprises an upper periodic structure and a lower periodic structure, each equation of the system of equations comprising: a variable representing the amplitude of radiation from the lower periodic structure of the target and a phase of radiation from the target at least one term that is a function of a variable representing variable, and at least a phase parameter of the radiation for a positive value of the specific diffraction order of the diffracted radiation differs from a phase parameter of the radiation for a negative value of the specific diffraction order of the diffracted radiation. In one embodiment, the target comprises a sub-target of the target having a positive bias of a periodic structure and a sub-target of the target having a negative bias of a periodic structure, wherein each equation of the system of equations is , one or more terms that are a function of a variable indicative of the amplitude of radiation from the target and a variable indicative of a phase of radiation from the target, wherein the amplitude variable of radiation for at least the positively biased sub-target is: a phase variable of radiation for the positively biased sub-target that is different from an amplitude parameter of the radiation for the negatively biased sub-target, wherein at least a phase parameter of the radiation for the negatively biased sub-target is a phase of the radiation with respect to the negatively biased sub-target different from variables. In one embodiment, each equation of the simultaneous equations includes one or more terms that are a function of a variable representing the sensor asymmetry error. In an embodiment, at least a sensor asymmetry error parameter of radiation for a positive one of the specific diffraction orders of the diffracted radiation differs from a sensor asymmetry error parameter of the radiation for a negative value of the specific diffraction order of the diffracted radiation. In one embodiment, using the simultaneous equations comprises solving a system of nonlinear equations to arrive at a value of the patterning process parameter. In one embodiment, the patterning process parameter is overlay. In one embodiment, the value of the diffracted radiation is a diffraction value obtained from measurement of a metrology target on a substrate processed using a patterning process. In an embodiment, the value of the diffracted radiation is a diffraction value obtained from a simulation of the measurement of the metrology target.

일 실시예에서, 제 1 패터닝 프로세스 파라미터 결정 기법을 사용하여 측정 방사선에 의해 조명되는 계측 타겟으로부터 패터닝 프로세스 파라미터의 제 1 값을 결정하는 단계; 제 1 패터닝 프로세스 파라미터 결정 기법과 다른 제 2 패터닝 프로세스 파라미터 결정 기법을 사용하여 계측 타겟에 대한 패터닝 프로세스 파라미터의 복수 개의 제 2 값을 얻는 단계 - 각각의 제 2 값은 측정 방사선의 상이한 파장에서 결정됨; 및 제 1 값 및 제 2 값에 기반하여, 계측 타겟의 측정을 위한 계측 레시피에 대한 측정 방사선 파장을 식별하는 단계를 포함하는 방법이 제공된다.In one embodiment, there is provided a method comprising: determining a first value of a patterning process parameter from a metrology target illuminated by measurement radiation using a first patterning process parameter determination technique; obtaining a plurality of second values of the patterning process parameter for the metrology target using a second patterning process parameter determination technique different from the first patterning process parameter determination technique, each second value being determined at a different wavelength of the measurement radiation; and identifying, based on the first value and the second value, a measurement radiation wavelength for a metrology recipe for measurement of a metrology target.

일 실시예에서, 식별하는 단계는, 제 2 값 중 어느 것이 제 1 값에 가장 가까운지 식별하는 것을 포함하고, 식별된 측정 파장은 가장 가까운 제 2 값과 연관된 측정 방사선 파장이다. 일 실시예에서, 식별하는 단계는, 제 2 값을 측정 방사선 파장의 함수로서 근사화하는 것 및 그러한 근사화로부터, 제 1 값과 같거나 가장 가까운 패터닝 프로세스 파라미터의 값을 가지는 식별된 측정 파장을 외삽 또는 보간하는 것을 포함한다. 일 실시예에서, 패터닝 프로세스 파라미터 결정 기법은,In one embodiment, the step of identifying comprises identifying which of the second values is closest to the first value, wherein the identified measurement wavelength is a measurement radiation wavelength associated with the closest second value. In an embodiment, the identifying comprises approximating the second value as a function of the measured radiation wavelength and extrapolating or, from the approximation, the identified measured wavelength having a value of the patterning process parameter equal to or closest to the first value or including interpolation. In one embodiment, the patterning process parameter determination technique comprises:

상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 측정 방사선의 복수 개의 파장 중 상이한 파장에 대응함 -; 및obtaining a plurality of values of diffracted radiation from the metrology target, each value of the plurality of values corresponding to a different one of the plurality of wavelengths of measurement radiation for the target; and

상기 값들의 조합을 사용하여 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함한다. 일 실시예에서, 이러한 방법은 메트릭에 기반하여, 파장의 더 큰 세트로부터 복수 개의 파장의 사전 선택을 수행하는 단계를 더 포함한다. 일 실시예에서, 메트릭은 어떤 임계 이하인 스택 감도를 포함한다. 일 실시예에서, 상기 회절 방사선의 값은 상기 복수 개의 파장 중 적어도 네 개의 파장의 각각에 대하여 획득된다. 일 실시예에서, 상기 타겟은 상이한 바이어스를 각각 가지는 적어도 두 개의 서브-타겟을 포함하고, 상기 값들 각각은 특정 서브-타겟으로부터의 회절 방사선에 대응한다. 일 실시예에서, 상기 값들은, 상기 회절 방사선의 특정 회절 차수 중 양의 값의 방사선 및 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값의 방사선에 개별적으로 대응한다. 일 실시예에서, 상기 값들의 조합을 사용하여 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계는, 연립 방정식 중 적어도 하나의 방정식의 변수로서 상기 복수 개의 파장들 각각을 포함하는 상기 연립 방정식을 사용하는 것을 포함한다. 일 실시예에서, 연립 방정식은 적어도 16 개의 수학식을 포함한다. 일 실시예에서, 연립 방정식은 최대 16 개의 미지수를 포함한다. 일 실시예에서, 상기 연립 방정식을 사용하는 것은, 상기 패터닝 프로세스 파라미터의 값에 도달하도록, 비선형 연립 방정식을 풀이(solving)하는 것을 포함한다. 일 실시예에서, 패터닝 프로세스 파라미터는 오버레이이다. 일 실시예에서, 값은 패터닝 프로세스를 사용하여 처리된 기판 상의 계측 타겟의 측정으로부터 획득된다. 일 실시예에서, 값은 계측 타겟의 측정의 시뮬레이션으로부터 획득된다.and determining the same value of the patterning process parameter for the target using the combination of values. In one embodiment, the method further comprises performing a preselection of the plurality of wavelengths from the larger set of wavelengths based on the metric. In one embodiment, the metric includes a stack sensitivity that is below a certain threshold. In an embodiment, the value of the diffracted radiation is obtained for each of at least four wavelengths of the plurality of wavelengths. In an embodiment, the target comprises at least two sub-targets each having a different bias, each of the values corresponding to diffracted radiation from a particular sub-target. In an embodiment, the values correspond respectively to positive ones of the specific diffraction orders of the diffracted radiation and negative ones of the specific diffraction orders of the diffracted radiation respectively. In one embodiment, determining the same value of the patterning process parameter using the combination of values comprises using the simultaneous equation including each of the plurality of wavelengths as a variable in at least one of the simultaneous equations. include that In one embodiment, the simultaneous equations include at least 16 equations. In one embodiment, the system of equations contains up to 16 unknowns. In one embodiment, using the simultaneous equations comprises solving a system of nonlinear equations to arrive at a value of the patterning process parameter. In one embodiment, the patterning process parameter is overlay. In one embodiment, the value is obtained from measurement of a metrology target on a processed substrate using a patterning process. In one embodiment, the value is obtained from a simulation of the measurement of the metrology target.

전술된 실시예가 필드 평면에서의 회절 기반 오버레이 측정(예를 들어, 도 7a에 도시된 장치의 제 2 측정 브랜치를 사용하여 이루어지는 측정)의 관점에서 기술되지만, 원리 상 동일한 모델은 퓨필 기반 오버레이 측정(예를 들어, 도 7a에 도시된 장치의 제 1 측정 브랜치를 사용하여 이루어지는 측정)을 위해서도 사용될 수 있다. 결과적으로, 본 명세서에서 설명되는 개념은 필드 평면 및 퓨필 평면에서의 회절 기반 오버레이 측정에 동등하게 적용가능하다는 것이 이해되어야 한다.Although the foregoing embodiments are described in terms of diffraction-based overlay measurements in the field plane (e.g. measurements made using the second measurement branch of the apparatus shown in Figure 7A), the same model in principle uses pupil-based overlay measurements ( It can also be used, for example, for measurements made using the first measurement branch of the device shown in FIG. 7a ). Consequently, it should be understood that the concepts described herein are equally applicable to diffraction-based overlay measurements in the field plane and the pupil plane.

본 명세서에서 설명되는 계측 타겟 및 프로세스 파라미터의 실시예가 거의 오버레이를 측정하기 위하여 사용되는 오버레이 타겟의 관점에서 기술되었지만, 본 명세서에서 설명되는 계측 타겟의 실시예는 하나 이상의 추가적이거나 대안적인 패터닝 프로세스 파라미터를 측정하기 위하여 사용될 수도있다. 예를 들어, 계측 타겟은 노광 도즈 변화를 측정하고, 노광 초점/디포커스를 측정하며, 에지 배치 오차를 측정하고, CD를 측정하는 등을 위해서 사용될 수 있다. 더 나아가, 본 명세서의 상세한 설명은 적합하게 수정되면, 예를 들어 정렬 마크를 사용한 리소그래피 장치 내의 기판 및/또는 패터닝 디바이스 정렬에도 역시 적용될 수 있다. 이와 유사하게, 정렬 측정을 위한 적합한 레시피가 결정될 수 있다.Although embodiments of metrology targets and process parameters described herein have been described mostly in terms of overlay targets used to measure overlay, embodiments of metrology targets described herein may include one or more additional or alternative patterning process parameters. It can also be used to measure For example, metrology targets can be used to measure exposure dose change, measure exposure focus/defocus, measure edge placement error, measure CD, and the like. Furthermore, the detailed description herein may also apply, if suitably modified, to substrate and/or patterning device alignment in a lithographic apparatus using, for example, alignment marks. Similarly, a suitable recipe for alignment measurement can be determined.

그러므로, 관심 성능 파라미터는 오버레이이지만, 패터닝 프로세스의 성능의 다른 파라미터(예를 들어, 선량, 초점, CD 등)도, 예를 들어 다중 파장 수학식을 적절하게 소정함으로써 본 명세서에서 설명되는 방법을 사용하여 결정될 수 있다. 성능 파라미터(예를 들어, 오버레이, CD, 초점, 선량 등)는 패터닝 프로세스를 개선시키고 타겟을 개선시키기 위하여 피드백(피드 포워드)될 수 있고, 및/또는 본 명세서에 설명된 모델링, 측정 및 계산 프로세스를 개선하기 위해서도 사용될 수 있다.Thus, while the performance parameter of interest is overlay, other parameters of the performance of the patterning process (eg, dose, focus, CD, etc.) also use the methods described herein, for example by appropriately specifying multi-wavelength equations. can be determined by Performance parameters (eg, overlay, CD, focus, dose, etc.) may be fed back (feed-forward) to improve the patterning process and improve the target, and/or the modeling, measurement and computation process described herein. It can also be used to improve

위에서 설명된 타겟 구조체가 측정의 목적을 위하여 특정하게 설계되고 형성된 계측 타겟들인 반면에, 다른 실시예들에서, 기판에 형성된 디바이스의 기능성 부분인 타겟들의 속성이 측정될 수도 있다. 많은 디바이스는 격자와 유사한 정규의 주기적 구조체를 가진다. 본 명세서에서 사용될 때 "타겟", 타겟의 "격자" 또는 "주기적 구조체"라는 용어는 적용가능한 구조체가 수행되는 중인 측정에 대하여 특정하게 제공되었을 것을 요구하지 않는다. 더 나아가, 계측 타겟의 피치 P는 측정 툴의 광학계의 해상도 한계에 가깝지만, 타겟부(C) 내에서 패터닝 프로세스에 의해 제조되는 통상적 제품 피쳐의 치수보다는 훨씬 클 수 있다. 실무상, 주기적 구조체의 피쳐 및/또는 공간은 제품 피쳐와 유사한 치수인 더 작은 구조체를 포함하도록 제조될 수 있다.While the target structure described above is metrology targets specifically designed and formed for the purpose of measurement, in other embodiments, properties of targets that are a functional part of a device formed in a substrate may be measured. Many devices have a regular periodic structure similar to a grating. The terms “target”, “lattice” or “periodic structure” of a target as used herein do not require that the applicable structure be specifically provided for the measurement being performed. Furthermore, the pitch P of the metrology target is close to the resolution limit of the optics of the measurement tool, but may be much larger than the dimensions of a typical product feature produced by a patterning process within the target portion C. In practice, the features and/or spaces of periodic structures may be fabricated to include smaller structures with dimensions similar to product features.

기판 및 패터닝 디바이스에서 실현되는 바와 같은 타겟의 물리적 구조와 연관하여, 일 실시예는 타겟 디자인을 기술하고, 기판에 대한 타겟을 디자인하는 방법을 기술하며, 기판에 타겟을 생성하는 방법을 기술하고, 기판 상의 타겟을 측정하기 위한 방법을 기술하며 및/또는 측정을 분석하여 패터닝 프로세스에 대한 정보를 획득하는 방법을 기술하는, 머신-판독가능 명령 및/또는 기능적 데이터의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수도 있다. 이러한 컴퓨터 프로그램은 예를 들어 도 7의 장치에 있는 유닛(PU) 및/또는 도 2의 제어 유닛(LACU) 내에서 실행될 수도 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다. 예를 들어 도 7에 도시되는 타입의 현존하는 검사 장치가 이미 생산되고 및/또는 사용되고 있는 경우, 일 실시예는 프로세서가 본 명세서에서 기술된 방법 중 하나 이상을 수행하게 하기 위한 업데이트된 컴퓨터 프로그램 제품을 제공함으로써 구현될 수 있다. 이러한 프로그램은 선택적으로 광학계, 기판 지지대 등을 제어하여, 복수 개의 적합한 타겟에 수행되는 패터닝 프로세스의 파라미터를 측정하는 방법을 수행하도록 구현될 수 있다. 이러한 프로그램은 추가적인 기판의 측정을 위한 리소그래피 및/또는 계측 레시피를 업데이트할 수 있다. 이러한 프로그램은 추가적인 기판의 패터닝 및 처리를 위해 리소그래피 장치를 제어(직접적으로 또는 간접적으로)하도록 구현될 수 있다.With respect to the physical structure of a target as realized in a substrate and a patterning device, one embodiment describes a target design, describes a method for designing a target for a substrate, describes a method for creating a target on a substrate, A computer program comprising one or more sequences of machine-readable instructions and/or functional data describing a method for measuring a target on a substrate and/or describing a method for analyzing the measurement to obtain information about a patterning process may include Such a computer program may be executed, for example, in the unit PU in the apparatus of FIG. 7 and/or in the control unit LACU of FIG. 2 . A data storage medium (eg, semiconductor memory, magnetic or optical disk) containing such a computer program stored therein may also be provided. If, for example, an existing inspection apparatus of the type shown in FIG. 7 is already in production and/or in use, one embodiment provides an updated computer program product for causing a processor to perform one or more of the methods described herein. It can be implemented by providing Such a program may optionally be implemented to control an optics system, a substrate support, or the like to perform a method of measuring parameters of a patterning process performed on a plurality of suitable targets. Such programs may update lithography and/or metrology recipes for additional substrate measurements. Such a program may be implemented to control (directly or indirectly) the lithographic apparatus for further substrate patterning and processing.

더 나아가, 본 명세서에서 실시예들은, 예를 들어 중첩하는 주기적 구조체의 위치를 회절된 차수들로부터의 세기로부터 측정하는 회절-기초 계측법에 관련하여 기술되어 왔다. 그러나, 본 명세서의 실시예는 필요한 경우 적합하게 변경되어, 예를 들어 층 1 내의 타겟 1로부터 층 2 내의 타겟 2까지의 상대 위치를 타겟의 고품질 이미지를 사용해서 측정하는 이미지-기초 계측법에도 적용될 수 있다. 보통 이러한 타겟은 주기 구조체 또는 "박스"(박스-인-박스(BiB))이다.Further, embodiments herein have been described in the context of diffraction-based metrology, for example measuring the position of an overlapping periodic structure from intensity from diffracted orders. However, embodiments herein may be suitably modified if necessary, and may also be applied to image-based metrology, for example measuring the relative position from target 1 in layer 1 to target 2 in layer 2 using a high-quality image of the target. have. Usually this target is a periodic structure or “box” (box-in-box (BiB)).

용어 "최적화함" 및 "최적화"는 본 명세서에서 사용될 때 패터닝 프로세스의 장치 및/또는 프로세스를 조절하는 것을 가리키거나 의미하는데, 이것은 성능 지수(figure of merit)가 더 바람직한 값, 예컨대 측정, 패터닝을 가지고 및/또는 디바이스 제작 결과 및/또는 프로세스가 하나 이상의 바람직한 특성을 가지며, 기판 상의 디자인 레이아웃의 투영이 더 정확해지거나, 프로세스 윈도우가 더 커지는 등이 되도록, 리소그래피 프로세스 또는 장치를 조절하는 것, 또는 계측 프로세스 또는 장치(예를 들어, 타겟, 측정 툴 등)를 조절하는 것을 포함할 수 있다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)"는, 설계 변수의 값들의 초기 세트에 비하여 성능 지수에 있어서 로컬 최적값인 개선을 제공하는 하나 이상의 설계 변수에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 성능 지수에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.The terms "optimizing" and "optimizing" as used herein refer to or mean to adjust the apparatus and/or process of a patterning process, which means that a figure of merit is a more desirable value, such as measurement, patterning and/or adjusting the lithographic process or apparatus such that the device fabrication result and/or process has one or more desirable characteristics, the projection of the design layout on the substrate is more accurate, the process window is larger, etc.; or adjusting a metrology process or device (eg, target, measurement tool, etc.). Thus, “optimizing” and “optimizing” identify one or more values for one or more design variables that provide an improvement that is a local optimum in figure of merit compared to an initial set of values of the design variable. It refers to or means the process. "Optimal" and other related terms should be interpreted accordingly. In one embodiment, the optimization step may be applied iteratively to provide further improvements in one or more figures of merit.

예컨대, 본 발명의 실시예는 본 명세서에 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다. 더 나아가, 기계 판독 가능한 명령어는 두 개 이상의 컴퓨터 프로그램에서 구현될 수 있다. 두 개 이상의 컴퓨터 프로그램은 하나 이상의 상이한 메모리 및/또는 데이터 저장 미디어에 저장될 수 있다.For example, an embodiment of the present invention may be a computer program comprising one or more sequences of machine readable instructions describing a method as disclosed herein, or a data storage medium (eg, semiconductor memory, magnetic disk) in which the computer program is stored. or optical disc). Furthermore, the machine readable instructions may be embodied in two or more computer programs. The two or more computer programs may be stored in one or more different memories and/or data storage media.

본 명세서에 개시된 하나 이상의 양태는 제어 시스템 내에 구현될 수 있다. 본 명세서에서 설명되는 임의의 제어 시스템은 하나 이상의 컴퓨터 프로그램이 장치의 적어도 하나의 컴포넌트 내에 위치된 하나 이상의 컴퓨터 프로세서에 의해 판독되는 경우 각각 또는 조합되어 동작될 수 있다. 제어 시스템은 각각 또는 조합하여 신호를 수신, 처리, 및 송신하기에 적합한 임의의 구성을 가질 수 있다. 하나 이상의 프로세서는 제어 시스템 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어 시스템은 전술된 방법에 대한 머신-판독가능 명령을 포함하는 컴퓨터 프로그램을 실행하기 위한 하나 이상의 프로세서를 포함할 수 있다. 제어 시스템은 이러한 컴퓨터 프로그램을 저장하기 위한 데이터 저장 매체, 및/또는 이러한 매체를 수용하기 위한 하드웨어를 포함할 수 있다. 그러므로, 제어 시스템(들)은 하나 이상의 컴퓨터 프로그램의 머신 판독가능 명령에 따라 동작할 수 있다.One or more aspects disclosed herein may be implemented within a control system. Any of the control systems described herein can be operated individually or in combination when one or more computer programs are read by one or more computer processors located within at least one component of the apparatus. The control system may have any configuration suitable for receiving, processing, and transmitting signals, individually or in combination. The one or more processors are configured to communicate with at least one of the control systems. For example, each control system may include one or more processors for executing a computer program comprising machine-readable instructions for the methods described above. The control system may include a data storage medium for storing such a computer program, and/or hardware for accommodating such a medium. Thus, the control system(s) may operate according to machine readable instructions of one or more computer programs.

비록 광 리소그래피의 콘텍스트에서의 실시예의 사용에 대해 특히 언급해 왔지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 이해될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 적용함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.Although particular reference has been made to the use of embodiments in the context of optical lithography, it is understood that the invention may be used in other applications, for example imprint lithography, and is not limited to optical lithography where the context permits. will be In imprint lithography, the topography of a patterning device defines a pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist applied to a substrate, on which the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. The patterning device is moved out of the resist after it is cured, leaving a pattern therein.

본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.As used herein, the terms "radiation" and "beam" refer to ultraviolet (UV) radiation (e.g., having a wavelength of about 365, 355, 248, 193, 157, or 126 nm) and extreme ultraviolet (EUV) radiation ( for example, with wavelengths in the range of 5-20 nm), and all types of electromagnetic radiation, including particle beams such as ion beams or electron beams.

본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.The term “lens” as used herein, if the context permits, may refer to any or combination of various types of optical components, including refractive, diffractive, reflective, magnetic, electromagnetic, and electrostatic optical components. .

특정 실시예에 대한 전술한 설명은 본 발명 실시예들의 전반적인 특성을 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있게 한다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.The foregoing description of specific embodiments shows the overall characteristics of the embodiments of the present invention, and by applying the knowledge possessed by a person familiar with the art, without departing from the overall concept of the present invention, without unnecessary experimentation, these specific embodiments can be to be easily modified and/or adapted to various applications for Accordingly, such modifications and adaptations are intended to be within the scope of equivalents of the disclosed embodiments based on the teachings and guidance provided herein. It is to be understood that any phrase or phrase herein is for the purpose of description by way of example and is not intended to be limiting, and therefore, the term or phrase herein should be interpreted by one of ordinary skill in the art in light of the teachings and guidance.

다른 실시예들은 아래의 번호가 매겨진 절에서 기술된다:Other embodiments are described in the numbered sections below:

1. 계측 타겟으로부터 패터닝 프로세스 파라미터를 결정하는 방법으로서,1. A method of determining a patterning process parameter from a metrology target, comprising:

상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 조명 방사선의 복수 개의 조명 상태 중 상이한 조명 상태에 대응함 -; 및obtaining a plurality of values of diffracted radiation from the metrology target, each value of the plurality of values corresponding to a different one of a plurality of illumination states of illumination radiation for the target; and

상기 값들의 조합을 사용하여 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 단계.determining the same value of the patterning process parameter for the target using the combination of values.

2. 제 1 절에 있어서,2. The method of clause 1,

상기 회절 방사선의 값은 상기 복수 개의 조명 상태 중 적어도 네 개의 조명 상태의 각각에 대하여 획득되는, 패터닝 프로세스 파라미터 결정 단계.and the value of the diffracted radiation is obtained for each of at least four illumination states of the plurality of illumination states.

3. 제 1 절 또는 제 2 절에 있어서,3. As in clause 1 or 2,

상기 타겟은 상이한 바이어스를 각각 가지는 적어도 두 개의 서브-타겟을 포함하고,the target comprises at least two sub-targets each having a different bias,

상기 값들 각각은 특정 서브-타겟으로부터의 회절 방사선에 대응하는, 패터닝 프로세스 파라미터 결정 단계.wherein each of the values corresponds to diffracted radiation from a particular sub-target.

4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,4. according to any of clauses 1 to 3,

상기 값들은, 상기 회절 방사선의 특정 회절 차수 중 양의 값의 방사선 및 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값의 방사선에 개별적으로 대응하는, 패터닝 프로세스 파라미터 결정 방법.wherein the values correspond respectively to positive one of the particular diffraction orders of the diffracted radiation and the negative one of the particular diffraction order of the diffracted radiation respectively.

5. 제 1 절 내지 제 4절 중 어느 한 절에 있어서,5. according to any of clauses 1 to 4,

상기 값들의 조합을 사용하여 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계는,Determining the same value of the patterning process parameter using the combination of values comprises:

연립 방정식 중 적어도 하나의 방정식의 변수로서 상기 복수 개의 조명 상태 각각을 포함하는 상기 연립 방정식을 사용하는 것을 포함하는, 패터닝 프로세스 파라미터 결정 방법.and using the simultaneous equation including each of the plurality of illumination states as a variable of at least one of the simultaneous equations.

6. 제 5 절에 있어서,6. As in clause 5,

상기 연립 방정식은 적어도 16 개의 방정식을 포함하는, 패터닝 프로세스 파라미터 결정 단계.wherein the system of equations comprises at least 16 equations.

7. 제 5 절 또는 제 6 절에 있어서,7. As in section 5 or 6,

상기 연립 방정식은 16 개 이하의 미지수를 포함하는, 패터닝 프로세스 파라미터 결정 단계.wherein the simultaneous equations contain no more than 16 unknowns.

8. 제 5 절 내지 제 7 절 중 어느 한 절에 있어서,8. according to any one of clauses 5 to 7,

상기 타겟은 상부 주기적 구조체 및 하부 주기적 구조체를 포함하고,The target comprises an upper periodic structure and a lower periodic structure,

상기 연립 방정식의 각각의 방정식은, 상기 타겟의 하부 주기적 구조체로부터의 방사선의 진폭을 나타내는 변수 및 상기 타겟으로부터의 방사선의 위상을 나타내는 변수의 함수인 하나 이상의 항을 포함하며,wherein each equation of the simultaneous equations comprises one or more terms that are a function of a variable representing the amplitude of radiation from an underlying periodic structure of the target and a variable representing a phase of radiation from the target,

적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 진폭 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 진폭 변수와 다르고,at least an amplitude variable of the radiation for a positive value of a particular diffraction order of the diffracted radiation is different from an amplitude variable of the radiation for a negative value of the particular diffraction order of the diffracted radiation;

적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 위상 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 위상 변수와 다른, 패터닝 프로세스 파라미터 결정 단계.determining a patterning process parameter, wherein at least a phase parameter of the radiation for a positive value of the specific diffraction order of the diffracted radiation is different from a phase parameter of the radiation for a negative value of the specific diffraction order of the diffracted radiation.

9. 제 5 내지 제 8 절 중 어느 한 절에 있어서,9. according to any one of clauses 5 to 8,

상기 타겟은, 주기적 구조체의 양의 바이어스를 갖는 상기 타겟의 서브-타겟 및 주기적 구조체의 음의 바이어스를 갖는 상기 타겟의 서브-타겟을 포함하고,wherein the target comprises a sub-target of the target having a positive bias of the periodic structure and a sub-target of the target having a negative bias of the periodic structure,

상기 연립 방정식의 각각의 방정식은, 상기 타겟으로부터의 방사선의 진폭을 나타내는 변수 및 상기 타겟으로부터의 방사선의 위상을 나타내는 변수의 함수인 하나 이상의 항을 포함하며,each equation of the simultaneous equations comprises one or more terms that are a function of a variable representing the amplitude of radiation from the target and a variable representing a phase of radiation from the target,

적어도 상기 양의 바이어스가 있는 서브-타겟에 대한 방사선의 진폭 변수는, 상기 음의 바이어스가 있는 서브-타겟에 대한 방사선의 진폭 변수와 다르고,at least the amplitude variable of the radiation for the positively biased sub-target is different from the amplitude variable of the radiation for the negatively biased sub-target;

적어도 상기 양의 바이어스가 있는 서브-타겟에 대한 방사선의 위상 변수는, 상기 음의 바이어스가 있는 서브-타겟에 대한 방사선의 위상 변수와 다른, 패터닝 프로세스 파라미터 결정 방법.at least a phase parameter of the radiation for the positively biased sub-target is different from a phase parameter of the radiation for the negatively biased sub-target.

10. 제 5 절 내지 제 9 절 중 어느 한 절에 있어서,10. according to any one of clauses 5 to 9,

상기 연립 방정식의 각각의 방정식은 센서 비대칭 오차를 나타내는 변수의 함수인 하나 이상의 항을 포함하는, 패터닝 프로세스 파라미터 결정 단계.wherein each equation of the simultaneous equations comprises one or more terms that are a function of a variable representing a sensor asymmetry error.

11. 제 10 절에 있어서,11. As in section 10,

적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 센서 비대칭 오차 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 센서 비대칭 오차 변수와 상이한, 패터닝 프로세스 파라미터 결정 단계.determining a patterning process parameter, wherein at least a sensor asymmetry error parameter of radiation for a positive value of the specific diffraction order of the diffracted radiation is different from a sensor asymmetry error parameter of the radiation for a negative value of the specific diffraction order of the diffracted radiation .

12. 제 5 절 내지 제 11 절 중 어느 한 절에 있어서,12. according to any one of clauses 5 to 11,

상기 연립 방정식을 사용하는 것은,Using the system of equations above,

상기 패터닝 프로세스 파라미터의 값에 도달하도록, 비선형 연립 방정식을 풀이(solving)하는 것을 포함하는, 패터닝 프로세스 파라미터 결정 단계.and solving a system of nonlinear equations to arrive at a value of the patterning process parameter.

13. 제 1 절 내지 제 12 절 중 어느 한 절에 있어서,13. according to any of clauses 1 to 12,

상기 패터닝 프로세스 파라미터는 오버레이인, 방법.wherein the patterning process parameter is overlay.

14. 제 1 절 내지 제 13 절 중 어느 한 절에 있어서,14. according to any of clauses 1 to 13,

실시예에서, 회절 방사선의 값은 패터닝 프로세스를 사용하여 처리된 기판 상의 계측 타겟의 측정으로부터 획득된 회절 값인, 패터닝 프로세스 파라미터 결정 방법.In an embodiment, the value of the diffracted radiation is a diffraction value obtained from measurement of a metrology target on a substrate processed using the patterning process.

15. 제 1 절 내지 제 14 절 중 어느 한 절에 있어서,15. according to any of clauses 1 to 14,

회절 방사선의 값은 계측 타겟의 측정의 시뮬레이션으로부터 획득된 회절 값인, 패터닝 프로세스 파라미터 결정 방법.wherein the value of the diffracted radiation is a diffraction value obtained from a simulation of the measurement of the metrology target.

16. 제 1 절 내지 제 15 절 중 어느 한 절에 있어서,16. according to any of clauses 1 to 15,

상기 조명 상태는 파장 및/또는 편광을 포함하는, 패터닝 프로세스 파라미터 결정 방법.wherein the illumination state comprises wavelength and/or polarization.

17. 제 1 절 내지 제 15 절 중 어느 한 절에 있어서,17. according to any of clauses 1 to 15,

상기 조명 상태는 타겟에 대한 입사각을 포함하는, 패터닝 프로세스 파라미터 결정 방법.wherein the illumination condition comprises an angle of incidence with respect to a target.

18. 제 17 절에 있어서,18. Clause 17,

회절 방사선의 복수 개의 값은, 대응하는 이미지에 각각 관련되는 값들을 포함하고, 상기 이미지 각각은 조명 방사선의 상이한 비-중첩 조명 프로파일에 대응하는, 패터닝 프로세스 파라미터 결정 방법.wherein the plurality of values of the diffracted radiation comprises values each associated with a corresponding image, each image corresponding to a different non-overlapping illumination profile of the illuminating radiation.

19. 제 18 절에 있어서,19. As in section 18,

상기 이미지는 유도된 이미지를 포함하고,The image comprises a derived image,

상기 유도된 이미지 각각은 상기 이미지들 중 다른 것에 관련된 획득 조명 프로파일과 중첩하는 획득 조명 프로파일의 일부에 관련된 정보를 제거하도록, 두 개 이상의 획득된 이미지의 선형 조합으로부터 획득되고, 상기 획득 조명 프로파일은 이미지의 획득에 사용된 실제 조명 프로파일을 포함하는, 패터닝 프로세스 파라미터 결정 방법.each of the derived images is obtained from a linear combination of two or more acquired images, to remove information related to a portion of an acquired illumination profile that overlaps an acquired illumination profile related to another of the images, wherein the acquired illumination profile is an image A method for determining patterning process parameters, including the actual illumination profile used to obtain

20. 제 1 패터닝 프로세스 파라미터 결정 기법을 사용하여 측정 방사선에 의해 조명되는 계측 타겟으로부터 패터닝 프로세스 파라미터의 제 1 값을 결정하는 단계;20. determining a first value of a patterning process parameter from a metrology target illuminated by the measurement radiation using a first patterning process parameter determination technique;

제 1 패터닝 프로세스 파라미터 결정 기법과 다른 제 2 패터닝 프로세스 파라미터 결정 기법을 사용하여 계측 타겟에 대한 패터닝 프로세스 파라미터의 복수 개의 제 2 값을 얻는 단계 - 각각의 제 2 값은 측정 방사선의 상이한 조명 상태에서 결정됨; 및obtaining a plurality of second values of the patterning process parameter for the metrology target using a second patterning process parameter determination technique different from the first patterning process parameter determination technique, each second value being determined at a different illumination state of the measurement radiation ; and

제 1 값 및 제 2 값에 기반하여, 계측 타겟의 측정을 위한 계측 레시피에 대한 측정 방사선 조명 상태를 식별하는 단계를 포함하는, 방법.identifying, based on the first value and the second value, a measurement radiation illumination condition for a metrology recipe for measurement of a metrology target.

21. 제 20 절에 있어서,21. As in section 20,

상기 식별하는 단계는, 제 2 값 중 어느 것이 제 1 값에 가장 가까운지 식별하는 것을 포함하고,wherein the identifying comprises identifying which of the second values is closest to the first value;

식별된 측정 조명 상태는 가장 가까운 제 2 값과 연관된 측정 방사선 조명 상태인, 방법.wherein the identified measured illumination state is a measured radiation illumination state associated with the nearest second value.

22. 제 20 절에 있어서,22. As in section 20,

상기 식별하는 단계는, 제 2 값을 측정 방사선 조명 상태의 함수로서 근사화하는 것 및 상기 근사화로부터, 제 1 값과 같거나 가장 가까운 패터닝 프로세스 파라미터의 값을 가지는 식별된 측정 조명 상태를 외삽 또는 보간하는 것을 포함하는, 방법.The identifying comprises approximating a second value as a function of the measured radiation illumination state and extrapolating or interpolating from the approximation the identified measured illumination state having a value of the patterning process parameter equal to or closest to the first value. a method comprising that.

23. 제 20 절 내지 제 22 절 중 어느 한 절에 있어서,23. according to any one of clauses 20 to 22,

제 1 패터닝 프로세스 파라미터 결정하는 기법은,A technique for determining the first patterning process parameter is:

상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 측정 방사선의 복수 개의 조명 상태 중 상이한 조명 상태에 대응함 -; 및obtaining a plurality of values of the diffracted radiation from the metrology target, each value of the plurality of values corresponding to a different one of the plurality of illumination states of the measurement radiation for the target; and

상기 값들의 조합을 사용하여 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함하는, 방법.determining the same value of the patterning process parameter for the target using the combination of values.

24. 제 23 절에 있어서,24. The method of clause 23,

메트릭에 기반하여, 조명 상태의 더 큰 세트로부터 복수 개의 조명 상태의 사전 선택을 수행하는 것을 더 포함하는, 방법.based on the metric, performing a pre-selection of the plurality of lighting conditions from the larger set of lighting conditions.

25. 제 24 절에 있어서,25. Clause 24,

상기 메트릭은 특정 임계 이하인 스택 감도를 포함하는, 방법.wherein the metric includes a stack sensitivity that is below a certain threshold.

26. 제 23 절 내지 제 25 절 중 어느 한 절에 있어서,26. according to any of clauses 23 to 25,

상기 회절 방사선의 값은 상기 복수 개의 조명 상태 중 적어도 네 개의 조명 상태의 각각에 대하여 획득되는, 방법.and the value of the diffracted radiation is obtained for each of at least four of the plurality of illumination states.

27. 제 23 절 내지 제 26 절 중 어느 한 절에 있어서,27. according to any one of clauses 23 to 26,

상기 타겟은 상이한 바이어스를 각각 가지는 적어도 두 개의 서브-타겟을 포함하고,the target comprises at least two sub-targets each having a different bias,

상기 값들 각각은 특정 서브-타겟으로부터의 회절 방사선에 대응하는, 방법.wherein each of the values corresponds to diffracted radiation from a particular sub-target.

28. 제 23 절 내지 제 27 절 중 어느 한 절에 있어서,28. according to any one of clauses 23 to 27,

상기 값들은, 상기 회절 방사선의 특정 회절 차수 중 양의 값의 방사선 및 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값의 방사선에 개별적으로 대응하는, 방법.wherein the values correspond respectively to positive ones of the particular diffraction orders of the diffracted radiation and to negative ones of the particular diffraction orders of the diffracted radiation respectively.

29. 제 23 절 내지 제 28 절 중 어느 한 절에 있어서,29. according to any of clauses 23 to 28,

상기 값들의 조합을 사용하여 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계는,Determining the same value of the patterning process parameter using the combination of values comprises:

연립 방정식 중 적어도 하나의 방정식의 변수로서 상기 복수 개의 조명 상태 각각을 포함하는 상기 연립 방정식을 사용하는 것을 포함하는, 방법.and using the simultaneous equation including each of the plurality of lighting states as a variable of at least one of the simultaneous equations.

30. 제 29 절에 있어서,30. The method of section 29,

상기 연립 방정식은 적어도 20 개의 방정식을 포함하는, 단계.wherein the simultaneous equations comprise at least 20 equations.

31. 제 29 절 또는 제 30 절에 있어서,31. as in section 29 or 30,

상기 연립 방정식은 20 개 이하의 미지수를 포함하는, 단계.wherein the simultaneous equations contain 20 or fewer unknowns.

32. 제 29 절 내지 제 31 절 중 어느 한 절에 있어서,32. according to any of clauses 29 to 31,

상기 연립 방정식을 사용하는 것은,Using the system of equations above,

상기 패터닝 프로세스 파라미터의 값에 도달하도록, 비선형 연립 방정식을 풀이(solving)하는 것을 포함하는, 단계.and solving a system of nonlinear equations to arrive at a value of the patterning process parameter.

33. 제 20 절 내지 제 32 절 중 어느 한 절에 있어서,33. according to any of clauses 20 to 32,

상기 패터닝 프로세스 파라미터는 오버레이인, 방법.wherein the patterning process parameter is overlay.

34. 제 20 절 내지 제 33 절 중 어느 한 절에 있어서,34. according to any of clauses 20 to 33,

상기 값은 패터닝 프로세스를 사용하여 처리된 기판 상의 계측 타겟의 측정으로부터 획득되는, 방법.wherein the value is obtained from measurement of a metrology target on a substrate processed using a patterning process.

35. 제 20 절 내지 제 34 절 중 어느 한 절에 있어서,35. according to any of clauses 20 to 34,

상기 값은 계측 타겟의 측정의 시뮬레이션으로부터 획득되는, 방법.wherein the value is obtained from a simulation of a measurement of a metrology target.

36. 제 1 절 내지 제 35 절 중 어느 한 절에 있어서,36. according to any of clauses 1-35,

상기 조명 상태는 파장 및/또는 편광을 포함하는, 방법.wherein the illumination state comprises wavelength and/or polarization.

37. 제 1 절 내지 제 35 절 중 어느 한 절에 있어서,37. The method of any of clauses 1-35,

상기 조명 상태는 타겟에 대한 입사각을 포함하는, 방법.wherein the illumination condition comprises an angle of incidence with respect to the target.

38. 제 20 절 내지 제 37 절 중 어느 한 절의 계측 레시피에 따라 기판 상의 계측 타겟을 측정하는 단계를 포함하는, 측정 방법.38. A measurement method comprising measuring a metrology target on a substrate according to the metrology recipe of any of clauses 20-37.

39. 리소그래피 프로세스의 파라미터를 측정하는 계측 장치로서,39. A metrology device for measuring a parameter of a lithographic process, comprising:

상기 계측 장치는 제 1 절 내지 제 38 절 중 어느 한 절의 방법을 수행하도록 동작가능한, 리소그래피 프로세스 파라미터 계측 장치.and the metrology device is operable to perform the method of any one of clauses 1-38.

40. 비일시적 컴퓨터 프로그램 제품으로서, 40. A non-transitory computer program product comprising:

프로세서가 제 1 절 내지 제 38 절 중 어느 한 절의 방법이 수행되게 하기 위한 머신-판독가능 명령을 저장하는, 비일시적 컴퓨터 프로그램 제품.A non-transitory computer program product storing machine-readable instructions for a processor to cause the method of any one of clauses 1-38 to be performed.

41. 기판 상의 계측 타겟에 방사선 빔을 제공하고, 상기 계측 타겟에 의해 산란된 방사선을 검출하도록 구성되는 검사 장치; 및41. an inspection apparatus configured to provide a beam of radiation to a metrology target on a substrate and to detect radiation scattered by the metrology target; and

제 40 절의 비일시적 컴퓨터 프로그램 제품을 포함하는, 시스템.A system comprising the non-transitory computer program product of Section 40.

42. 제 41 절에 있어서,42. The method of section 41,

상기 시스템은, 방사선 빔을 변조하기 위해 패터닝 디바이스를 홀딩하도록 구성되는 지지 구조체 및 변조된 방사선 빔을 방사선 감응 기판 상에 투영하도록 배치되는 투영 광학계를 더 포함하는, 시스템.The system further comprises a support structure configured to hold the patterning device to modulate the radiation beam and projection optics arranged to project the modulated radiation beam onto the radiation-sensitive substrate.

본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안 되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.The scope and scope of application of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (15)

계측 타겟으로부터 패터닝 프로세스 파라미터를 결정하는 방법으로서,
상기 계측 타겟으로부터의 회절 방사선의 복수 개의 값들을 획득하는 단계 - 복수 개의 값들의 각각의 값은, 타겟에 대한 조명 방사선의 복수 개의 조명 상태 중 상이한 조명 상태에 대응함 -; 및
상기 값들의 조합을 사용하여, 연립 방정식 중 적어도 하나의 방정식의 변수로서 상기 복수 개의 조명 상태 각각을 포함하는 연립 방정식을 풀이함으로써, 상기 타겟에 대한 상기 패터닝 프로세스 파라미터의 동일한 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 방법.
A method of determining a patterning process parameter from a metrology target, comprising:
obtaining a plurality of values of diffracted radiation from the metrology target, each value of the plurality of values corresponding to a different one of a plurality of illumination states of illumination radiation for the target; and
determining the same value of the patterning process parameter for the target by using the combination of values to solve a simultaneous equation comprising each of the plurality of illumination states as a variable in at least one of the simultaneous equations; A method for determining patterning process parameters.
제 1 항에 있어서,
상기 회절 방사선의 값은 상기 복수 개의 조명 상태 중 적어도 네 개의 조명 상태의 각각에 대하여 획득되는, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
and the value of the diffracted radiation is obtained for each of at least four illumination states of the plurality of illumination states.
제 1 항 또는 제 2 항에 있어서,
상기 타겟은 상이한 바이어스를 각각 가지는 적어도 두 개의 서브-타겟을 포함하고,
상기 값들 각각은 특정 서브-타겟으로부터의 회절 방사선에 대응하는, 패터닝 프로세스 파라미터 결정 방법.
3. The method according to claim 1 or 2,
the target comprises at least two sub-targets each having a different bias,
wherein each of the values corresponds to diffracted radiation from a particular sub-target.
제 1 항 또는 제 2 항에 있어서,
상기 값들은, 상기 회절 방사선의 특정 회절 차수 중 양의 값의 방사선 및 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값의 방사선에 개별적으로 대응하는, 패터닝 프로세스 파라미터 결정 방법.
3. The method according to claim 1 or 2,
wherein the values correspond respectively to positive one of the particular diffraction orders of the diffracted radiation and the negative one of the particular diffraction order of the diffracted radiation respectively.
삭제delete 제 1 항에 있어서,
상기 연립 방정식은 적어도 16 개의 방정식을 포함하는, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
wherein the simultaneous equations comprise at least 16 equations.
제 1 항에 있어서,
상기 연립 방정식은 16 개 이하의 미지수를 포함하는, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
wherein the simultaneous equations contain no more than 16 unknowns.
제 1 항에 있어서,
상기 타겟은 상부 주기적 구조체 및 하부 주기적 구조체를 포함하고,
상기 연립 방정식의 각각의 방정식은, 상기 타겟의 하부 주기적 구조체로부터의 방사선의 진폭을 나타내는 변수 및 상기 타겟으로부터의 방사선의 위상을 나타내는 변수의 함수인 하나 이상의 항을 포함하며,
적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 진폭 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 진폭 변수와 다르고,
적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 위상 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 위상 변수와 다른, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
The target comprises an upper periodic structure and a lower periodic structure,
wherein each equation of the simultaneous equations comprises one or more terms that are a function of a variable representing the amplitude of radiation from an underlying periodic structure of the target and a variable representing a phase of radiation from the target,
at least an amplitude variable of the radiation for a positive value of a particular diffraction order of the diffracted radiation is different from an amplitude variable of the radiation for a negative value of the particular diffraction order of the diffracted radiation;
at least a phase parameter of radiation for a positive value of a particular diffraction order of the diffracted radiation is different from a phase parameter of the radiation for a negative value of the particular diffraction order of the diffracted radiation.
제 1 항에 있어서,
상기 타겟은, 주기적 구조체의 양의 바이어스를 갖는 상기 타겟의 서브-타겟 및 주기적 구조체의 음의 바이어스를 갖는 상기 타겟의 서브-타겟을 포함하고,
상기 연립 방정식의 각각의 방정식은, 상기 타겟으로부터의 방사선의 진폭을 나타내는 변수 및 상기 타겟으로부터의 방사선의 위상을 나타내는 변수의 함수인 하나 이상의 항을 포함하며,
적어도 상기 양의 바이어스가 있는 서브-타겟에 대한 방사선의 진폭 변수는, 상기 음의 바이어스가 있는 서브-타겟에 대한 방사선의 진폭 변수와 다르고,
적어도 상기 양의 바이어스가 있는 서브-타겟에 대한 방사선의 위상 변수는, 상기 음의 바이어스가 있는 서브-타겟에 대한 방사선의 위상 변수와 다른, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
wherein the target comprises a sub-target of the target having a positive bias of the periodic structure and a sub-target of the target having a negative bias of the periodic structure,
wherein each equation of the simultaneous equations comprises one or more terms that are a function of a variable representing the amplitude of radiation from the target and a variable representing the phase of the radiation from the target,
at least the amplitude variable of the radiation for the positively biased sub-target is different from the amplitude variable of the radiation for the negatively biased sub-target;
at least a phase parameter of the radiation for the positively biased sub-target is different from a phase parameter of the radiation for the negatively biased sub-target.
제 1 항에 있어서,
상기 연립 방정식의 각각의 방정식은 센서 비대칭 오차를 나타내는 변수의 함수인 하나 이상의 항을 포함하는, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
wherein each equation of the simultaneous equations includes one or more terms that are a function of a variable representing a sensor asymmetry error.
제 10 항에 있어서,
적어도 상기 회절 방사선의 특정 회절 차수 중 양의 값에 대한 방사선의 센서 비대칭 오차 변수는, 상기 회절 방사선의 상기 특정 회절 차수 중 음의 값에 대한 방사선의 센서 비대칭 오차 변수와 상이한, 패터닝 프로세스 파라미터 결정 방법.
11. The method of claim 10,
a method for determining a patterning process parameter, wherein at least a sensor asymmetry error parameter of radiation for a positive value of a particular diffraction order of the diffracted radiation is different from a sensor asymmetry error parameter of radiation for a negative value of the particular diffraction order of the diffracted radiation .
제 1 항에 있어서,
상기 연립 방정식을 풀이하는 것은,
상기 패터닝 프로세스 파라미터의 값에 도달하도록, 비선형 연립 방정식을 풀이하는 것을 포함하는, 패터닝 프로세스 파라미터 결정 방법.
The method of claim 1,
Solving the system of equations above is,
and solving a system of nonlinear equations to arrive at a value of the patterning process parameter.
리소그래피 프로세스의 파라미터를 측정하는 계측 장치로서,
상기 계측 장치는 제 1 항 또는 제 2 항의 방법을 수행하도록 동작가능한, 리소그래피 프로세스 파라미터 계측 장치.
A metrology device for measuring a parameter of a lithographic process, comprising:
A lithographic process parameter metrology device, wherein the metrology device is operable to perform the method of claim 1 or 2 .
프로세서가 제 1 항 또는 제 2 항의 방법을 수행하도록 하기 위한 머신-판독가능 명령을 포함하는 컴퓨터 프로그램이 저장된, 컴퓨터 판독가능 기록 매체.A computer-readable recording medium having stored thereon a computer program comprising machine-readable instructions for causing a processor to perform the method of claim 1 or 2. 기판 상의 계측 타겟에 방사선 빔을 제공하고, 상기 계측 타겟에 의해 산란된 방사선을 검출하도록 구성되는 검사 장치; 및
제 14 항의 컴퓨터 판독가능 기록 매체를 포함하는, 시스템.
an inspection apparatus configured to provide a beam of radiation to a metrology target on a substrate and to detect radiation scattered by the metrology target; and
A system comprising the computer readable recording medium of claim 14 .
KR1020197035138A 2017-05-03 2018-04-10 Determination of measurement parameters and selection of measurement recipes KR102326192B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762501047P 2017-05-03 2017-05-03
US62/501,047 2017-05-03
EP18152479.4 2018-01-19
EP18152479 2018-01-19
PCT/EP2018/059183 WO2018202388A1 (en) 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection

Publications (2)

Publication Number Publication Date
KR20190142390A KR20190142390A (en) 2019-12-26
KR102326192B1 true KR102326192B1 (en) 2021-11-15

Family

ID=61899316

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197035138A KR102326192B1 (en) 2017-05-03 2018-04-10 Determination of measurement parameters and selection of measurement recipes

Country Status (5)

Country Link
JP (1) JP7000454B2 (en)
KR (1) KR102326192B1 (en)
CN (1) CN110603490B (en)
IL (1) IL270315B2 (en)
TW (2) TWI799893B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
JP7260669B2 (en) * 2019-05-03 2023-04-18 エーエスエムエル ネザーランズ ビー.ブイ. Methods for Determining Alignment Models Based on Oblique Fitting Techniques
WO2021151754A1 (en) * 2020-01-29 2021-08-05 Asml Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
IL303221A (en) * 2020-12-08 2023-07-01 Asml Netherlands Bv Method of metrology and associated apparatuses
CN117516882A (en) * 2023-11-08 2024-02-06 上海市第一人民医院 Portable visual optical prism degree detection device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140233025A1 (en) * 2004-08-16 2014-08-21 Asml Netherlands B.V. Method and Apparatus for Angular-Resolved Spectroscopic Lithography Characterization
US20160313654A1 (en) * 2015-04-21 2016-10-27 Asml Netherlands B.V. Metrology Method and Apparatus, Computer Program and Lithographic System

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7279258B2 (en) * 2004-03-12 2007-10-09 Infineon Technologies Richmond, Lp Method and arrangement for controlling focus parameters of an exposure tool
US7564555B2 (en) 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
JP6133980B2 (en) 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. Metrology for lithography
WO2015101461A2 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140233025A1 (en) * 2004-08-16 2014-08-21 Asml Netherlands B.V. Method and Apparatus for Angular-Resolved Spectroscopic Lithography Characterization
US20160313654A1 (en) * 2015-04-21 2016-10-27 Asml Netherlands B.V. Metrology Method and Apparatus, Computer Program and Lithographic System

Also Published As

Publication number Publication date
JP2020518848A (en) 2020-06-25
IL270315B1 (en) 2023-08-01
TWI799893B (en) 2023-04-21
IL270315B2 (en) 2023-12-01
TW202212987A (en) 2022-04-01
KR20190142390A (en) 2019-12-26
TWI756417B (en) 2022-03-01
CN110603490A (en) 2019-12-20
JP7000454B2 (en) 2022-02-04
CN110603490B (en) 2022-12-30
IL270315A (en) 2019-12-31
TW201843534A (en) 2018-12-16

Similar Documents

Publication Publication Date Title
US11106142B2 (en) Metrology recipe selection
TWI722255B (en) Inspection methods and systems, metrology apparatuses, and non-transitory computer program products
US11448974B2 (en) Metrology parameter determination and metrology recipe selection
CN111736436B (en) Determination of stack differences and correction using stack differences
KR102364227B1 (en) Determination of measurement parameters and selection of measurement recipes
CN110050233B (en) Method and device for predicting performance of measurement method, measurement method and device
KR102326192B1 (en) Determination of measurement parameters and selection of measurement recipes
KR102416276B1 (en) How to determine patterning process parameters
JP7110327B2 (en) Metrology method and apparatus
KR20190129988A (en) Asymmetrical Monitoring of Structures
TWI666713B (en) Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
EP3299890A1 (en) Metrology recipe selection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant