KR102284308B1 - 초전도체 상호연결 제조를 위한 예비세정 방법 - Google Patents

초전도체 상호연결 제조를 위한 예비세정 방법 Download PDF

Info

Publication number
KR102284308B1
KR102284308B1 KR1020197007376A KR20197007376A KR102284308B1 KR 102284308 B1 KR102284308 B1 KR 102284308B1 KR 1020197007376 A KR1020197007376 A KR 1020197007376A KR 20197007376 A KR20197007376 A KR 20197007376A KR 102284308 B1 KR102284308 B1 KR 102284308B1
Authority
KR
South Korea
Prior art keywords
superconducting
time
dielectric layer
layer
interconnect
Prior art date
Application number
KR1020197007376A
Other languages
English (en)
Other versions
KR20190035900A (ko
Inventor
크리스토퍼 에프. 컬비
산드로 제이. 디 자코모
마이클 레니
Original Assignee
노스롭 그루먼 시스템즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노스롭 그루먼 시스템즈 코포레이션 filed Critical 노스롭 그루먼 시스템즈 코포레이션
Publication of KR20190035900A publication Critical patent/KR20190035900A/ko
Application granted granted Critical
Publication of KR102284308B1 publication Critical patent/KR102284308B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76891Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by using superconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53285Conductive materials containing superconducting materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E40/00Technologies for an efficient electrical power generation, transmission or distribution
    • Y02E40/60Superconducting electric elements or equipment; Power systems integrating superconducting elements or equipment

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

초전도체 디바이스 상호연결 구조를 형성하는 방법이 제공된다. 방법은, 기판 위에 놓이게 제1 유전체 층을 형성하는 단계, 및 초전도 상호연결 엘리먼트가 제1 상호연결 층을 형성하기 위해 제1 유전체 층의 최상부 표면과 정렬된 최상부 표면을 갖도록 제1 유전체 층에 초전도 상호연결 엘리먼트를 형성하는 단계를 포함한다. 방법은 또한, 제1 상호연결 층의 최상부 표면 상에서 플라즈마 세정을 수행하는 단계, 및 제1 유전체 층 위에 제2 유전체 층을 증착시키는 단계를 포함한다.

Description

초전도체 상호연결 제조를 위한 예비세정 방법
관련 출원들
본 출원은, 2016년 8월 16일자로 출원된 미국 특허 출원 제15/238394호로부터의 우선권을 주장하며, 그 출원은 그 전체가 본 명세서에 포함된다.
정부 이익
본 발명은 미국 계약 번호 제 30059278호 하에서 만들어졌다. 따라서, 미국 정부는 그 계약에서 특정된 바와 같이 본 발명에 대한 권리들을 갖는다.
본 발명은 일반적으로 초전도체들에 관한 것으로, 더 상세하게는 초전도체 상호연결 제조를 위한 예비세정 방법에 관한 것이다.
초전도 회로들은, 통신 신호 무결성 또는 컴퓨팅 전력이 필요한 국가 보안 애플리케이션들에 상당한 향상들을 제공하는 것으로 예상되는 양자 컴퓨팅 및 암호화 애플리케이션들에 대해 제안된 선두 기술들 중 하나이다. 그들은 100 켈빈 미만의 온도에서 동작된다. 초전도 디바이스들의 제조에 대한 노력들은 주로 대학 또는 정부 연구 실험실들로 한정되어 왔으며, 초전도 디바이스들의 대량 생산에 대해서는 거의 발표되지 않았다. 따라서, 이들 실험실들에서 초전도 디바이스들을 제조하는 데 사용되는 많은 방법들은 신속하고 일관된 제조가 가능하지 않은 프로세스들 또는 장비를 이용한다. 최근에, 종래의 반도체 프로세스들에서 이용되는 것과 유사한 기법들을 이용하여 초전도 회로들을 대량 생산하기 위한 움직임이 존재했다.
하나의 잘 알려진 반도체 프로세스는 집적 회로의 상이한 층들에 걸쳐 디바이스들을 서로 커플링시키기 위한 멀티-레벨 상호연결 스택에서의 콘택들 및 전도성 라인들의 형성이다. 전도성 콘택들 및 라인들의 형성을 위한 하나의 그러한 제조 프로세스는 듀얼 다마신(dual damascene) 프로세스로서 알려져 있다. 이러한 기법은 초전도 회로들의 형성에서 최근에 시도되었다. 듀얼 다마신 초전도 회로들의 제조 동안, 비아/트렌치 구조들이 패턴화되고, 에칭되고, 금속(예를 들어, 니오븀, 탄탈륨, 알루미늄)으로 충전되며, 이어서 화학적 기계적 연마(CMP) 프로세스를 사용하여 다시 연마된다. 이어서, 다음의 레벨의 유전체가 증착되고, 시퀀스가 다시 시작되어, 멀티-레벨 상호연결 스택을 구축한다. CMP 프로세스, 및 다음의 유전체 층의 증착 이전의 산소에 대한 임의의 노출은 전도성 콘택들 및 라인들의 산화를 초래할 수 있으며, 이는 성능을 저하시킨다. 기판 표면으로부터 원치않는 산화된 층들을 제거하기 위해 하나의 기법은 아르곤(Ar) 스퍼터 에칭 프로세스를 이용한다. 그러나, Ar 스퍼터 프로세스는 이러한 애플리케이션에서 수용가능하지 않을 수 있는데, 그 이유는 그것이 기판 표면 상에서 비-휘발성 초전도 화합물들의 재증착된 층을 생성하기 때문이다.
일 예에서, 초전도체 디바이스 상호연결 구조를 형성하는 방법이 제공된다. 방법은, 기판 위에 놓이게 제1 유전체 층을 형성하는 단계, 및 초전도 상호연결 엘리먼트가 제1 상호연결 층을 형성하기 위해 제1 유전체 층의 최상부 표면과 정렬된 최상부 표면을 갖도록 제1 유전체 층에 초전도 상호연결 엘리먼트를 형성하는 단계를 포함한다. 방법은 또한, 제1 상호연결 층의 최상부 표면 상에서 세정 프로세스를 수행하는 단계, 및 제1 유전체 층 위에 제2 유전체 층을 증착시키는 단계를 포함한다.
다른 예에서, 초전도체 디바이스 상호연결 구조를 형성하는 다른 방법이 제공된다. 이러한 방법은, 상호연결 층을 예비세정 챔버에 배치하는 단계를 포함하며, 상호연결 층은 제1 유전체 층의 최상부 표면과 정렬되는 최상부 표면을 갖는 초전도 콘택 또는 전도성 라인을 갖고, 초전도 콘택 또는 전도성 라인의 최상부 표면은 산화된 층을 갖는다. 테트라플루오로메탄(CF4) 가스가 예비세정 챔버로 도입되며, 초전도 콘택 또는 전도성 라인으로부터 산화된 층을 제거하기 위해 미리 결정된 시간 기간 동안 테트라플루오로메탄(CF4) 가스로 플라즈마 세정 에칭을 유도하도록 에칭 조건들이 설정된다. 방법은, 상호연결 층을 증착 챔버에 배치하는 단계, 및 상호연결 층 위에 제2 유전체를 증착시키는 단계를 더 포함한다.
또 다른 예에 따르면, 초전도체 디바이스 상호연결 구조를 형성하는 추가적인 방법이 제공된다. 방법은, 제1 유전체 층에 하나 이상의 초전도 상호연결 엘리먼트들을 형성하기 위해 기판 위에 놓인 제1 유전체 층 내의 개구들에 니오븀을 증착시키는 단계, 및 하나 이상의 초전도 상호연결 엘리먼트들의 최상부 표면을 제1 유전체 층의 최상부 표면과 정렬시키도록 화학적 기계적 연마(CMP)를 수행하는 단계를 포함하며, CMP는 하나 이상의 초전도 상호연결 엘리먼트들의 최상부 표면 상에서 산화를 야기한다. 방법은, 제1 유전체 층의 환경으로 테트라플루오로메탄(CF4) 가스를 도입하고 에칭 조건들을 설정하여 테트라플루오로메탄(CF4) 가스로 플라즈마 세정 에칭을 유도함으로써, 산화에 대해 플라즈마 세정을 수행하는 단계; 및 제1 상호연결 층 위에 제2 유전체를 증착시키는 단계를 더 포함한다.
도 1은 초전도체 디바이스 상호연결 구조의 단면도를 예시한다.
도 2는 자신의 초기 제조 스테이지들에서의 초전도체 구조의 일 예의 개략적인 단면도를 예시한다.
도 3은 포토레지스트 재료층이 증착 및 패턴화된 이후 그리고 에칭 챔버에서 에칭 프로세스를 경험하는 동안의 도 2의 구조의 개략적인 단면도를 예시한다.
도 4는 에칭 프로세스 이후 그리고 포토레지스트 재료층이 스트리핑(strip)된 이후의 도 3의 구조의 개략적인 단면도를 예시한다.
도 5는 재료 증착 챔버에서의 콘택 재료 충전 이후의 도 4의 구조의 개략적인 단면도를 예시한다.
도 6은 화학적 기계적 연마를 경험한 이후의 도 5의 구조의 개략적인 단면도를 예시한다.
도 7은 예비세정 프로세스를 경험하기 위해 예비세정 챔버에 배치된 도 6의 구조의 개략적인 단면도를 예시한다.
도 8은 예비세정 에칭 프로세스를 경험하는 동안의 도 7의 구조의 개략적인 단면도를 예시한다.
도 9는 증착 챔버에 배치되는 도 8의 구조의 개략적인 단면도를 예시한다.
도 10은 제2 유전체 층의 증착을 경험한 이후의 도 9의 구조의 개략적인 단면도를 예시한다.
본 발명은 초전도체 상호연결 구조 및 이를 형성하기 위한 방법에 관한 것이다. 방법은, 유전체의 다음 레벨에서의 금속 상호연결 엘리먼트들의 캡슐화 이전에 초전도 금속 상호연결 엘리먼트들(예를 들어, 전도성 라인들, 콘택들)로부터 산화물 층들을 제거하기 위한 예비세정 프로세스를 포함한다. 산화물들은 화학적 기계적 프로세스(CMP)의 결과로서 그리고/또는 진공 환경 외부의 산소에 대한 초전도체 상호연결 구조의 노출의 결과로서 이루어질 수 있다. 일 예에서, 방법은 고밀도 멀티레벨 상호연결 서브미크론 기술로 스케일링하기 위해 플라즈마 세정 프로세스를 듀얼 다마신 프로세스로 통합한다. 방법은, 하부층(underlying layer) 상에서 금속 상호연결 엘리먼트들의 매끄러운 깨끗한 표면을 보장하기 위해 듀얼 다마신 프로세스에서의 다음의 층의 유전체 증착 이전에 테트라플루오로메탄(CF4) 기반 플라즈마 세정 에칭 프로세스를 이용할 수 있다.
방법은, 플루오린 라디칼들의 수를 증가시키고 에칭 레이트를 증가시키기 위해 테트라플루오로메탄(CF4)과 함께 산소(O2)를 챔버로 유동시킬 수 있다. 산소의 유동은, 테트라플루오로메탄(CF4)만이 챔버로 느리게 유동되도록 중지될 수 있다. 더 느린 CF4만의 에칭은 존재하는 O2 없이 에칭을 끝내므로, O2의 존재에 의해 야기되는 임의의 의도치 않은 산화는 에칭이 중지되는 경우 실질적으로 제거될 것이다. 분해된 테트라플루오로메탄(CF4)은, 초전도 상호연결 엘리먼트의 표면으로부터 증발될 가스를 형성하고, 그 결과, 다음의 층의 유전체 증착 이전에 초전도 상호연결 엘리먼트의 최상부 표면 상에 매끄러운 표면을 남기기 위해 금속-산화물과 결합될 것이다.
도 1은 초전도체 상호연결 구조(10)의 단면도를 예시한다. 초전도체 상호연결 구조(10)는 기판(12) 위에 놓인 활성층(14)을 포함한다. 기판(12)은 실리콘, 유리 또는 다른 기판 재료로 형성될 수 있다. 활성층(14)은 바닥층(ground layer) 또는 디바이스 층일 수 있다. 제1 유전체 층(16)은 활성층(14) 위에 놓이고, 제2 유전체 층(18)은 제1 유전체 층(16) 위에 놓인다. 제1 및 제2 유전체 층들(16 및 18) 둘 모두는, 초전도 디바이스들의 형성에서 통상적으로 이용되는 낮은 온도들(예를 들어, 섭씨 160도 이하)에서 이용될 수 있는 낮은 온도 유전체 재료로 형성될 수 있다. 제1 전도성 라인(20)은 제1 유전체 층(16)에 매립된다. 제1 전도성 콘택(22)은 제1 단부의 제1 전도성 라인(20)으로부터 제2 유전체 층(18) 내의 제2 전도성 라인(24)으로 연장되고, 제2 전도성 콘택(26)은 제2 단부의 제1 전도성 라인(20)으로부터 제2 유전체 층(18) 내의 제3 전도성 라인(28)으로 연장된다. 콘택들 및 전도성 라인들 각각은 니오븀과 같은 초전도 재료로 형성된다. 본 명세서에 설명된 바와 같은 세정 프로세스는 다음의 유전체 층의 증착 이전에 수행된다. 세정 프로세스는 또한, 층(18)의 증착 이전에 수행될 수 있다.
이제 도 2 내지 도 10을 참조하면, 제조가 도 1의 초전도 디바이스에서의 상호연결들의 형성과 관련하여 논의된다. 본 예가 절연 유전체에서의 초전도 금속의 단일 또는 듀얼 다마신 층 중 어느 하나의 형성으로 시작하는 프로세스 흐름에 대해 논의됨을 인식할 것이다. 본 예는 최하부 전도성 라인을 형성하기 위해 유전체 박막으로 에칭되는 단일 다마신 트렌치, 이어서 최상부 전도성 라인들을 형성하기 위한 듀얼 다마신 프로세스에 대해 예시될 것이다.
도 2는 자신의 초기 제조 스테이지들에서의 초전도체 구조(40)의 단면도를 예시한다. 초전도체 구조는 하나 이상의 유전체 층들에 비아들 및 트렌치들을 형성하기 위해 에칭 챔버에 상주한다. 초전도체 구조(40)는 하부 기판(50)을 오버레이(overlay)하는 활성층(52), 이를테면 바닥층 또는 디바이스 층을 포함한다. 하부 기판(50)은, 예를 들어, 활성층(52) 및 후속의 위에 놓인 층들에 대한 기계적 지지부를 제공하는 실리콘 또는 유리 웨이퍼일 수 있다. 제1 유전체 층(54)은 활성층(52) 위에 형성된다. 제1 유전체 층(54)을 형성하기 위한 임의의 적합한 기법, 이를테면, 상호연결 층을 제공하기에 적합한 두께에 대해 낮은 압력 화학 기상 증착(LPCVD), 플라즈마 강화된 화학 기상 증착(PECVD), 고밀도 플라즈마 화학 기상 증착(HDPCVD), 스퍼터링 또는 스핀-온(spin-on) 기법들이 이용될 수 있다. 대안적으로, 제1 유전체 층(54)은 활성층(52)이 생략된 예들에서는 기판(50) 바로 위에 형성될 수 있다. 전도성 라인(56)은 제1 유전체 층(54) 내에 상주하며, 제1 유전체 층(54)의 최상부 표면과 동일 평면 상에 있는 최상부 표면을 갖는다. 전도성 라인(56)은 단일 다마신 프로세스로 형성될 수 있으며, 다음의 유전체 층의 증착 이전에 세정 프로세스를 경험한다.
제2 유전체 층(58)은 제1 유전체 층(54) 위에 놓이며, 제2 유전체 층(58)의 최상부 표면으로부터, 제1 유전체 층(54)에 상주하는 전도성 라인(56)의 최상부 표면으로 연장되는 비아들의 쌍(60)을 포함한다. 비아들의 쌍(60)은 듀얼 다마신 프로세스의 제1 부분에서 형성되었다. 도 3은 듀얼 다마신 프로세스의 제2 부분을 예시한다. 도 3에 예시된 바와 같이, 포토레지스트 재료층(62)은, 구조를 커버하기 위해 도포되었으며, 트렌치 패턴에 따라 포토레지스트 재료층(62)에서 트렌치 개구들(64)을 노출시키도록 패턴화 및 현상되었다. 포토레지스트 재료층(62)은, 포토레지스트 재료층(62)을 패턴화시키는 데 사용되는 방사선의 파장에 대응하여 변하는 두께를 가질 수 있다. 포토레지스트 재료층(62)은 스핀-코딩 또는 스핀 캐스팅(spin casting) 증착 기법들을 통해 제2 유전체 층(58) 위에 형성되고, (예를 들어, DUV(deep ultraviolet) 조사를 통해) 선택적으로 조사 및 현상되어, 트렌치 개구들(64)을 형성할 수 있다.
도 3은 또한, 포토레지스트 재료층(62) 내의 트렌치 패턴에 기초하여 제2 유전체 층(58)에서 연장된 트렌치 개구들(68)(도 4)을 형성하기 위한 제2 유전체 층(58) 상에서의 에칭(200)(예를 들어, 이방성 반응 이온 에칭(RIE))의 수행을 예시한다. 에칭 단계(200)는 건식 에칭이며, 하부의 전도성 라인(56) 및 위에 놓인 포토레지스트 재료층(62)보다 더 빠른 레이트로 하부의 제2 유전체 층(58)을 선택적으로 에칭시키는 에천트를 이용할 수 있다. 예를 들어, 제2 유전체 층(58)은, 패턴화된 포토레지스트 재료층(62)의 마스크 패턴을 복제하여, 그에 의해 연장된 트렌치 개구들(64)을 생성하기 위해, 상업적으로 이용가능한 에처(etcher), 이를테면 평행 플레이트 RIE 장치 또는 대안적으로는 전자 사이클로트론 공진(ECR) 플라즈마 리액터에서 플라즈마 가스(들), 본 명세서에서는 플루오린 이온들을 함유하는 탄소 테트라플루오라이드(CF4)를 이용하여 이방성 에칭될 수 있다. 그 후, 포토레지스트 재료층(62)은 도 4에 도시된 구조를 초래하기 위해 (예를 들어, O2 플라즈마에서 애싱(ashing)하여) 스트리핑된다.
다음으로, 도 5에 예시된 바와 같이, 구조는 재료 증착 챔버(110)에 배치되고 콘택 재료 충전물을 경험하여, 니오븀과 같은 초전도 재료(70)를 비아 개구들(60) 및 트렌치 개구들(64)로 증착시켜 도 5에 도시된 결과적인 구조를 형성한다. 콘택 재료 충전물은 표준 콘택 재료 증착을 이용하여 증착될 수 있다. 콘택 재료 충전물의 증착에 후속하여, 초전도 재료(70)는 연마 챔버(120)에 배치되고, 유전체 층(58)의 표면 레벨 아래로 화학적 기계적 연마(CMP)를 통해 연마되어, 금속 상호연결들의 일부를 형성하는 전도성 라인들(74) 및 콘택들(72)을 형성하고 도 6의 결과적인 구조를 제공한다.
그러나, CMP 프로세스 동안, 화학 산화물은 대략 70Å의 두께로 금속의 표면 상에서 성장되고, CMP 프로세스가 완료된 이후에도 유지될 수 있다. 이러한 산화물은, 예를 들어, CMP 프로세스에서의 암모늄 수산화물 및 과산화수소의 존재로 인해 성장된다. 니오븀이 금속으로서 이용되는 경우, 니오븀 산화물이 형성된다. 이러한 니오븀 산화물의 존재는 초전도 회로들의 성능을 저하시킬 것이므로(금속 라인들의 손실들), 그것은 다음의 유전체 층의 증착 이전에 제거될 필요가 있다. 도 6의 결과적인 구조는 CMP 프로세스의 결과로서 초전도 재료 상에서 산화된 표면층(76)을 가질 수 있다.
이어서, 도 7에 예시된 바와 같이, 결과적인 구조는 예비세정 챔버(130)에 배치되어, 예비세정 프로세스를 경험한다. 결과적인 구조는, CMP 챔버로부터 예비세정 챔버로 제거되는 경우 산소에 대한 자신의 노출로 인해 초전도 재료 상의 산화된 표면층을 갖거나, 또는 CMP 프로세스로부터 형성된 산화물 층들에 부가하여 산화된 층을 가질 수 있다. 예비세정 프로세스의 목적은 다음 레벨의 유전체 층에서 금속 상호연결 표면들의 캡슐화 이전에 그들로부터 이들 산화물 층들을 제거하는 것이다.
예비세정 챔버(130)는, 산소 유동 제어 디바이스(340)에 기초한 유량으로 산소(O2)(78)를 예비세정 챔버(130)로 제공하는 산소 소스(330), 및 테트라플루오로메탄 유동 제어 디바이스(360)에 기초한 유량으로 테트라플루오로메탄(CF4)(80)을 예비세정 챔버(130)로 제공하는 테트라플루오로메탄 소스(350)를 포함한다. 예비세정 챔버(130)는 또한, 챔버(130) 내부의 압력을 설정하는 압력 제어기(300), 예비세정 챔버(130)에서 라디오파 전력을 설정하는 RF 생성기(310), 및 압력 챔버(130)에서 자기장을 설정하는 자기장 제어기(320)를 포함한다. 일 예에서, 예비세정 챔버(130)는 P5000 메인프레임에 부착된 어플라이드 머티어리얼즈(Applied Materials) MxP 에칭 챔버이지만, 또한 표준 어플라이드 머티어리얼즈 스퍼터 에칭 챔버, 또는 임의의 적절하게 장비된 평행 플레이트 반응 이온 에칭(RIE) 챔버일 수 있다.
본 예는 예비세정 프로세스에서 5개의 단계들을 예시하며, 니오븀 금속 전도성 라인들 및/또는 콘택들의 이용을 가정하지만, 탄탈륨과 같은 다른 초전도 금속들이 또한 사용될 수 있다. 도 7에서, 챔버 압력은 약 100밀리토르(mT)로 설정되고, CF4 및 O2 가스 유동들은 약 20초 동안 약 90sccm(standard cubic centimeters per minute) 및 약 15sccm으로 각각 설정된다. 이어서, RF 생성기는 약 1초 동안 약 1와트(W)로 턴 온된다. 다음으로, RF 전력은 약 50W로 설정되며, 자석들은 약 5초 동안 각각의 단계에서 1차 니오븀 산화물을 제공하기 위해 약 60가우스(G)로 설정된다. 테트라플루오로메탄(CF4)와 함께 예비세정 챔버(130)로의 산소(O2)의 방법론적인 유동은 금속-산화물 층의 효과적인 벌크 제거를 위해 충분히 많은 수의 플루오린 라디칼들이 존재하는 것을 보장한다.
다음으로, 도 8을 참조하면, 테트라플루오로메탄(CF4)만이 약 10초 동안의 CF4 단독의 에칭 프로세스를 위해 챔버로 유동되도록 O2 가스 유동이 중지된다. 테트라플루오로메탄(CF4)은, 니오븀 산화물 층들을 본질적으로 에칭 어웨이(etch away)하여 금속 상호연결의 표면으로부터 증발될 가스를 형성하고, 그 결과, 다음의 층의 유전체 증착 이전에 금속 상호연결의 최상부 표면 상에 매끄러운 표면을 남기기 위해 금속-산화물과 결합될 것이다. 테트라플루오로메탄(CF4)은, 금속 상호연결의 표면으로부터 증발될 가스인 NbF5(84)를 생성하기 위해 니오븀(Nb)과 결합될 것이다. 부가적으로, 플라즈마 에칭은 니오븀-산화물 결합을 붕괴시키고, 표면으로부터 또한 증발될 O2 가스를 생성할 것이다. 마지막으로, 모든 가스 유동들은 중지되며, RF 전력 및 자석들은 턴 오프되고, 스로틀은 약 5초 동안 완전히 개방된다. 이러한 레시피의 변동은 CF4 더하기 O2 조합 유동을 생략하고 더 긴 시간 기간 동안 단일 CF4 에칭 단계를 구동하는 것이다. 이러한 프로세스에 대한 다른 변동은 상이한 가스 유동들을 사용할 것이지만, 동일한 최종 결과를 달성하기 위해 유사한 유동 비율들을 사용할 것이다.
도 9에 예시된 바와 같이, 이어서, 세정된 최상부 표면을 갖는 초전도체 구조는, 초전도체 구조에서 다음의 상호연결 층을 형성하기 위해 후속 유전체 증착 프로세스를 경험하도록 증착 챔버(140)로 이동된다. 결과적인 구조가 도 10에 예시되며, 후속 유전체 층(88)은 구조 위에 놓이고 제1 및 제2 전도성 라인들을 캡슐화한다. 이어서, 후속 유전체 층은 후속 상호연결 층들에 대해 추가로 프로세싱될 수 있다.
일 예에서, 예비세정 챔버 및 유전체 증착 챔버는, 챔버들 사이의 이송이 진공에서 발생하고 예비세정과 증착 사이에서 금속 라인들의 임의의 원치않는 산화를 피하도록 동일한 메인프레임 상에 장착된다. 대안적인 예는, 상이한 메인프레임들 상에 2개의 챔버들을 가지며, 대기에서 소비되는 시간을 최소화시키기 위해 예비세정 프로세스의 종료와 유전체 증착의 시작 사이의 지연을 엄격하게 제어하는 것이다.
위에서 설명된 것은 본 발명의 예들이다. 물론, 본 발명을 설명하려는 목적들을 위해 컴포넌트들 또는 방법들의 모든 각각의 인지가능한 결합을 설명하는 것이 가능하지 않지만, 당업자는 본 발명의 많은 추가적인 결합들 및 변형들이 가능함을 인식할 것이다. 따라서, 본 발명은, 첨부된 청구항들을 포함하는 본 명세서의 범위 내에 있는 모든 그러한 수정들, 변형들, 및 변경들을 포함하도록 의도된다.

Claims (17)

  1. 초전도체 디바이스 상호연결 구조를 형성하는 방법으로서,
    기판 위에 놓이게 제1 유전체 층을 형성하는 단계;
    상기 제1 유전체 층에 초전도 상호연결 엘리먼트를 형성하는 단계 ― 상기 상호연결 엘리먼트는 제1 상호연결 층을 형성하기 위해 상기 제1 유전체 층의 최상부 표면과 정렬된 최상부 표면을 가짐 ―;
    상기 제1 상호연결 층의 최상부 표면 상에서 세정 프로세스를 수행하는 단계 ― 상기 세정 프로세스는 상기 제1 상호연결 층의 환경으로 테트라플루오로메탄(CF4) 가스를 산소와 동시에 도입하는 단계, 제1 시간 기간 이후 산소의 도입을 중지하는 단계, 및 초전도 콘택 또는 전도성 라인의 최상부 표면으로부터 임의의 산화를 제거하기 위해 제2 시간 기간 동안 상기 세정 프로세스를 시작하도록 상기 환경에서 에칭 파라미터들을 설정하는 단계를 포함함 ―; 및
    상기 제1 상호연결 층 위에 제2 유전체 층을 증착시키는 단계를 포함하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  2. 제1항에 있어서,
    상기 세정 프로세스는 테트라플루오로메탄(CF4) 기반 플라즈마 세정 에칭 프로세스인, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  3. 제2항에 있어서,
    상기 초전도 상호연결 엘리먼트는 니오븀으로부터 형성되는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  4. 제2항에 있어서,
    상기 제1 상호연결 층을 형성하기 위해 상기 제1 유전체 층의 최상부 표면과 정렬된 최상부 표면을 갖는 초전도 상호연결 엘리먼트를 형성하는 단계는, 상기 제1 유전체 층에 개구들을 형성하는 단계, 상기 형성된 개구들을 충전시키기 위해 콘택 재료 충전을 수행하는 단계, 및 상기 제1 유전체 층의 최상부 표면과 상기 초전도 상호연결 엘리먼트의 최상부 표면을 정렬시키도록 화학적 기계적 연마(CMP)를 수행하는 단계를 포함하며,
    상기 플라즈마 세정은 상기 CMP에 의해 야기된 상기 초전도 상호연결 엘리먼트의 최상부 표면 상의 산화를 제거하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  5. 제1항에 있어서,
    상기 제1 유전체 층 및 상기 제2 유전체 층에서 이용되는 유전체 재료 중 적어도 하나는 섭씨 160° 이하의 온도에서 형성될 수 있는 유전체 재료로 형성되는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  6. 제1항에 있어서,
    상기 초전도 상호연결 엘리먼트는 제1 전도성 라인이며,
    상기 제2 유전체 층에 제2 전도성 라인 및 제1 콘택을 형성하고 상기 제2 유전체 층에 제3 전도성 라인 및 제2 콘택을 형성하는 단계를 더 포함하고,
    상기 제1 콘택 및 상기 제2 콘택은 상기 제1 전도성 라인의 상이한 부분들에 커플링되는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  7. 삭제
  8. 제1항에 있어서,
    상기 세정 프로세스는,
    상기 제1 상호연결 층을 예비세정 챔버에 배치하는 단계;
    제1 미리 결정된 시간 기간 동안 챔버 압력을 100mT(밀리토르)로 설정하고, 동시에, 90sccm(standard cubic centimeters per minute)의 유량으로 테트라플루오로메탄(CF4) 가스를 도입하고 15sccm의 유량으로 산소를 도입하는 단계 ― 상기 제1 미리 결정된 시간 기간은 상기 제1 시간 기간에 대응함 ―;
    제2 미리 결정된 시간 기간 동안 상기 예비세정 챔버에서 RF 전력을 1와트(W)로 턴 온시키는 단계;
    제3 미리 결정된 시간 기간 동안 상기 RF 전력을 50W로 증가시키고, 상기 예비세정 챔버 내의 자기장을 60가우스(G)로 설정하는 단계;
    제4 미리 결정된 시간 기간 동안 산소의 유동을 중지하는 단계; 및
    제5 미리 결정된 시간 기간 동안 상기 테트라플루오로메탄(CF4) 가스의 유동을 중지하고 상기 전력 및 상기 예비세정 챔버 내의 자기장을 턴 오프시키는 단계를 포함하고,
    상기 제2 시간 기간은 상기 제2 미리 결정된 시간 기간, 상기 제3 미리 결정된 시간 기간, 상기 제4 미리 결정된 시간 기간, 및 상기 제5 미리 결정된 시간 기간을 포함하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  9. 제8항에 있어서,
    상기 제1 미리 결정된 시간 기간은 20초이고, 상기 제2 미리 결정된 시간 기간은 1초이고, 상기 제3 미리 결정된 시간 기간은 5초이고, 상기 제4 미리 결정된 시간 기간은 10초이며, 상기 제5 미리 결정된 시간 기간은 적어도 5초인, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  10. 초전도체 디바이스 상호연결 구조를 형성하는 방법으로서,
    초전도 상호연결 층을 예비세정 챔버에 배치하는 단계 ― 상기 초전도 상호연결 층은 제1 유전체 층의 최상부 표면과 정렬되는 최상부 표면을 갖는 초전도 콘택 또는 전도성 라인을 갖고, 상기 초전도 콘택 또는 상기 전도성 라인의 최상부 표면은 산화된 층을 가짐 ―;
    금속-산화물 층의 효과적인 벌크 제거를 위해 충분히 많은 수의 플루오린 라디칼들이 존재하는 것을 보장하도록 미리 결정된 시간 기간 동안 테트라플루오로메탄(CF4) 가스와 동시에 산소(O2)를 상기 예비세정 챔버로 도입하는 단계;
    상기 초전도 콘택 또는 상기 전도성 라인으로부터 상기 산화된 층을 제거하기 위해 제2 미리 결정된 시간 기간 동안 상기 테트라플루오로메탄(CF4) 가스로 플라즈마 세정 에칭을 유도하도록 에칭 조건들을 설정하는 단계;
    상기 상호연결 층을 증착 챔버에 배치하는 단계; 및
    상기 상호연결 층 위에 제2 유전체 층을 증착시키는 단계를 포함하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  11. 제10항에 있어서,
    상기 초전도 콘택 또는 상기 전도성 라인을 형성하는 데 이용되는 초전도 재료는 니오븀(Nb)이고, 상기 산화된 층은 니오븀 산화물이며, 상기 플라즈마 세정 에칭은 깨끗한 니오븀 최상부 표면을 형성하기 위해 상기 니오븀의 표면으로부터 증발되는 산소(O2) 가스 및 니오븀 플루오르화물(NbF5) 가스를 생성하도록 니오븀 산화물 결합을 붕괴시키는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  12. 삭제
  13. 제10항에 있어서,
    상기 초전도체 디바이스 상호연결 구조는 임의의 원치않는 산화를 피하기 위해 진공에서 상기 예비세정 챔버로부터 상기 증착 챔버로 이동되는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  14. 제10항에 있어서,
    상기 예비세정 챔버 및 상기 증착 챔버는 상이한 메인프레임들 상에 장착되며, 상기 예비세정 챔버로부터 상기 증착 챔버로 이동하는 것 사이의 지연은 대기에서 소비되는 시간을 최소화시키도록 제어되는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  15. 제10항에 있어서,
    상기 에칭 조건들을 설정하는 단계는, 플라즈마 세정 에칭을 수행하기 위해 상기 예비세정 챔버의 압력, 상기 테트라플루오로메탄(CF4) 가스의 가스 유동, 상기 예비세정 챔버의 RF 전력 및 상기 예비세정 챔버의 자기장을 설정하는 단계를 포함하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  16. 초전도체 디바이스 상호연결 구조를 형성하는 방법으로서,
    제1 상호연결 층을 형성하도록 제1 유전체 층에 하나 이상의 초전도 상호연결 엘리먼트들을 형성하기 위해 기판 위에 놓인 상기 제1 유전체 층 내의 개구들에 니오븀을 증착시키는 단계;
    상기 하나 이상의 초전도 상호연결 엘리먼트들의 최상부 표면을 상기 제1 유전체 층의 최상부 표면과 정렬시키도록 화학적 기계적 연마(CMP)를 수행하는 단계 ― 상기 CMP는 상기 하나 이상의 초전도 상호연결 엘리먼트들의 최상부 표면 상에서 산화를 야기함 ―;
    상기 제1 유전체 층의 환경으로 테트라플루오로메탄(CF4) 가스를 산소와 동시에 도입하고, 플라즈마 세정 에칭을 유도하기 전에 상기 환경 내로의 상기 도입을 중지하고, 또한 에칭 조건들을 설정하여 상기 테트라플루오로메탄(CF4) 가스로 상기 플라즈마 세정 에칭을 유도함으로써, 상기 산화에 대해 플라즈마 세정을 수행하는 단계; 및
    상기 제1 상호연결 층 위에 제2 유전체 층을 증착시키는 단계를 포함하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
  17. 제16항에 있어서,
    상기 플라즈마 세정은,
    상기 제1 상호연결 층을 예비세정 챔버에 배치하는 것;
    제1 미리 결정된 시간 기간 동안 챔버 압력을 100mT(밀리토르)로 설정하고, 동시에, 90sccm(standard cubic centimeters per minute)의 유량으로 테트라플루오로메탄(CF4) 가스를 도입하고 15sccm의 유량으로 산소를 도입하는 것;
    제2 미리 결정된 시간 기간 동안 상기 예비세정 챔버에서 RF 전력을 1와트(W)로 턴 온시키는 것;
    제3 미리 결정된 시간 기간 동안 상기 RF 전력을 50W로 증가시키고, 상기 예비세정 챔버 내의 자기장을 60가우스(G)로 설정하는 것;
    제4 미리 결정된 시간 기간 동안 산소의 유동을 중지하는 것; 및
    제5 미리 결정된 시간 기간 동안 상기 테트라플루오로메탄(CF4) 가스의 유동을 중지하고 상기 전력 및 상기 예비세정 챔버 내의 자기장을 턴 오프시키는 것을 포함하는, 초전도체 디바이스 상호연결 구조를 형성하는 방법.
KR1020197007376A 2016-08-16 2017-07-25 초전도체 상호연결 제조를 위한 예비세정 방법 KR102284308B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/238,394 US10312141B2 (en) 2016-08-16 2016-08-16 Preclean methodology for superconductor interconnect fabrication
US15/238,394 2016-08-16
PCT/US2017/043673 WO2018075117A2 (en) 2016-08-16 2017-07-25 Preclean methodology for superconductor interconnect fabrication

Publications (2)

Publication Number Publication Date
KR20190035900A KR20190035900A (ko) 2019-04-03
KR102284308B1 true KR102284308B1 (ko) 2021-08-03

Family

ID=61188883

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197007376A KR102284308B1 (ko) 2016-08-16 2017-07-25 초전도체 상호연결 제조를 위한 예비세정 방법

Country Status (7)

Country Link
US (1) US10312141B2 (ko)
EP (1) EP3501032B1 (ko)
JP (1) JP6766251B2 (ko)
KR (1) KR102284308B1 (ko)
AU (1) AU2017345050B2 (ko)
CA (1) CA3033652C (ko)
WO (1) WO2018075117A2 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013180780A2 (en) 2012-03-08 2013-12-05 D-Wave Systems Inc. Systems and methods for fabrication of superconducting integrated circuits
US10608159B2 (en) 2016-11-15 2020-03-31 Northrop Grumman Systems Corporation Method of making a superconductor device
CN110462857B (zh) 2017-02-01 2024-02-27 D-波***公司 用于制造超导集成电路的***和方法
US10763419B2 (en) 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10985059B2 (en) * 2018-11-01 2021-04-20 Northrop Grumman Systems Corporation Preclean and dielectric deposition methodology for superconductor interconnect fabrication
US20200152851A1 (en) 2018-11-13 2020-05-14 D-Wave Systems Inc. Systems and methods for fabricating superconducting integrated circuits
WO2021113513A1 (en) * 2019-12-05 2021-06-10 D-Wave Systems Inc. Systems and methods for fabricating superconducting integrated circuits

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015511067A (ja) * 2012-03-08 2015-04-13 ディー−ウェイブ システムズ,インコーポレイテッド 超伝導集積回路の製作のためのシステムおよび方法
US20150214093A1 (en) 2005-08-31 2015-07-30 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6074489A (ja) * 1983-09-29 1985-04-26 Nippon Telegr & Teleph Corp <Ntt> 超伝導グランドコンタクトの形成方法
JPS61278180A (ja) * 1985-06-03 1986-12-09 Agency Of Ind Science & Technol 超電導回路におけるコンタクト形成方法
US6638863B2 (en) * 2001-04-24 2003-10-28 Acm Research, Inc. Electropolishing metal layers on wafers having trenches or vias with dummy structures
US6482656B1 (en) 2001-06-04 2002-11-19 Advanced Micro Devices, Inc. Method of electrochemical formation of high Tc superconducting damascene interconnect for integrated circuit
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
WO2007092856A2 (en) * 2006-02-06 2007-08-16 Tel Epion Inc. Copper interconnect wiring and method and apparatus for forming thereof
KR100817630B1 (ko) * 2006-04-14 2008-03-27 비오이 하이디스 테크놀로지 주식회사 알루미늄-탄소 합금 베이스 금속막 상의 투명 도전막형성방법 및 이를 이용한 박막트랜지스터 액정표시장치의어레이 기판의 제조방법
US9425376B2 (en) 2013-12-23 2016-08-23 Intermolecular, Inc. Plasma cleaning of superconducting layers
US9653398B1 (en) * 2015-12-08 2017-05-16 Northrop Grumman Systems Corporation Non-oxide based dielectrics for superconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150214093A1 (en) 2005-08-31 2015-07-30 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
JP2015511067A (ja) * 2012-03-08 2015-04-13 ディー−ウェイブ システムズ,インコーポレイテッド 超伝導集積回路の製作のためのシステムおよび方法

Also Published As

Publication number Publication date
WO2018075117A2 (en) 2018-04-26
EP3501032B1 (en) 2023-10-18
US20180053689A1 (en) 2018-02-22
CA3033652C (en) 2020-03-24
AU2017345050B2 (en) 2020-02-06
JP2019535124A (ja) 2019-12-05
AU2017345050A1 (en) 2019-02-21
US10312141B2 (en) 2019-06-04
WO2018075117A3 (en) 2018-06-07
KR20190035900A (ko) 2019-04-03
JP6766251B2 (ja) 2020-10-07
EP3501032A2 (en) 2019-06-26
CA3033652A1 (en) 2018-04-26

Similar Documents

Publication Publication Date Title
KR102284308B1 (ko) 초전도체 상호연결 제조를 위한 예비세정 방법
AU2018270769B2 (en) Preclean and deposition methodology for superconductor interconnects
JP6739637B2 (ja) 超伝導体相互接続構造を形成する方法
EP3504739A2 (en) Josephson junction superconductor device interconnect
US11783090B2 (en) Methodology for forming a resistive element in a superconducting structure
KR20210066902A (ko) 초전도체 상호접속 구조 제조를 위한 전세정 및 유전층 적층 방법
KR102403882B1 (ko) 초전도체 상호연결들을 위한 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant