KR102212429B1 - 상호 유도된 필터들 - Google Patents

상호 유도된 필터들 Download PDF

Info

Publication number
KR102212429B1
KR102212429B1 KR1020190140142A KR20190140142A KR102212429B1 KR 102212429 B1 KR102212429 B1 KR 102212429B1 KR 1020190140142 A KR1020190140142 A KR 1020190140142A KR 20190140142 A KR20190140142 A KR 20190140142A KR 102212429 B1 KR102212429 B1 KR 102212429B1
Authority
KR
South Korea
Prior art keywords
coupled
inductors
filter
inductor
load
Prior art date
Application number
KR1020190140142A
Other languages
English (en)
Other versions
KR20190126752A (ko
Inventor
수닐 카푸어
아론 로간
형준 김
야스완스 란지네니
카를 리저
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20190126752A publication Critical patent/KR20190126752A/ko
Priority to KR1020210013491A priority Critical patent/KR102286770B1/ko
Application granted granted Critical
Publication of KR102212429B1 publication Critical patent/KR102212429B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H1/00Constructional details of impedance networks whose electrical mode of operation is not specified or applicable to more than one type of network
    • H03H1/0007Constructional details of impedance networks whose electrical mode of operation is not specified or applicable to more than one type of network of radio frequency interference filters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/09Filters comprising mutual inductance
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M1/00Details of apparatus for conversion
    • H02M1/44Circuits or arrangements for compensating for electromagnetic interference in converters or inverters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/01Frequency selective two-port networks
    • H03H7/0115Frequency selective two-port networks comprising only inductors and capacitors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Amplifiers (AREA)
  • Control Of Motors That Do Not Use Commutators (AREA)
  • Glass Compositions (AREA)
  • Networks Using Active Elements (AREA)

Abstract

부하에 공급된 신호들로부터 RF (radio frequency) 전력을 필터링하기 위한 상호 유도된 필터가 기술된다. 상호 유도된 필터는 제 1 부하 엘리먼트에 공급된 신호들 중 일 신호로부터 RF 전력을 필터링하기 위한 부하의 제 1 부하 엘리먼트에 연결된 제 1 부분을 포함한다. 부하는 플라즈마 챔버의 페데스탈과 연관된다. 상호 유도된 필터는 제 2 부하 엘리먼트에 공급된 신호들 중 또 다른 일 신호로부터 RF 전력을 필터링하기 위한 부하의 제 2 부하 엘리먼트에 연결된 제 2 부분을 더 포함한다. 제 1 부분과 제 2 부분은 제 2 부분에 대해 제 1 부분과 연관된 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트된다 (twisted).

Description

상호 유도된 필터들{MUTUALLY INDUCED FILTERS}
본 실시예들은 플라즈마 프로세싱 시스템에서 사용되는 상호 유도된 필터들에 관한 것이다.
일반적으로, 프로세스 반응기들은 웨이퍼들, 예를 들어, 실리콘 웨이퍼들 상에서 동작들을 프로세싱하도록 사용된다. 이들 웨이퍼들은 통상적으로 웨이퍼들 상에 집적 회로들을 형성하도록 다양한 반응기들 내에서 수차례 프로세싱된다. 이들 프로세스 동작들 중 일부는 예를 들어, 웨이퍼의 선택된 표면들 또는 층들 위에 재료들을 증착하는 것을 수반한다. 일 이러한 반응기는 PECVD (plasma enhanced chemical vapor deposition) 반응기이다.
예를 들어, PECVD 반응기는 절연막들, 예컨대, 실리콘 옥사이드 (SiO), 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC), 실리콘 옥사이드 카바이드 (SiOC), 및 다른 것들을 증착하도록 사용될 수도 있다. 전도체 막들이 또한 PECVD 반응기들을 사용하여 증착될 수도 있다. 몇몇의 예들을 지정하도록 (name), 이러한 재료 막들은 텅스텐 실리사이드 (WSi), 티타늄 나이트라이드 (TiN), 알루미늄 (Al) 합금, 등을 포함할 수도 있다. 증착될 막의 타입에 따라, 특정한 반응 가스들은 RF (radio frequency) 전력이 증착을 인에이블하는 플라즈마를 생성하도록 공급되는 동안 PECVD 반응기로 이동된다 (bring).
증착 프로세스 동안, 시스템들 및 회로는 설정사항들 및 동작 상의 파라미터들을 설정하고 그리고/또는 모니터링하도록 사용된다. 일 예시적인 파라미터는 예를 들어, 반응기의 기판 지지부 내에 임베딩된 (embedded) 히터들에 의해 제어되는 온도이다. 일부 경우들에서, 파라미터들을 설정, 제어 그리고/또는 모니터링하도록 사용된 회로는 복잡하고 광범위할 (extensive) 수 있다. 또한, 일부 시스템들은 부가적인 회로 및 제어를 더 요구하는 프로세싱 동안 웨이퍼의 회전을 요구한다. 관습적으로, 반응기 시스템들이 보다 복잡해짐에 따라, 보다 많은 회로가 설정사항들, 제어 및/또는 모니터링을 인에이블하도록 추가된다. 불행하게도, 반응기 시스템의 복잡성이 증가하기 때문에, 이러한 시스템들의 사이즈 및 비용도 증가한다.
본 개시에 기술된 실시예들은 이 맥락에서 발생한다.
본 개시의 실시예들은 플라즈마 프로세싱 시스템에서 사용되는 상호 유도된 필터를 제조하고 사용하기 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 수많은 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇의 실시예들이 이하에 기술된다.
일 실시예에서, 상호 유도된 필터가 제공된다. 상호 유도된 필터는, 부하에 공급되거나 부하로부터 수신되는, 신호, 예를 들어, AC (alternating current) 신호, DC (direct current) 신호, 등을 간섭할 수도 있는 RF 전력을 필터링한다. RF 전력의 간섭은 하나 이상의 RF 생성기들로부터 플라즈마 챔버 내의 페데스탈로 공급되는 RF 전력으로부터 생성된다. 상호 유도된 필터는 와이어들의 조합을 형성하도록 하나 이상의 와이어들을 트위스팅함으로써 (twist) 그리고 이어서 복수의 인덕터들을 형성하도록 와이어들의 조합을 복수의 턴들 (turns) 로 권취함으로써 제조된다. 또한, 커패시터가 인덕터들 중 일 인덕터에 연결된다. 인덕터들 중 일 인덕터와 커패시터의 조합의 공진 주파수는, 상호 유도된 필터가 공진 주파수를 갖도록 상호 유도된 필터의 인덕터들 중 일 인덕터로부터 인덕터들 중 나머지 인덕터로 전달된다. 상호 유도된 필터를 통과하는 모든 신호들은 공진 주파수로 필터링된다. 일 실시예에서, 또 다른 커패시터는 인덕터들 중 또 다른 일 인덕터에 연결되고 그리고 인덕터들 중 다른 일 인덕터와 다른 커패시터의 조합의 또 다른 공진 주파수는 상호 유도된 필터에 다른 공진 주파수를 커플링하도록 상호 유도된 필터의 인덕터들 중 다른 일 인덕터로부터 나머지 인덕터들로 전달된다. 상호 유도된 필터를 통과하는 모든 신호들은 공진 주파수들 양자로 필터링된다.
일 실시예에서, 부하에 공급된 신호들로부터 RF 전력을 필터링하기 위한 상호 유도된 필터가 기술된다. 상호 유도된 필터는 제 1 부하 엘리먼트에 공급된 신호들 중 일 신호로부터 RF 전력을 필터링하기 위한 부하의 제 1 부하 엘리먼트에 연결된 제 1 부분을 포함한다. 부하는 플라즈마 챔버의 페데스탈과 연관된다. 상호 유도된 필터는 제 2 부하 엘리먼트에 공급된 신호들 중 또 다른 일 신호로부터 RF 전력을 필터링하기 위한 부하의 제 2 부하 엘리먼트에 연결된 제 2 부분을 더 포함한다. 제 1 부분과 제 2 부분은 제 2 부분으로 제 1 부분과 연관된 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트된다 (twisted).
일 실시예에서, 부하로부터 수신된 신호들로부터 RF 전력을 필터링하기 위한 상호 유도된 필터가 기술된다. 상호 유도된 필터는 부하의 제 1 부하 엘리먼트로부터 수신된 신호들 중 일 신호로부터 RF 전력을 필터링하기 위한 제 1 부하 엘리먼트에 연결된 제 1 부분을 포함한다. 상호 유도된 필터는 부하의 제 2 부하 엘리먼트로부터 수신된 신호들 중 또 다른 일 신호로부터 RF 전력을 필터링하기 위한 제 2 부하 엘리먼트에 연결된 상호 유도된 필터의 제 2 부분을 포함한다. 제 1 부분과 제 2 부분은 제 2 부분으로 제 1 부분과 연관된 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트된다.
일 실시예에서, 복수의 부하들과 연관된 신호들로부터 RF 전력을 필터링하기 위한 상호 유도된 필터가 기술된다. 상호 유도된 필터는 부하들 중 제 1 부하의 제 1 부하 엘리먼트에 공급된 신호들 중 일 신호로부터 RF 전력을 필터링하기 위한 제 1 부하 엘리먼트에 연결된 제 1 부분을 포함한다. 제 1 부하는 플라즈마 챔버의 페데스탈과 연관된다. 상호 유도된 필터는 제 1 부하의 제 2 부하 엘리먼트에 공급된 신호들 중 또 다른 일 신호로부터 RF 전력을 필터링하기 위한 제 2 부하 엘리먼트에 연결된 제 2 부분을 포함한다. 상호 유도된 필터는 또한 부하들 중 제 2 부하의 제 1 부하 엘리먼트로부터 수신된 신호들 중 일 신호로부터 RF 전력을 필터링하기 위한 제 2 부하의 제 1 부하 엘리먼트에 연결된 제 3 부분을 포함한다. 제 2 부하는 플라즈마 챔버의 페데스탈과 연관된다. 상호 유도된 필터는 제 2 부하의 제 2 부하 엘리먼트로부터 수신된 신호들 중 또 다른 일 신호로부터 RF 전력을 필터링하기 위한 제 2 부하의 제 2 부하 엘리먼트에 연결된 제 4 부분을 포함한다. 제 1 부분, 제 2 부분, 제 3 부분 및 제 4 부분은, 제 2 부분, 제 3 부분 및 제 4 부분으로 제 1 부분과 연관된 공진 주파수와 제 1 부분, 제 2 부분, 제 3 부분으로 제 4 부분과 연관된 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트되고 그리고 권취된다.
본 명세서에 기술된 실시예들의 일부 이점들은 서로 부분들을 상호 커플링하도록 상호 유도된 필터의 제 1 부분과 상호 유도된 필터의 제 2 부분을 배치하는 것을 포함한다. 제 1 부분의 전류는 제 2 부분에 유도 기전력을 생성한다. 기전력은 제 1 부분과 제 2 부분을 상호 커플링하도록 제 2 부분 내에 전류를 생성한다. 또한, 상호 커플링의 결과로서, 제 1 부분과 연관된 공진 주파수는 신호로부터 RF 전력을 필터링하기 위해 제 1 부분과 제 2 부분 양자에 걸쳐 공진 주파수의 균일성을 제공하도록 제 2 부분에 커플링된다. 공진 주파수의 균일성은 기판의 프로세싱의 균일성, 등을 제공한다.
또한, 또 다른 이점으로서, 제 2 부분의 전류는 제 1 부분에 유도 기전력을 생성한다. 유도 기전력은 제 1 부분에 제 2 부분을 상호 커플링하도록 제 1 부분을 통과하는 전류를 생성한다. 또한, 상호 커플링의 결과로서, 제 2 부분과 연관된 공진 주파수는 기판의 프로세싱의 균일성을 제공하도록 제 1 부분을 통과하는 신호로부터 RF 전력을 필터링하기 위해 제 1 부분과 제 2 부분 양자에 걸쳐 균일한 공진 주파수를 제공하도록 제 1 부분에 커플링된다.
또한, 제 1 부분과 제 2 부분의 높은 양의 상호 커플링은 고 레벨의 상호 인덕턴스를 발생시키고 그리고 고 레벨의 상호 인덕턴스는 개선된 공통 모드 제거의 달성을 발생시킨다.
본 명세서에 기술된 실시예들의 추가의 이점들은 커패시터가 커플링되는 일 채널이 각각의 주파수 대역에 대해 튜닝된다는 (tuned) 것을 포함한다. 상호 유도된 필터의 인덕터들 사이의 상호 커플링은 상호 유도된 필터의 다른 채널들에 대한 상호 유도된 필터의 튜닝된 주파수의 커플링을 용이하게 한다. 이것은 이전에 사용된 필터들의 복수의 커패시터들의 튜닝과 연관된 노동 시간 및 비용들을 절약하고, 이는 이하에 더 기술된다.
본 명세서에 기술된 실시예들의 부가적인 이점들은 히터들, 열적 커플링들, 및 모터와 연관된 신호들로부터 RF 전력을 필터링하도록 적은 수의 필터들을 사용하는 것을 포함한다. 이전에, 예를 들어, 필터의 채널 각각은 2 개의 필터들을 포함했고, 일 필터는 고 주파수로 RF 전력을 필터링하기 위한 것이고 그리고 일 필터는 저 주파수로 RF 전력을 필터링하기 위한 것이다. 2 개의 필터들은 직렬로 커플링되었다. 2 개의 히터 엘리먼트들 또는 2 개의 열적 커플링들 또는 모터에 대해, 8 개의 이러한 필터들이 사용되었다. 2 개의 히터 엘리먼트들, 2 개의 열적 커플링들, 및 일 모터에 대해, 24 개의 이러한 필터들이 사용되었다. 24 개의 필터들의 사용은 24 개의 필터들이 피팅되는 (fitted) 필터 박스들의 사이즈들을 증가시킨다. 4 개의 스테이션들의 경우에, 96 개의 이러한 필터들이 사용되었다. 비교해보면, 본 명세서에 기술된 실시예들은 스테이션당 3 개의 상호 유도된 필터들을 사용하고, 일 상호 유도된 필터는 2 개의 히터 엘리먼트들을 위한 것이고, 일 상호 유도된 필터는 2 개의 열적 커플링을 위한 것이고, 일 상호 유도된 필터는 모터를 위한 것이다. 상호 유도된 필터 각각은 1 개 또는 2 개의 공진 주파수들을 갖는다. 4 개의 스테이션들의 경우에, 이전에 사용된 96 개의 필터들 대신에, 12 개의 상호 유도된 필터들이 사용된다. 보다 적은 수의 상호 유도된 필터들은 96 개의 필터들과 연관된 시간, 비용, 및 공간을 감소시킨다. 예를 들어, 상호 유도된 필터 각각은 8 개의 이전에 사용된 필터들과 비교하여 보다 작은 사이즈의 패키지 내에 피팅된다. 또 다른 예로서, 상호 유도된 필터를 어셈블하는 시간이 8 개의 이전에 사용된 필터들을 어셈블하는 시간보다 덜 걸린다. 8 개의 이전에 사용된 필터들은 상호 유도된 필터에서 사용되는 2 개의 커패시터들 및 6 개의 인덕터들보다 많은, 8 개의 커패시터들 및 8 개의 인덕터들을 포함한다. 이전에 사용된 필터들의 보다 많은 수의 커패시터들 및 인덕터들은 상호 유도된 필터를 어셈블하는데 걸리는 시간과 비교하여 이전에 사용된 필터들을 어셈블하는데 걸리는 시간량을 증가시킨다.
또한, 상호 인덕턴스는 상호 유도된 필터에 걸쳐 공진 주파수의 커플링을 발생시키고, 그리고 균일한 공진 주파수는 채널-대-채널 매칭, 스테이션-대-스테이션 매칭, 및 툴-대-툴 매칭의 가능성들을 증가시킨다.
다른 양태들은 첨부된 도면들과 함께 취해진, 다음의 상세한 기술로부터 분명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 다음의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1은 본 개시에 기술된 일부 실시예들에 따른, 웨이퍼를 프로세싱하도록 사용되는 기판 프로세싱 시스템을 예시한다.
도 2는 본 개시에 기술된 다양한 실시예들에 따른, 4 개의 프로세싱 스테이션들이 제공되는 멀티-스테이션 프로세싱 툴의 평면도를 예시한다.
도 3은 본 개시에 기술된 다양한 실시예들에 따른, 인바운드 (inbound) 로드록 및 아웃바운드 (outbound) 로드록을 가진 멀티-스테이션 프로세싱 툴의 개략도를 도시한다.
도 4a는 본 개시에 기술된 일부 실시예들에 따른, 플라즈마 프로세싱 시스템의 다양한 컴포넌트들 (components) 을 가진 상호 유도된 필터들의 사용을 예시하기 위한 플라즈마 프로세싱 시스템의 도면이다.
도 4b는 본 개시에 기술된 다양한 실시예들에 따른, 도 4a의 상호 유도된 필터들 중 임의의 상호 유도된 필터의 회로도이다.
도 4c는 본 개시에 기술된 몇몇의 실시예들에 따른, 6 개의 와이어들이 6 개의 인덕터들을 형성하도록 함께 트위스트되고 권취되는, 도 4a의 상호 유도된 필터들 중 임의의 상호 유도된 필터의 도면이다.
도 5a는 본 개시에 기술된 일부 실시예들에 따른, 도 1의 기판 프로세싱 시스템의 히터 레지스터들에 커플링된 상호 유도된 필터의 도면이다.
도 5b는 본 개시에 기술된 일부 실시예들에 따른, 도 1의 기판 프로세싱 시스템 내에서 사용되는 열적 커플링들에 커플링된 상호 유도된 필터의 도면이다.
도 5c는 본 개시에 기술된 다양한 실시예들에 따른, 모터 및 전력 공급부에 커플링된 상호 유도된 필터의 도면이다.
도 5d는 본 개시에 기술된 몇몇의 실시예들에 따른, 레지스터들에 커플링된 상호 유도된 필터의 회로도, 열적 커플링들에 커플링된 상호 유도된 필터의 회로도, 모터에 커플링된 상호 유도된 필터의 회로도를 도시한다.
도 6은 본 개시에 기술된 몇몇의 실시예들에 따른, 부분들 사이의 상호 커플링을 예시하기 위한, 제 1 부분 및 제 2 부분을 포함하는 필터의 도면이다.
도 7은 본 개시에 기술된 일부 실시예들에 따른, 히터 엘리먼트들과 전력 공급부들 사이에 전달된 신호들로부터 RF 전력을 필터링하는 상호 유도된 필터의 제 1 부분과 모터와 AC 전력 소스 사이에 전달된 신호들로부터 RF 전력을 필터링하는 상호 유도된 필터의 제 2 부분 사이에 상호 커플링이 달성된다는 것을 예시하기 위한 상호 유도된 필터의 실시예의 도면이다.
도 8a는 본 개시에 기술된 몇몇의 실시예들에 따른, 상호 유도된 필터의 상호 커플링된 부분들의 공진 주파수들이 동일하거나 실질적으로 동일하다는 것을 예시하기 위한 그래프이다.
도 8b는 본 개시에 기술된 몇몇의 실시예들에 따른, 도 8a의 상호 유도된 필터의 회로도이다.
도 9는 본 개시에 기술된 일부 실시예들에 따른, 필터의 단면을 예시하는 도면이다.
도 10a는 본 개시에 기술된 다양한 실시예들에 따른, 이중 주파수 유도된 필터의 컴포넌트들에 의한 유사한 공진 주파수들의 표현 (exhibition) 및 이중 주파수 상호 유도된 필터에 의한 유사한 감쇠를 예시하기 위한 그래프의 도면이다.
도 10ba는 본 개시에 기술된 다양한 실시예들에 따른, 도 10a의 컴포넌트들을 포함하는 이중 주파수 상호 유도된 필터의 프로토타입 (prototype) 을 예시한다.
도 10bb는 본 개시에 기술된 다양한 실시예들에 따른, 도 10a의 이중 대역 상호 유도된 필터의 회로도이다.
도 11a는 본 개시에 기술된 일부 실시예들에 따른, 이중 대역 상호 유도된 필터의 채널과 연관된 감쇠를 예시하기 위한 그래프를 도시한다.
도 11b는 본 개시에 기술된 일부 실시예들에 따른, 도 11a의 이중 대역 상호 유도된 필터의 또 다른 채널과 연관된 감쇠를 예시하기 위한 그래프를 도시한다.
도 11c는 본 개시에 기술된 일부 실시예들에 따른, 도 11a의 이중 대역 상호 유도된 필터의 또 다른 채널과 연관된 감쇠를 예시하기 위한 그래프를 도시한다.
도 11d는 본 개시에 기술된 일부 실시예들에 따른, 도 11a의 이중 대역 상호 유도된 필터의 또 다른 채널과 연관된 감쇠를 예시하기 위한 그래프를 도시한다.
도 12는 본 개시에 기술된 일부 실시예들에 따른, 상호 유도된 필터의 제조 동안 4 개의 인덕터들의 트위스팅 및 권취를 예시하기 위한 도면이다.
다음의 실시예들은 하나 이상의 공진 주파수들을 달성하도록 상호 유도된 필터를 제조하고 사용하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들이 이들 구체적인 상세사항들 중 일부 또는 전부 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않는다.
막들의 증착은 바람직하게 PECVD (plasma enhanced chemical vapor deposition) 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 그리고 웨이퍼 프로세싱에 적합한 (때때로 복수의 스테이션들을 포함한) 하나 이상의 챔버들 또는 "반응기들"을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들에 (예를 들어 회전, 진동, 또는 다른 교반과 같은 운동을 하여 또는 상기 운동 없이 그 위치 내에서) 웨이퍼를 유지한다. 증착을 겪는 웨이퍼는 프로세스 동안 반응기 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 전달될 수도 있다. 물론, 막 증착은 단일의 스테이션에서 전적으로 일어날 수도 있거나 막의 임의의 부분이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 예를 들어, 웨이퍼 척, 등 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 특정한 동작들에 대해, 장치는 웨이퍼를 히팅하기 위한 히팅 플레이트와 같은 히터, 웨이퍼의 프로세싱 동안 온도를 측정하기 위한 일 세트의 열적 커플링들, 및 웨이퍼의 프로세싱 동안 페데스탈을 회전시키기 위한 모터를 포함할 수도 있다.
상호 유도된 필터는 부하에 공급되거나 부하로부터 수신될 신호, 예를 들어, DC (direct current) 신호, AC (alternating current) 신호, 등으로부터 RF 전력을 필터링하도록 사용된다. 부하의 예들은 히터, 열적 커플링들, 및 모터를 포함한다. 상호 유도된 필터는 상호 유도된 필터의 제 1 부분과 상호 유도된 필터의 제 2 부분 사이에 상호 인덕턴스 또는 상호 커플링을 달성하도록 제조된다. 상호 유도된 필터링의 현상들은 변압기와 연관된 현상들이 아니다. 예를 들어, 변압기에서, 상호 인덕턴스는 전류가 변압기의 1차 코일에 인가될 때 변압기의 2차 코일에서 생성된다. 전류는 활성 AC 소스, 예를 들어, 전압 AC 소스, 등이 1차 코일에 연결될 때 1차 코일에 인가된다. 1차 코일에서의 전류의 변화는 2차 코일에서 기전력, 예를 들어, 전압, 등을 생성한다. 비교해보면, 이하에 더 상세히 기술된 상호 유도된 필터에서, 상호 유도된 필터의 제 1 부분 내의 패시브 컴포넌트들, 예를 들어, 인덕터들, 커패시터들, 등의 사용은, 제 1 부분으로부터 제 2 부분으로의 하나 이상의 공진 주파수들의 전달을 발생시킨다. 상호 유도된 필터의 제 1 부분 내에서 패시브 컴포넌트들 사이의 신호의 전달은 전자기장을 생성한다. 전자기장은 제 1 부분 및 제 2 부분의 유도 컴포넌트들을 상호 커플링하도록 상호 유도된 필터의 제 2 부분 내에서 기전력을 유도한다. 제 1 부분에, 예컨대 AC 전력 소스, 등으로부터 인가될 직접 신호는 없다. 상호 유도된 필터의 유도 컴포넌트들 사이의 상호 커플링은 상호 유도된 필터가 공진 주파수를 갖도록 필터의 제 1 부분의 유도 컴포넌트 (component) 와 용량 컴포넌트의 조합과 연관된 공진 주파수를 달성하는 것을 용이하게 한다.
제 1 부분 내의 용량 컴포넌트에 더하여 용량 컴포넌트가 상호 유도된 필터의 제 2 부분 내에서 사용되는 일 실시예에서, 상호 유도된 필터의 제 1 부분과 제 2 부분 사이의 상호 커플링은 상호 유도된 필터의 유도 컴포넌트들과 용량 컴포넌트의 조합들과 연관된 공진 주파수들을 달성하는 것을 용이하게 한다.
도 1은 웨이퍼 (101) 를 프로세싱하도록 사용되는, 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 가진 챔버 (102) 를 포함한다. 중심 칼럼 (center column) 은 일 실시예에서 전력 공급된 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 RF 전력 공급부 (104) 에 전기적으로 커플링된다. 전력 공급부는 이하에 더 기술되는, 제어 모듈 (110), 예를 들어, 제어기, 등에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시킨다. 프로세스 입력 및 제어부 (108) 는 웨이퍼 (101) 위에 막들을 증착하거나 형성하도록, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 이동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
중심 칼럼은 또한 리프트 핀 제어부 (122) 에 의해 제어되는, 리프트 핀들 (120) 을 포함하도록 도시된다. 리프트 핀들 (120) 은 단부-이펙터로 하여금 웨이퍼를 피킹하게 (pick) 하기 위해 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고 그리고 단부-이펙터에 의해 배치된 후에 웨이퍼 (101) 를 하강시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스들 (114) 에 연결되는 가스 공급 매니폴드 (112), 예를 들어, 설비로부터의 가스 화학물질 공급부들을 더 포함한다. 수행되는 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통한 프로세스 가스들 (114) 의 전달을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고 그리고 웨이퍼 (101) 와 대면하는 샤워헤드 (150) 전방부와 페데스탈 (140) 위에 놓인 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분배된다.
또한, 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 적절한 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출부를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 개 또는 2 개의 스테이지 기계식 건조 펌프 및/또는 터보분자식 펌프) 는 프로세스 가스들을 외부로 인출하고 쓰로틀 밸브 또는 펜듈럼 밸브와 같은, 폐쇄 루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
페데스탈 (140) 의 외부 구역을 둘러싸는 캐리어 링 (200) 이 또한 도시된다. 캐리어 링 (200) 은 페데스탈 (140) 의 중심의 웨이퍼 지지 구역으로부터 스텝 다운된 캐리어 링 지지부 구역 위에 놓이도록 구성된다. 캐리어 링은 캐리어 링의 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경부, 및 캐리어 링의 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (101) 가 놓이는 곳과 가장 가까운 내측 반경부를 포함한다. 캐리어 링의 웨이퍼 에지 측은 캐리어 링 (200) 이 스파이더 포크들 (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (200) 은 웨이퍼 (101) 와 함께 리프팅되고 그리고 또 다른 스테이션에 대해, 예를 들어, 멀티-스테이션 시스템에서 회전될 수 있다.
일 실시예에서, 샤워헤드 (150) 내의 상부 전극은 RF 전력이 RF 전력 공급부 (104) 로부터 페데스탈 (140) 내의 하부 전극으로 공급될 때 접지된다.
일 실시예에서, 매칭 네트워크 (106) 를 통해 RF 전력 공급부 (104) 에 전기적으로 커플링되는 페데스탈 (140) 대신에, 샤워헤드 (150) 내의 전극은 RF 전력 공급부 (104) 로부터 전력을 수용하기 위해 매칭 네트워크를 통해 RF 전력 공급부 (104) 에 커플링되고 그리고 페데스탈 (140) 내의 하부 전극은 접지된다.
일 실시예에서, RF 전력 공급부 (104) 대신에, 상이한 주파수들을 가진 RF 신호들을 생성하는 복수의 RF 전력 공급부들이 사용되고, 예를 들어, 일 전력 공급부는 주파수 (RF1) 를 가진 RF 신호를 생성하기 위한 것이고 그리고 일 전력 공급부는 주파수 (RF2) 를 가진 RF 신호를 생성하기 위한 것이다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 이 평면도는 하부 챔버 부분 (102b) 이고 (예를 들어, 예시를 위해 상단 챔버 부분 (102a) 이 제거됨), 여기서 4 개의 스테이션들은 스파이더 포크들 (226) 에 의해 접근된다. 일 실시예에서, 일 스테이션으로부터 또 다른 스테이션을 절연하기 위한 절연 벽 또는 다른 메커니즘은 없다. 스파이더 포크, 또는 포크 각각은 제 1 암 및 제 2 암을 포함하고, 제 1 암 및 제 2 암 각각은 페데스탈 (140) 의 측면 각각의 부분 둘레에 위치된다. 이 관점에서, 스파이더 포크들 (226) 은 스파이더 포크들 (226) 이 캐리어 링 (200) 아래에 있다는 것을 시사하도록, 파선으로 도시된다. 인게이지먼트 및 회전 메커니즘 (220) 을 사용하여, 스파이더 포크들 (226) 은 스테이션들로부터 캐리어 링들 (200) 을 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 위로 동시에 상승 및 리프팅하도록, 그리고 이어서 추가의 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (200) (여기서 캐리어 링들 중 적어도 하나는 웨이퍼 (101) 를 지지함) 을 다음의 위치로 하강시키기 전에 적어도 하나 이상의 스테이션들을 회전시키도록 구성된다.
도 3은 인바운드 로드록 (302) 및 아웃바운드 로드록 (304) 을 가진 멀티-스테이션 프로세싱 툴 (300) 의 실시예의 개략도를 도시한다. 로봇 (306) 은 대기압에서, 기판들을 포드 (pod) (308) 를 통해 로딩된 카세트로부터 대기 포트 (310) 를 통해 인바운드 로드록 (302) 내로 이동시키도록 구성된다. 인바운드 로드록 (302) 은 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드록 (302) 이 펌핑 다운될 (pumped down) 수도 있도록 진공 소스 (미도시) 에 커플링된다. 인바운드 로드록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시) 은 기판을 프로세싱을 위해 인바운드 로드록 (302) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시예에서 1 내지 4로 번호가 매겨진, 4 개의 프로세스 스테이션들을 포함한다. 일부 실시예들에서, 프로세싱 챔버 (102b) 는 기판들이 진공 브레이크 (break) 및/또는 공기 노출을 겪지 않고 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하기 위해 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 318로 도시됨) 및 프로세스 가스 전달 라인 유입부들을 포함한다.
도 3은 또한 때때로 본 명세서에서 툴로 지칭되는, 프로세싱 챔버 (102b) 내에서 기판들을 이송하기 위한 스파이더 포크들 (226) 을 도시한다. 이하에 보다 상세히 기술될 바와 같이, 스파이더 포크들 (226) 은 회전하고 그리고 일 스테이션으로부터 또 다른 스테이션으로의 웨이퍼들의 이송을 인에이블한다. 이송은 웨이퍼를 리프팅하고, 그리고 웨이퍼 및 캐리어 링을 함께 다음의 스테이션으로 회전하는, 스파이더 포크들 (226) 로 하여금 외측 밑면으로부터 캐리어 링들 (200) 을 리프팅하게 함으로써 발생한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨들의 열을 견디도록 세라믹 재료로 이루어진다.
도 4a는 플라즈마 프로세싱 시스템 (400) 의 다양한 컴포넌트들을 가진 상호 유도된 필터들의 사용을 예시하기 위한 플라즈마 프로세싱 시스템 (400) 의 실시예의 도면이다. 플라즈마 프로세싱 시스템 (400) 은 페데스탈 (402), 예컨대, 예를 들어, 페데스탈 (140) (도 1) 을 포함한다. 페데스탈 (402) 은 샤워헤드 (150) (도 1) 와 페데스탈 (140) (도 1) 사이의 갭 내의 상이한 존들의 온도를 제어하기 위한 히터 엘리먼트 (HE1) 및 히터 엘리먼트 (HE2) 를 포함한다. 히터 엘리먼트의 예들은 레지스터 및 플레이트를 포함한다. 히터 엘리먼트 (HE1) 는 웨이퍼 (101) (도 1) 를 프로세싱하는 온도를 제어하도록 페데스탈 (402) 내에 위치된, 컴포넌트의 일부분, 예를 들어, 전극, 등을 히팅하도록 동작된다. 히터 엘리먼트 (HE2) 는 페데스탈 (402) 내에 위치된 컴포넌트의 또 다른 부분을 히팅하도록 동작된다. 예를 들어, 컴포넌트는 히터 엘리먼트들 (HE1 및 HE2) 에 의해 히팅되도록 히터 엘리먼트들 (HE1 및 HE2) 과 콘택트하여 위치된다.
열적 커플링 (TC1)은 히터 엘리먼트 (HE1) 의 온도를 센싱하도록 히터 엘리먼트 (HE1) 와 콘택트하고 그리고 열적 커플링 (TC2) 은 히터 엘리먼트 (HE2) 의 온도를 센싱하도록 히터 엘리먼트 (HE2) 와 콘택트한다. 또한, 모터는 수직 축 (z) 을 중심으로 페데스탈 (402) 을 회전시키도록 하나 이상의 연결 메커니즘들, 예를 들어, 기어들, 샤프트들, 링크들, 등을 통해 페데스탈 (402) 에 연결된다.
플라즈마 프로세싱 시스템 (400) 은 히터 엘리먼트들 (HE1 및 HE2) 에 그리고 AC 전력 공급부들 (AC1 및 AC2) 에 연결되는 상호 유도된 필터 (404A), 열적 커플링들 (TC1 및 TC2) 에 그리고 온도 제어기에 연결되는 상호 유도된 필터 (404B), 및 모터에 그리고 전력 공급부에 연결되는 상호 유도된 필터 (404C) 를 더 포함한다.
본 명세서에서 사용된 바와 같이, 제어기는 프로세서 및 메모리 디바이스를 포함한다는 것을 주의해야 한다. 프로세서의 예들은 마이크로프로세서, ASIC (application specific integrated circuit), PLD (programmable logic device), 및 CPU (central processing unit) 를 포함한다. 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 플래시 메모리, 저장 디스크 어레이, 하드디스크, 등을 포함한다.
AC 전력 공급부 (AC1) 는 상호 유도된 필터 (404A) 의 제 1 부분으로 AC 신호를 공급하고, 그리고 제 1 부분은 필터링된 신호를 출력하도록 AC 신호로부터 RF 전력을 필터링한다. 필터링된 신호는 히터 엘리먼트 (HE1) 를 히팅하도록 상호 유도된 필터 (404A) 의 제 1 부분으로부터 히터 엘리먼트 (HE1) 로 전송된다. 유사하게, AC 전력 공급부 (AC2) 는 상호 유도된 필터 (404A) 의 제 2 부분으로 AC 신호를 공급하고 그리고 제 2 부분은 필터링된 신호를 출력하도록 AC 신호로부터 RF 전력을 필터링하고, 필터링된 신호는 히터 엘리먼트 (HE2) 를 히팅하도록 상호 유도된 필터 (404A) 의 제 2 부분으로부터 히터 엘리먼트 (HE2) 로 전송된다. AC 전력 공급부들 (AC1 및 AC2) 에 의해 공급된 AC 신호들로부터 필터링되는 RF 전력이 RF 전력 공급부 (104) 로부터 페데스탈로 공급되는 RF 전력으로부터의 AC 신호들에 커플링된다는 것을 주의하라. 이러한 필터링은 RF 전력이 AC 전력 공급부들 (AC1 및 AC2) 의 접지 전위에 커플링되는 가능성들을 감소시킨다. 또한, 이러한 필터링은 AC 전력 공급부들 (AC1 및 AC2) 을 통해 접지되는 것 대신에 페데스탈에 공급될 RF 전력의 가능성들을 증가시킨다.
열적 커플링 (TC1) 은 히터 엘리먼트 (HE1) 의 온도를 센싱하고 그리고 센싱된 온도 신호로부터 RF 전력을 필터링하기 위해 상호 유도된 필터 (404B) 의 제 1 부분에 제공되는, 센싱된 온도 신호를 생성한다. 제 1 부분을 통과하는 센싱된 온도 신호로부터의 RF 전력은 온도 제어기에 필터링된 신호를 제공하도록 상호 유도된 필터 (404B) 에 의해 필터링된다. 유사하게, 열적 커플링 (TC2) 은 히터 엘리먼트 (HE2) 의 온도를 센싱하고 그리고 센싱된 온도 신호로부터 RF 전력을 필터링하기 위해 상호 유도된 필터 (404B) 의 제 2 부분을 통해 지나가는, 센싱된 온도 신호를 생성한다. 상호 유도된 필터 (404B) 의 제 2 부분을 통과하는 센싱된 온도 신호로부터의 RF 전력은 온도 제어기에 필터링된 신호를 제공하도록 상호 유도된 필터 (404B) 에 의해 필터링된다. 열적 커플링들 (TC1 및 TC2) 에 의해 생성된 센싱된 온도 신호들로부터 필터링되는 RF 전력은 RF 전력 공급부 (104) 로부터 페데스탈로 공급될 RF 전력으로부터의 센싱된 온도 신호들과 커플링된다는 것을 주의해야 한다. 이러한 필터링은 센싱된 온도 신호들에 커플링되는 RF 전력이 온도 제어기에 공급될 것이고 그리고 온도 제어기를 손상시킬 것이라는 확률을 감소시킨다. 또한, 이러한 필터링은 RF 전력이 온도 제어기에 전달되는 것 대신에 페데스탈에 공급될 가능성들을 증가시킨다.
온도 제어기는 상호 유도된 필터 (404B) 의 제 1 부분으로부터 필터링된 신호를 수신하고 그리고 온도 제어기의 프로세서에 히터 엘리먼트 (HE1) 의 온도의 센싱된 값을 제공하는 멀티미터를 포함한다. 온도 제어기의 프로세서는 센싱된 값에 기초하여 히터 엘리먼트 (HE1) 의 온도를 변화, 예를 들어, 증가, 감소, 등을 시킬지를 결정한다. 온도를 변화시킨다고 결정할 시에, 온도 제어기는 AC 전력 공급부 (AC1)에 온도의 변화를 나타내는 신호를 전송한다. 온도를 변화시키기 위한 신호를 수신할 시에, AC 전력 공급부 (AC1) 는 히터 엘리먼트 (HE1) 의 온도를 변화시키기 위한 AC 신호를 생성하고 그리고 AC 신호를 상호 유도된 필터 (404A) 의 제 1 부분을 통해 히터 엘리먼트 (HE1) 로 전송한다.
또한, 유사한 방식으로, 멀티미터는 상호 유도된 필터 (404B) 의 제 2 부분으로부터 필터링된 신호를 수신하고 그리고 온도 제어기의 프로세서에 히터 엘리먼트 (HE2) 의 온도의 센싱된 값을 제공한다. 온도 제어기의 프로세서는 센싱된 값에 기초하여 히터 엘리먼트 (HE2) 의 온도를 변화시킬지를 결정한다. 온도를 변화시킨다고 결정할 시에, 온도 제어기는 AC 전력 공급부 (AC2)에 온도의 변화를 나타내는 신호를 전송한다. 온도를 변화시키기 위한 신호를 수신할 시에, AC 전력 공급부 (AC2) 는 히터 엘리먼트 (HE2) 의 온도를 변화시키기 위한 AC 신호를 생성하고 그리고 AC 신호를 상호 유도된 필터 (404A) 의 제 2 부분을 통해 히터 엘리먼트 (HE2) 로 전송한다.
AC 전력 소스는 AC 전력 신호들을 상호 유도된 필터 (404C) 에 공급한다. 상호 유도된 필터 (404C) 는 필터링된 신호들을 생성하도록 AC 전력 신호들로부터 RF 전력을 필터링하고, 필터링된 신호들은 상호 유도된 필터 (404C) 로부터 모터로 전송된다. 모터의 회전자는 고정자가 필터링된 신호들을 수신할 때 모터의 고정자에 대해 회전한다. 회전자의 회전 운동은 하나 이상의 연결 메커니즘들을 통해 페데스탈 (402) 로 전달된다. AC 전력 소스에 의해 생성된 AC 전력 신호들로부터 필터링되는 RF 전력은 RF 전력 공급부 (104) 로부터 페데스탈에 공급될 RF 전력으로부터의 AC 전력 신호들과 커플링된다는 것을 주의해야 한다. 이러한 필터링은 AC 전력 신호들에 커플링되는 RF 전력이 모터에 공급될 것이고 그리고 모터에 대한 손상을 유발할 것이라는 가능성들을 감소시킨다. 또한, 이러한 필터링은 RF 전력이 모터에 전달되는 것 대신에 페데스탈에 공급될 가능성들을 증가시킨다.
일 실시예에서, 임의의 수의 히터 엘리먼트들이 페데스탈 (402) 내에서 컴포넌트를 히팅하도록 페데스탈 (402) 에서 사용되고, 그리고 임의의 수의 열적 커플링들이 히터 엘리먼트들의 온도를 센싱하도록 사용된다.
일 실시예에서, AC 전력 공급부 (AC1) 로부터 히터 엘리먼트 (HE1) 로 공급될 AC 신호 및 AC 전력 공급부 (AC2) 로부터 히터 엘리먼트들 (HE2) 로 공급될 AC 신호 대신에, DC 전력 신호가 DC 전력 공급부로부터 히터 엘리먼트 (HE1) 로 공급되고 그리고 DC 전력 신호가 DC 전력 공급부로부터 히터 엘리먼트 (HE2) 로 공급되고, 그리고 DC 전력 신호들이 상호 유도된 필터에 의해 필터링되고, 이것의 실시예들은 본 명세서에 기술된다.
일 실시예에서, AC 전력 신호들이 AC 전력 소스로부터 모터로 공급되는 것 대신에, DC 전력 신호들은 DC 전력 소스로부터 모터로 공급되고 그리고 RF 전력은 상호 유도된 필터를 사용함으로써 DC 전력 신호들로부터 필터링되고, 이것의 실시예들은 본 명세서에 기술된다.
일 실시예에서, 열적 커플링들 (TC1 및 TC2) 대신에 또는 열적 커플링들 (TC1 및 TC2) 에 더하여, 예를 들어, 히터 엘리먼트들 (HE1 및 HE2) 의 온도들이 미리 결정된 온도를 초과하는 경우, 등에서, 2 개의 부가적인 열적 커플링들이 과열 방지를 위해 사용된다. 2 개의 부가적인 열적 커플링들은 상호 유도된 필터 (404B) 를 열적 커플링들 (TC1 및 TC2) 에 연결하는 방식과 유사한 방식으로 상호 유도된 필터에 연결된다.
도 4b는 상호 유도된 필터 (410) 의 회로도이고, 상호 유도된 필터 (410) 는 상호 유도된 필터들 (404A, 404B, 및 404C) (도 4a) 중 임의의 상호 유도된 필터의 예이다. 상호 유도된 필터 (410) 는 부분 (410A) 및 부분 (410B) 을 포함한다.
부분 (410A) 은 인덕터에 직렬로 연결된 커패시터를 포함하고 그리고 커패시터에 직렬로 커플링된 인덕터와 트위스트되고 권취되는 2 개의 부가적인 인덕터들을 더 포함한다. 일 실시예에서, 상호 유도된 필터는 부분 (410A) 의 커패시터에 직렬로 커플링된 인덕터와 트위스트되고 권취되는, 임의의 다른 수의 인덕터들, 예를 들어, 1 개, 3 개, 등의 인덕터들을 포함한다. 또한, 일 실시예에서, 상호 유도된 필터는 도 4b에 도시된 일 커패시터 대신에 서로 직렬로 또는 서로 병렬로 커플링된 2 이상의 커패시터들을 포함한다. 유사하게, 부분 (410B) 은 인덕터에 직렬로 연결된 커패시터를 포함하고 그리고 부분 (410B) 의 커패시터에 직렬로 커플링된 인덕터와 트위스트되고 권취되는 2 개의 부가적인 인덕터들을 더 포함한다.
부분 (410A) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f1) 가 부분 (410B) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f2) 와 동일하다는 것을 주의해야 한다. 일 실시예에서, 부분 (410A) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f1) 가 부분 (410B) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f2) 와 상이하다. 예를 들어, 주파수 (f1) 는 고 주파수 (HF) 이고 그리고 주파수 (f2) 는 저 주파수 (LF) 이다. 또 다른 예로서, 주파수 (f1) 는 저 주파수이고 그리고 주파수 (f2) 는 고 주파수이다. 또 다른 예로서, 주파수 (f1) 는 저 주파수이거나 고 주파수이고 그리고 주파수 (f2) 는 저 주파수와 고 주파수 사이에 있다. 또 다른 예로서, 주파수 (f2) 는 저 주파수이거나 고 주파수이고 그리고 주파수 (f1) 는 저 주파수와 고 주파수 사이에 있다. 또 다른 예로서, 주파수 (f1) 는 400 ㎑ (kilohertz) 로부터 ± 10 % 사이의 범위이고, 이것은 저 주파수의 예이며, 그리고 주파수 (f2) 는 13.56 ㎒ (megahertz) 로부터 ± 5 % 사이의 범위이고, 이것은 고 주파수의 예이다.
상호 유도된 필터 (410) 의 와이어들은 하나의 일체형 바디를 형성하도록 함께 트위스트되고 그리고 이어서 또한 하나의 일체형 바디인, 인덕터들을 형성하도록 권취된다. 예를 들어, 6 개의 와이어들은 또 다른 와이어 주위에서 회전되고 그리고 와이어들은 이어서 서로 상호 커플링되는 6 개의 인덕터들을 가진 일체형 바디를 형성하도록 권취된다. 공진 주파수 (f1) 는 부분 (410A) 의 인덕터와 커패시터의 직렬 조합으로부터 상호 유도된 필터 (410) 의 나머지 인덕터들로 변환된다 (transform). 유사하게, 부분 (410B) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f2) 는 직렬 조합으로부터 상호 유도된 필터 (410) 의 나머지 인덕터들로 변환된다.
상호 유도된 필터 (410) 의 커패시터들에 직접적으로 연결되지 않은 상호 유도된 필터 (410) 의 인덕터들 주위의 블록 (411) 이 인덕터들 사이의 상호 인덕턴스를 예시하도록 도시된다는 것을 주의해야 한다.
본 명세서에서 사용된 바와 같이, 일 실시예에서, 트위스팅은 서로 주위에서 2 이상의 와이어들을 회전시키는 것, 예를 들어, 스트랜드 (strand) 를 형성하는 것, 브레이드-형상의 구조체를 형성하는 것, 등이고, 그리고 권취는 복수의 턴들을 형성하는 것, 예를 들어, 코일의 나선, 등을 생성하는 것이다.
일 실시예에서, 부분 (410B) 의 인덕터 및 커패시터는 부분 (410B) 으로부터 배제된다. 일 실시예에서, 부분 (410A) 의 인덕터 및 커패시터는 부분 (410A) 으로부터 배제된다.
도 4c는 6 개의 와이어들이 6 개의 인덕터들을 형성하도록 함께 트위스트되고 권취되는 상호 유도된 필터 (410) 의 실시예의 도면이다. 인덕터들 중 2 개는 상호 유도된 필터 (410) 를 형성하도록 상호 유도된 필터 (410) 의 커패시터들과 커플링된다.
도 5a는 레지스터들 (R1 및 R2) 에 커플링된 상호 유도된 필터 (410) 의 실시예의 도면이다. 레지스터 (R1) 는 히터 엘리먼트 (HE1) (도 4a) 의 예이고 그리고 레지스터 (R2) 는 히터 엘리먼트 (HE2) (도 4a) 의 예이다. 레지스터 (R1) 의 노드 (N1) 는 부분 (410A) 의 채널 (C1) 에 연결되고, 레지스터 (R1) 의 노드 (N2) 는 부분 (410A) 의 또 다른 채널 (C2) 에 연결되고, 레지스터 (R2) 의 노드 (N3) 는 부분 (410B) 의 채널 (C3) 에 연결되고, 그리고 레지스터 (R2) 의 노드 (N4) 는 부분 (410B) 의 채널 (C4) 에 연결된다. 레지스터 (R1) 는 페데스탈 (402) 의 하부 전극 (502) 의 좌측 부분을 히팅하도록 사용되고 그리고 레지스터 (R2) 는 하부 전극 (502) 의 우측 부분을 히팅하도록 사용된다.
AC 전력 공급부 (AC1) 에 의해 공급된 전류 신호는 채널 (C1) 의 인덕터로 전송된다. RF 전력은 AC 전력 공급부 (AC1) 에 의해 레지스터 (R1) 로 공급되는 전류 신호로부터 공진 주파수 (f1) 로 상호 유도된 필터 (410) 에 의해 필터링된다. 공진 주파수 (f1) 는 부분 (410A) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C1) 의 인덕터로의 채널 (C1) 에 커플링된다. 또한, RF 전력은 레지스터 (R1) 로부터 채널 (C2) 을 통해 복귀되는 전류 신호로부터 공진 주파수 (f1) 로 필터링된다. 공진 주파수 (f1) 는 부분 (410A) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C1) 의 인덕터를 통해 채널 (C2) 의 인덕터로의 채널 (C2) 에 커플링된다. 또한, RF 전력은 AC 전력 공급부 (AC1) 에 의해 레지스터 (R1) 에 공급된 전류 신호로부터 공진 주파수 (f2) 로 필터링된다. 공진 주파수 (f2) 는 부분 (410B) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C4) 의 인덕터, 채널 (C3) 의 인덕터, 및 채널 (C2) 의 인덕터를 통해, 채널 (C1) 의 인덕터로의 채널 (C1) 에 커플링된다. 또한, RF 전력은 레지스터 (R1) 로부터 채널 (C2) 을 통해 복귀되는 전류 신호로부터 공진 주파수 (f2) 로 필터링된다. 공진 주파수 (f2) 는 부분 (410B) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C4) 의 인덕터 및 채널 (C3) 의 인덕터를 통해 채널 (C2) 의 인덕터로의 채널 (C2) 에 커플링된다.
AC 전력 공급부 (AC2) 에 의해 공급된 전류 신호는 채널 (C4) 의 인덕터로 전송된다. RF 전력은 AC 전력 공급부 (AC2) 에 의해 레지스터 (R2) 로 공급되는 전류 신호로부터 공진 주파수 (f1) 로 상호 유도된 필터 (410) 에 의해 필터링된다. 공진 주파수 (f1) 는 부분 (410A) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C1) 의 인덕터, 채널 (C2) 의 인덕터, 및 채널 (C3) 의 인덕터를 통해 채널 (C4) 의 인덕터로의 채널 (C4) 에 커플링된다. 또한, RF 전력은 레지스터 (R2) 로부터 채널 (C3) 을 통해 복귀되는 전류 신호로부터 공진 주파수 (f1) 로 필터링된다. 공진 주파수 (f1) 는 부분 (410A) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C1) 의 인덕터 및 채널 (C2) 의 인덕터를 통해 채널 (C3) 의 인덕터로의 채널 (C3) 에 커플링된다. 또한, RF 전력은 AC 전력 공급부 (AC2) 에 의해 레지스터 (R2) 로 공급된 전류 신호로부터 공진 주파수 (f2) 로 필터링된다. 공진 주파수 (f2) 는 부분 (410B) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C4) 의 인덕터로의 채널 (C4) 에 커플링된다. 또한, RF 전력은 레지스터 (R2) 로부터 채널 (C3) 을 통해 복귀되는 전류 신호로부터 공진 주파수 (f2) 로 필터링된다. 공진 주파수 (f2) 는 부분 (410B) 의 커패시터와 직렬로 커플링된 인덕터로부터 채널 (C4) 의 인덕터를 통해 채널 (C3) 의 인덕터로의 채널 (C3) 에 커플링된다.
도 5b는 열적 커플링들 (TC1 및 TC2) 에 커플링된 상호 유도된 필터 (410) 의 실시예의 도면이다. 센싱된 온도 신호는 열적 커플링 (TC1) 의 센싱 접합부 (SJ1) 로부터 부분 (410A) 의 채널 (C1) 의 부분을 통해 채널 (C1) 의 인덕터로 전송된다. 또한, 기준 온도 신호는 열적 커플링 (TC1) 의 기준 접합부 (RJ1) 로부터 부분 (410A) 의 채널 (C2) 의 부분을 통해 채널 (C2) 의 인덕터로 전송된다.
부분 (410A) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f1) 는 채널 (C1) 의 인덕터로부터 채널 (C2) 의 인덕터 및 채널 (C3) 의 인덕터를 통해 채널 (C4) 의 인덕터에 커플링된다. 유사하게, 부분 (410B) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f2) 는 채널 (C4) 의 인덕터로부터 채널 (C3) 의 인덕터 및 채널 (C2) 의 인덕터를 통해 채널 (C1) 의 인덕터에 커플링된다. 기준 접합부 (RJ1) 로부터 전송된 기준 온도 신호 및 센싱 접합부 (SJ1) 로부터 전송된 센싱된 온도 신호로부터의 RF 전력은, 필터링된 신호들을 생성하도록 공진 주파수들 (f1 및 f2) 로 부분 (410A) 에 의해 필터링되고, 필터링된 신호들은 레지스터 (R1) 의 온도를 결정, 예를 들어, 측정, 등을 하도록 온도 제어기에 의해 사용된다.
유사하게, 센싱된 온도 신호는 열적 커플링 (TC2) 의 센싱 접합부 (SJ2) 로부터 부분 (410B) 의 채널 (C4) 을 통해 채널 (C4) 의 인덕터로 전송된다. 또한, 기준 온도 신호는 열적 커플링 (TC2) 의 기준 접합부 (RJ2) 로부터 부분 (410B) 의 채널 (C3) 을 통해 채널 (C3) 의 인덕터로 전송된다. 기준 접합부 (RJ2) 로부터 전송된 기준 온도 신호 및 센싱 접합부 (SJ2) 로부터 전송된 센싱된 온도 신호로부터의 RF 전력은, 필터링된 신호들을 생성하도록 공진 주파수들 (f1 및 f2) 로 부분 (410B) 에 의해 필터링되고, 필터링된 신호들은 레지스터 (R2) 의 온도를 결정하도록 온도 제어기에 의해 사용된다.
도 5c는 모터 및 AC 전력 소스에 커플링된 상호 유도된 필터 (410) 의 실시예의 도면이다. 제 1 위상의 AC 신호가 AC 전력 소스로부터 부분 (410A) 의 채널 (C1) 을 통해 모터의 고정자의 권선에 공급되고 그리고 제 1 위상의 AC 신호가 권선으로부터 AC 전력 소스로 부분 (410A) 의 채널 (C2) 을 통해 복귀된다는 것을 주의해야 한다. 또한, 제 2 위상의 공급된 AC 신호가 AC 전력 소스로부터 부분 (410B) 의 채널 (C4) 을 통해 모터의 고정자의 또 다른 권선에 제공되고 그리고 제 2 위상의 복귀된 AC 신호가 다른 권선으로부터 AC 전력 공급부로 부분 (410B) 의 채널 (C3) 을 통해 전송된다.
부분 (410A) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f1) 는 부분 (410A) 의 인덕터로부터 채널 (C1) 의 인덕터, 채널 (C2) 의 인덕터, 및 채널 (C3) 의 인덕터를 통해 채널 (C4) 의 인덕터에 커플링된다. 유사하게, 부분 (410B) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f2) 는 인덕터 또는 부분 (410B) 으로부터 채널 (C4) 의 인덕터, 채널 (C3) 의 인덕터, 및 채널 (C2) 의 인덕터를 통해 채널 (C1) 의 인덕터에 커플링된다.
공진 주파수 (f1) 가 부분 (410A) 으로부터 부분 (410B) 과 커플링될 때, 제 1 위상과 제 2 위상의 공급된 AC 신호들 및 제 1 위상과 제 2 위상의 복귀되는 AC 신호들로부터의 RF 전력은 공진 주파수 (f1) 로 상호 유도된 필터 (410) 에 의해 필터링된다. 또한, 공진 주파수 (f2) 가 부분 (410B) 으로부터 부분 (410A) 과 커플링될 때, 제 1 위상과 제 2 위상의 공급된 AC 신호들 및 제 1 위상과 제 2 위상의 복귀되는 AC 신호들로부터의 RF 전력은 공진 주파수 (f2) 로 상호 유도된 필터 (410) 에 의해 필터링된다.
상호 유도된 필터 (410) 의 상기 실시예는 2-위상 AC 신호를 모터에 제공하는 것과 연관된다. 3-위상 AC 신호가 AC 전력 소스에 의해 모터에 공급되는 일 실시예에서, 제 3 위상의 공급된 AC 신호는 AC 전력 소스로부터 상호 유도된 필터 (미도시) 의 채널 (미도시) 을 통해 모터의 고정자의 권선에 제공되고 그리고 제 3 위상의 복귀되는 AC 신호는 고정자의 권선으로부터 AC 전력 소스로 상호 유도된 필터의 채널을 통해 복귀된다. 제 3 위상의 AC 신호로부터 RF 전력을 필터링하도록 사용된 상호 유도된 필터의 인덕터들은 제 1 위상과 제 2 위상의 AC 신호로부터 RF 전력을 필터링하도록 사용되는 인덕터들과 상호 커플링되고 그리고 상호 커플링은 공진 주파수들 (f1 및 f2) 로 상호 유도된 필터에 의해 제 3 위상으로부터의 RF 전력의 필터링을 용이하게 한다. 또한, 공진 주파수 (f3) 를 가진, 인덕터와 커패시터의 직렬 조합이 제 3 위상으로부터 RF 전력을 필터링하도록 사용된 상호 유도된 필터의 인덕터에 커플링되는 경우에, 부분들 (410A 및 410B), 및 제 3 위상으로부터 RF 전력을 필터링하는 것과 연관된 인덕터들 및 커패시터를 포함하는 부분 사이에 상호 커플링이 있다. 부분 (410A), 부분 (410B), 및 부분 사이의 상호 커플링은 공진 주파수 (f3) 로 부분들 (410A 및 410B) 및 부분에 의한 RF 전력의 필터링을 용이하게 한다.
도 5d는 레지스터들 (R1 및 R2) 에 커플링된 상호 유도된 필터 (410), 열적 커플링들 (TC1 및 TC2) 에 커플링된 상호 유도된 필터 (410), 및 모터에 커플링된 상호 유도된 필터 (410) 의 실시예의 도면이다.
도 6은 부분들 (602A 및 602B) 사이의 상호 커플링을 예시하기 위한, 부분 (602A) 및 부분 (602B) 을 포함하는 상호 유도된 필터 (602) 의 실시예의 도면이다. 부분 (602A) 은 부하 엘리먼트 (LE1), 예를 들어, 히터 엘리먼트 (HE1), 또는 모터의 고정자의 권선, 열적 커플링 (TC1), 등에 연결되고, 그리고 부분 (602B) 은 대응하는 부하 엘리먼트 (LE2), 예를 들어, 히터 엘리먼트 (HE2), 또는 모터의 고정자의 또 다른 권선, 또는 열적 커플링 (TC2), 등에 연결된다. 부분 (602A) 은 서로 커플링되는, 패시브 컴포넌트들, 예를 들어, 복수의 인덕터들 (I1 및 I2) 및 커패시터, 등을 포함한다. 부분 (602A) 의 커패시터는 인덕터 (I1) 와 직렬로 커플링된다. 또한, 부분 (602B) 은 서로 커플링되는, 패시브 컴포넌트들, 예를 들어, 복수의 인덕터들 (I3 및 I4) 및 커패시터, 등을 포함한다. 부분 (602B) 의 인덕터 (I4) 는 부분 (602B) 의 커패시터와 직렬로 커플링된다. 또한, 인덕터들 (I1, I2, I3, 및 I4) 은 상호 커플링이 인덕터들 (I1, I2, I3, 및 I4) 사이에서 달성되도록 서로 트위스트되고 권취된다. 상호 커플링이 확립될 때, 인덕터 (I1) 와 부분 (602A) 의 커패시터의 조합의 공진 주파수가 인덕터 (I2) 및 인덕터 (I3) 를 통해 인덕터 (I4) 에 전달되고 그리고 인덕터 (I4) 와 부분 (602B) 의 커패시터의 조합의 공진 주파수가 인덕터 (I3) 및 인덕터 (I2) 를 통해 인덕터 (I1) 로 전달된다.
부하 엘리먼트 (LE1) 가 히터 엘리먼트일 때, 부하 엘리먼트 (LE1) 의 노드는 인덕터 (I1) 를 통해 전력 공급부, 예를 들어, AC 전력 공급부, DC 전력 공급부, 등에 커플링되고, 그리고 부하 엘리먼트 (LE1) 의 또 다른 노드는 인덕터 (I2) 를 통해 전력 공급부에 커플링된다. 또한, 부하 엘리먼트 (LE2) 가 히터 엘리먼트일 때, 부하 엘리먼트 (LE2) 의 노드는 인덕터 (I4) 를 통해 전력 공급부에 커플링되고 그리고 부하 엘리먼트 (LE2) 의 또 다른 노드는 인덕터 (I3) 를 통해 전력 공급부에 커플링된다. 부하 엘리먼트 (LE1) 가 모터의 고정자 권선일 때, 부하 엘리먼트 (LE1) 의 노드는 인덕터 (I1) 를 통해 전력 소스, 예를 들어, AC 전력 소스, DC 전력 소스, 등에 커플링되고, 그리고 부하 엘리먼트 (LE1) 의 또 다른 노드는 인덕터 (I2) 를 통해 전력 소스에 커플링된다. 또한, 부하 엘리먼트 (LE2) 가 모터의 고정자 권선일 때, 부하 엘리먼트 (LE2) 의 노드는 인덕터 (I4) 를 통해 전력 소스에 커플링되고 그리고 부하 엘리먼트 (LE2) 의 또 다른 노드는 인덕터 (I3) 를 통해 전력 소스에 커플링된다.
동작 동안, 신호, 예를 들어, AC 신호, DC 신호, 등이 부분 (602A) 의 인덕터들 (I1 및 I2) 을 통해 전달되고 그리고 신호, 예를 들어, AC 신호, DC 신호, 등이 부분 (602B) 의 인덕터들 (I3 및 I4) 을 통해 전달된다. 인덕터 (I1) 와 부분 (602A) 의 커패시터의 조합은 공진 주파수 (f1) 로 동작한다. 또한, 인덕터 (I4) 와 부분 (602B) 의 커패시터의 조합은 공진 주파수 (f2) 로 동작한다. 인덕터 (I1) 를 통한 신호의 전달은 인덕터들 (I2, I3 및 I4) 과 커플링하는 전자기장을 생성한다. 인덕터 (I4) 를 통한 신호의 전달은 인덕터들 (I3, I2, 및 I1) 과 커플링하는 또 다른 전자기장을 생성한다. 인덕터 (I1) 를 통한 신호의 전달에 의해 생성된 전자기장은 인덕터 (I2) 에 걸친 전압, 인덕터 (I3) 에 걸친 전압, 및 인덕터 (I4) 에 걸친 전압을 생성하고 그리고 일 실시예에서, 전압들의 생성은 때때로 본 명세서에서 상호 커플링으로서 지칭된다. 유사하게, 인덕터 (I4) 를 통한 신호의 전달에 의해 생성된 전자기장은 인덕터 (I3) 에 걸친 전압, 인덕터 (I2) 에 걸친 전압, 및 인덕터 (I1) 에 걸친 전압을 생성하고, 그리고 일부 실시예들에서, 전압의 생성은 때때로 본 명세서에서 상호 커플링으로서 지칭된다.
상호 커플링이 부분 (602A) 과 부분 (602B) 사이에서 달성될 때, 필터 (602) 는 인덕터 (I1) 와 부분 (602A) 의 커패시터의 직렬 조합의 공진 주파수 (f1) 로 또는 공진 주파수 (f1) 에 근접한 주파수로, 예를 들어, 공진 주파수 (f1) 의 2 퍼센트 이내, 등으로 동작하고 그리고 인덕터 (I4) 와 부분 (602B) 의 커패시터의 직렬 조합의 공진 주파수 (f2) 로 또는 공진 주파수 (f2) 에 근접한 주파수로 동작한다. 예를 들어, 부분들 (602A 및 602B) 사이의 커플링의 계수 (k) 가 1이거나 1에 근접하거나, 예를 들어, 0.9 초과, 등일 때, 상호 유도된 필터 (602) 는 공진 주파수들 (f1 및 f2) 로 동작한다.
부분들 (602A 및 602B) 을 통해 전달되는 신호들로부터의 RF 전력은 필터 (602) 에 의해 필터링된다는 것을 주의해야 한다. 예를 들어, AC 전력 소스로부터 모터로 공급되는 AC 신호로부터 그리고 모터로부터 수신되는 AC 신호로부터의 RF 전력은 필터 (602) 에 의해 필터링된다. 또 다른 예로서, AC 전력 공급부로부터 히터 엘리먼트 (HE1) 로 공급되는 AC 신호로부터 그리고 히터 엘리먼트 (HE1) 로부터 수신되는 AC 신호로부터의 RF 전력은 필터 (602) 에 의해 필터링된다.
일 실시예에서, 부하 엘리먼트 (LE1) 는 열적 커플링 및 노드이고, 예를 들어, 부하 엘리먼트 (LE1) 의 센싱 접합부, 등은 인덕터 (I1) 및 또 다른 노드를 통해 온도 제어기에 커플링되고, 예를 들어, 부하 엘리먼트 (LE1) 의 기준 접합부, 등은 인덕터 (I2) 를 통해 온도 제어기에 커플링된다. 부하 엘리먼트 (LE1) 의 센싱 접합부로부터 수신되는 신호로부터 그리고 부하 엘리먼트 (LE1) 의 기준 접합부로부터 수신되는 신호로부터의 RF 전력은 필터 (602) 에 의해 필터링된다. 유사하게, 실시예에서, 부하 엘리먼트 (LE2) 는 열적 커플링 및 노드이고, 예를 들어, 부하 엘리먼트 (LE2) 의 센싱 접합부, 등은 또 다른 노드 및 부분 (602B) 의 인덕터 (I3) 를 통해 온도 제어기에 커플링되고, 예를 들어, 부하 엘리먼트 (LE2) 의 기준 접합부, 등은 부분 (602B) 의 인덕터 (I4) 를 통해 온도 제어기에 커플링된다. 부하 엘리먼트 (LE2) 의 센싱 접합부로부터 수신되는 AC 신호로부터 그리고 부하 엘리먼트 (LE2) 의 기준 접합부로부터 수신되는 AC 신호로부터의 RF 전력은 필터 (602) 에 의해 필터링된다.
일 실시예에서, 부분 (602B) 의 커패시터는 필터 (602) 로부터 배제된다. 이 실시예에서, 필터 (602) 는 인덕터 (I1) 와 부분 (602A) 의 커패시터의 직렬 조합의 공진 주파수 (f1) 로 동작한다.
일 실시예에서, 부분 (602A) 의 커패시터는 필터 (602) 로부터 배제된다. 이 실시예에서, 필터 (602) 는 인덕터 (I4) 와 부분 (602B) 의 커패시터의 직렬 조합의 공진 주파수 (f2) 로 동작한다.
도 7은 히터 엘리먼트들 (HE1 및 HE2) 과 AC 전력 공급부들 (AC1 및 AC2) 사이에 전달된 AC 신호들로부터 RF 전력을 필터링하도록 사용되는 부분들 (702A 및 702B) 사이에 상호 커플링이 달성된다는 것을 예시하기 위한 상호 유도된 필터 (702) 의 실시예의 도면이다. 부분들 (702A 및 702B) 은 또한 모터와 AC 전력 소스 사이에 전달된 AC 신호들로부터 RF 전력을 필터링하도록 사용된다. 부분들 (702A 및 702B) 은 집합적으로 상호 유도된 필터 (702) 로 지칭된다.
상호 유도된 필터 (702) 의 인덕터들 (I1, I2, I3, I4, I5, I6, I7, I8. I9, 및 I10) 은 인덕터들을 상호 커플링하도록 함께 트위스트된다. 부분 (702A) 의 인덕터와 커패시터의 직렬 조합의 공진 주파수 (f1) 는 부분 (702A) 으로부터 부분 (702B) 으로 공진 주파수 (f1) 를 상호 커플링하도록 인덕터 (I1) 로부터 인덕터들 (I2 내지 I9) 을 통해 인덕터 (I10) 로 커플링된다. 또한, 부분 (702B) 의 인덕터 (I10) 와 커패시터의 직렬 조합의 공진 주파수 (f2) 는 부분 (702B) 으로부터 부분 (702A) 으로 공진 주파수 (f2) 를 상호 커플링하도록 인덕터 (I10) 로부터 인덕터들 (I9, I8, I7, I6, I5, I4, I3, 및 I2) 을 통해 인덕터 (I1) 로 커플링된다.
인덕터들 (I2 내지 I9) 주위의 블록 (703) 은 인덕터들 사이의 상호 인덕턴스를 예시하도록 도시된다는 것이 주의되어야 한다.
일 실시예에서, 부분 (702B) 이 모터 및 AC 전력 소스에 커플링되는 것 대신에, 부분 (702B) 은 열적 커플링들 (TC1 및 TC2) 과 온도 제어기 사이에 커플링된다. 부분 (702B) 은 열적 커플링들 (TC1 및 TC2) 에 의해 센싱되는 신호들을 필터링한다.
일 실시예에서, 히터 엘리먼트들 (HE1 및 HE2) 이 AC 전력 공급부들 (AC1 및 AC2) 에 커플링되는 것 대신에, 히터 엘리먼트들은 DC 전력 공급부들에 커플링된다는 것이 주의되어야 한다. 일 실시예에서, 모터가 AC 전력 소스에 커플링되는 것 대신에, 모터는 DC 전력 소스에 커플링된다.
도 8a는 그래프 800의 실시예이고 그리고 도 8b는 공진 주파수 (f1) 가 부분 (804A) 의 직렬로-커플링된 섹션 (S1) 으로부터 인덕터 (I1) 및 인덕터 (I2) 를 통해 인덕터 (I3) 로 전달된다는 것을 예시하기 위한 상호 유도된 필터 (804) 의 회로도의 실시예이다. 상호 유도된 필터는 부분들 (804A 및 804B) 을 포함한다. 그래프 800은 ㎒ (megahertz) 로 계산되는 주파수에 대해, 부분 (804A) 의 직렬로-커플링된 섹션 (S1) 에 의해 유발된, 인덕터 (I1) 에 의해 유발된, 인덕터 (I2) 에 의해 유발된, 그리고 인덕터 (I3) 에 의해 유발된 RF 전력의 감쇠를 데시벨 (dB) 로 플롯팅한다. 인덕터들 (I0 내지 I3) 사이의 상호 커플링은 인덕터 (I0) 로부터 인덕터들 (I1, I2, 및 I3) 로의 직렬로-커플링된 섹션 (S1) 의 인덕터 (I0) 와 커패시터의 직렬 조합의 공진 주파수 (f1) 의 커플링을 발생시킨다.
그래프 800은 서로 그리고 인덕터 (I0) 와 트위스트되는 인덕터들 (I1 내지 I3) 각각에 의한 RF 전력의 감쇠를 나타내고, 그리고 직렬로-커플링된 섹션 (S1) 에 의한 감쇠를 나타낸다는 것이 주의되어야 한다. 트위스팅은 수동으로 수행된다. 트위스팅이 기계를 사용하여 행해지고, 그리고 인덕터들 (I0 내지 I3) 이 동일한 인덕턴스, 예를 들어, 동일한 길이, 동일한 와이어 직경, 동일한 수의 권선들, 각각의 인덕터에 대한 각각의 와이어의 트위스팅의 동일한 피치, 동일한 재료, 등을 갖는 경우에, 인덕터들 (I1 내지 I3) 및 직렬로-커플링된 섹션 (S1) 에 의해 제공된 감쇠는 동일하거나 실질적으로 동일하다.
또한, 2 개의 인덕터들이 규정된 피치로 트위스트될 때, 상호 인덕턴스는 인덕터들 각각의 개별적인 인덕턴스와 동일하거나 실질적으로 동일하고, 예를 들어, 2 퍼센트 이내에 있는 등이다. 상호 인덕턴스는 상호 인덕턴스가 개별적인 인덕턴스와 동일하다면 2회만큼 각각의 인덕터에 의해 제공된 인덕턴스를 증가시킨다. 또한, 2 개의 인덕터들 사이의 기생 커플링은 동일하거나 실질적으로 동일하고, 예를 들어, 2 퍼센트 이내에 있는, 등이다.
인덕터들 (I1 내지 I3) 주위의 블록 (803) 은 인덕터들 사이의 상호 인덕턴스를 예시하도록 도시된다는 것이 주의되어야 한다.
또한, 일 실시예에서, 직렬로-커플링된 섹션 (S1) 의 인덕터 (I0) 의 일 단부는 히팅 엘리먼트 (HE1) 에 그리고 직렬로-커플링된 섹션 (S1) 의 커패시터에 커플링되고 그리고 인덕터 (I0) 의 또 다른 단부는 전력 공급부, 예를 들어, AC 전력 공급부 (AC1), DC 전력 공급부 (DC1), 등에 그리고 직렬로-커플링된 섹션 (S1) 의 커패시터에 커플링된다는 것이 주의되어야 한다. 또한, 인덕터 (I1) 는 일 단부에서 히팅 엘리먼트 (HE1) 에 그리고 다른 단부에서 전력 공급부, 예를 들어, AC 전력 공급부 (AC1), DC 전력 공급부 (DC1), 등에 연결된다. 인덕터 (I2) 는 일 단부에서 히팅 엘리먼트 (HE2) 에 그리고 인덕터 (I2) 의 또 다른 단부에서 전력 공급부, 예를 들어, AC 전력 공급부 (AC2), DC 전력 공급부 (DC2), 등에 연결된다. 인덕터 (I3) 는 일 단부에서 히팅 엘리먼트 (HE2) 에 그리고 인덕터 (I3) 의 다른 단부에서 전력 공급부, 예를 들어, AC 전력 공급부 (AC2), DC 전력 공급부 (DC2), 등에 연결된다.
일 실시예에서, 인덕터 (I0) 의 일 단부는 열적 커플링 (TC1) 의 센싱 접합부에 그리고 직렬로-커플링된 섹션 (S1) 의 커패시터에 커플링되고 그리고 인덕터 (I0) 의 또 다른 단부는 온도 제어기에 그리고 직렬로-커플링된 섹션 (S1) 의 커패시터에 커플링된다. 또한, 이 실시예에서, 인덕터 (I1) 는 일 단부에서 열적 커플링 (TC1) 의 기준 접합부에 그리고 또 다른 단부에서 온도 제어기에 연결된다. 인덕터 (I2) 는 일 단부에서 열적 커플링 (TC2) 의 센싱 접합부에 그리고 또 다른 단부에서 온도 제어기에 연결된다. 인덕터 (I3) 는 일 단부에서 열적 커플링 (TC2) 의 기준 접합부에 그리고 또 다른 단부에서 온도 제어기에 연결된다.
일 실시예에서, 인덕터 (I0) 의 일 단부는 모터의 고정자의 제 1 권선에 그리고 직렬로-커플링된 섹션 (S1) 의 커패시터에 커플링되고 그리고 인덕터 (I0) 의 또 다른 단부는 제 1 위상의 전력 소스, 예를 들어, AC 전력 소스, DC 전력 소스, 등에 그리고 직렬로-커플링된 섹션 (S1) 의 커패시터에 커플링된다. 또한, 인덕터 (I1) 는 일 단부에서 제 1 권선에 그리고 또 다른 단부에서 전력 소스에 연결된다. 인덕터 (I2) 는 일 단부에서 고정자의 제 2 권선에 그리고 또 다른 단부에서 AC 전력 소스에 연결된다. 인덕터 (I3) 는 일 단부에서 제 2 권선에 그리고 또 다른 단부에서 AC 전력 소스에 연결된다.
도 9는 상호 유도된 필터 (900), 예를 들어, 인덕터, 등의 단면을 예시한 도면이다. 상호 유도된 필터 (900) 는 필터 컴포넌트 (902), 예를 들어, 커패시터에 커플링된 인덕터, 등, 및 복수의 인덕터들 (904A, 904B, 904C, 및 904D) 을 포함한다. 인덕터들 (904A 내지 904D) 각각의 와이어는 필터 엘리먼트 (902) 의 인덕터의 와이어보다 두껍다. 예를 들어, 필터 컴포넌트 (902), 예를 들어, 인덕터, 등의 직경 (d1) 은 인덕터들 (904A 내지 904D) 각각의 직경 (d2) 미만이다. 일 실시예에서, 인덕터들 (904A 내지 904D) 의 직경들은 서로 상이하고 그리고 직경들은 직경 (d1) 보다 크다. 최소량의 전류가 필터 엘리먼트 (902) 를 통과하고 그리고 보다 많은 양의 전류가 인덕터들 (904A 내지 904D) 각각을 통과한다. 전류의 보다 많은 양은 전류의 최소량보다 많은 양이다. 예를 들어, 인덕터들 (904A 및 904C) 각각은 전력 공급부로부터 신호를 수신하기 위해, 별개의 전력 공급부, 예를 들어, AC 전력 공급부, DC 전력 공급부, 등에 연결되고 그리고 인덕터들 (904B 및 904D) 각각은 별개의 저항성 엘리먼트로부터의 신호의 복귀를 위한 경로를 제공한다. 인덕터들 (904A 내지 904D) 과 필터 엘리먼트의 인덕터들 사이에 상호 커플링이 있을 때, 상호 유도된 필터 (900) 는 인덕터들 (904A 및 904C) 에 연결된 전력 공급부들에 의해 생성된 공급 신호들로부터 RF 전력을 필터링하고 그리고 또한 저항성 엘리먼트들로부터 복귀되는 복귀 신호들로부터 RF 전력을 필터링한다. 공급 신호와 복귀 신호로부터의 RF 전력은 필터 엘리먼트 (902) 의 공진 주파수로 필터링된다. 상호 커플링을 달성하기 위해서, 필터 엘리먼트 (902) 의 와이어는 인덕터들 (904A 내지 904D) 의 와이어들과 트위스트되고 그리고 이어서 인덕터들 (904A 내지 904D) 및 필터 엘리먼트들 (902) 의 인덕터를 형성하도록 권취되고, 그리고 이어서 필터 엘리먼트 (902) 의 인덕터는 상호 유도된 필터 (900) 를 제조하기 위해서 커패시터에 연결된다. 또 다른 예로서, 인덕터들 (904A 및 904C) 은 2 개의 열적 커플링들의 기준 접합부들에 연결되고 그리고 인덕터들 (904B 및 904D) 은 열적 커플링들의 센싱 접합부들에 연결된다. 열적 커플링들이 온도차들을 센싱할 때 생성된 신호들로부터의 RF 전력은 필터 엘리먼트 (902) 에 의해 필터링된다. 또 다른 예로서, 인덕터 (904A 및 904C) 각각은 전력 소스, 예를 들어, AC 전력 소스, DC 전력 소스, 등에 연결되고, 그리고 인덕터 (904B 및 904D) 각각은 모터의 별개의 고정자 권선으로부터 복귀를 위한 경로를 제공한다. 필터 엘리먼트 (902) 는 인덕터들 (904A 및 904C) 에 연결된 전력 소스에 의해 생성된 신호들로부터 RF 전력을 필터링하고 그리고 또한 고정자 권선들로부터 복귀되는 복귀 신호들로부터 RF 전력을 필터링한다.
전력 공급부 또는 전력 소스에 또는 저항성 엘리먼트에 또는 권선에 직접적으로 연결되지 않는 필터 엘리먼트 (902) 의 사용은 과도한 전류로부터 필터 엘리먼트 (902) 를 보호한다. 또한, 필터 엘리먼트 (902) 가 보다 작은 단면 두께를 갖는 상호 유도된 필터 (900) 는, 필터 엘리먼트가 인덕터들 (904A, 904B, 904C, 및 904D) 각각과 동일한 두께인 패키지보다 콤팩트하다.
도 10a는 상호 유도된 필터 (1002) 의 컴포넌트들에 의한 동일한 공진 주파수들 (f1 및 f2) 의 표현 (exhibition) 및 상호 커플링된 인덕터들에 의한 RF 전력의 유사한 감쇠를 예시하기 위한 그래프 1000의 실시예의 도면이다. 그래프 1000은 채널 (1) 의 인덕터와 커패시터, 채널 (2) 의 인덕터, 채널 (3) 의 인덕터, 및 채널 (4) 의 인덕터와 커패시터에 의한 RF 전력의 감쇠를 예시한다. 인덕터들 및 커패시터들은 상호 유도된 필터 (1002) 의 인덕터들 및 커패시터들이고, 그 프로토타입은 도 10ba에 예시된다. 상호 유도된 필터 (1002) 의 대역 제거 필터링 특성들은 도 10a에 예시된다. 또한, 상호 유도된 필터 (1002) 의 회로도가 도 10bb에 예시된다.
프로토타입으로 도시된 바와 같이, 4 개의 인덕터들은 일체형 바디를 형성하도록 4 개의 와이어들을 트위스팅함으로써, 이어서 일체형 바디의 형상을 변화시키도록 일체형 바디의 형상을 직선으로부터 나선으로 변화시키기 위해서 권취되어 제조된다. 상호 유도된 필터 (1002) 의 프로토타입을 제조하도록 4 개의 인덕터들 중 제 1 인덕터는 2 개의 커패시터들에 직렬로 연결되고 그리고 4 개의 인덕터들 중 제 2 인덕터는 단일의 커패시터와 직렬로 연결된다. 2 개의 커패시터들은 서로 병렬로 연결되고, 그리고 2 개의 커패시터들과 직렬로 연결되는 인덕터와 2 개의 커패시터들의 조합은 공진 주파수 (f3) 를 갖는다. 제 2 인덕터와 직렬로 연결되는 커패시터와 제 2 인덕터의 조합은 공진 주파수 (f4) 를 갖는다.
채널 (3) 의 인덕터는 채널들 (1, 2, 및 4) 의 인덕터들과 상호 커플링되고 그리고 상호 커플링은 채널 (3) 의 인덕터로부터 채널들 (1, 2, 및 4) 의 인덕터들로 공진 주파수 (f3) 의 커플링을 발생시킨다. 또한, 채널 (2) 의 인덕터는 채널들 (1, 3, 및 4) 의 인덕터들과 상호 커플링되고 그리고 상호 커플링은 채널 (2) 의 인덕터로부터 채널들 (1, 3, 및 4) 의 인덕터들로 공진 주파수 (f4) 의 커플링을 발생시킨다. 채널들 (1, 2, 3, 및 4) 의 인덕터들 사이의 상호 커플링은 채널 (3) 의 커패시터들 및 인덕터, 채널 (1) 의 인덕터, 채널 (4) 의 인덕터, 및 채널 (2) 의 커패시터 및 인덕터에 의해 유사하거나 동일한 감쇠를 발생시킨다.
채널들 (1 및 4) 에 연결된 인덕터들 주위의 블록 (1003) 은 인덕터들 사이의 상호 인덕턴스를 예시하도록 도시된다는 것이 주의되어야 한다.
그래프 1000에 도시된 바와 같이, 주파수 (f3) 로 채널 (3) 의 커패시터들 및 인덕터에 의해 제공된 감쇠는 주파수 (f3) 로 채널들 (1 및 4) 의 인덕터들에 의해 제공된 감쇠들보다 크거나, 예를 들어, 보다 네거티브하거나, 등한다는 것이 주의되어야 한다. 채널 (3) 의 커패시터들은 채널 (1 또는 4) 에 의해 제공된 감쇠와 비교하여 채널 (3) 과 연관된 감쇠를 증가시킨다. 유사하게, 주파수 (f4) 로 채널 (2) 의 커패시터 및 인덕터에 의해 제공된 감쇠는 주파수 (f4) 로 채널들 (1 및 4) 의 인덕터들에 의해 제공된 감쇠들보다 크다. 채널 (2) 의 커패시터는 채널 (1 또는 4) 에 의해 제공된 감쇠와 비교하여 채널 (2) 에 의해 제공된 감쇠를 증가시킨다. 예를 들어, 커패시터에 연결되지 않고 인덕터, 등에 연결된 채널이 아닌 채널과 커패시터에 연결되는 채널에 의해 제공된 감쇠 사이의 차는, 변수 K에 따라 결정되고, 변수 K는 L과 M의 비이고, 여기서 L은 각각의 채널의 각각의 인덕터의 인덕턴스이고 그리고 M은 2 개의 인덕터들 사이의 상호 인덕턴스이다. 또한, 차는 인덕터들의 와이어들의 파라미터들, 예를 들어, 인덕터들의 와이어들의 저항성 손실, 등에 따라 결정된다.
일 실시예에서, 채널들 (1 및 4) 의 인덕터들 각각의 인덕턴스가 동일하고, 예를 들어, 인덕터들이 동일한 와이어 직경, 동일한 와이어 길이, 동일한 와이어 재료, 등을 갖는다면, 그리고 상호 커플링이 100 %이거나 실질적으로 100 %에 근접하거나, 예를 들어, 99 % 내지 100 %, 등이라면, 채널들 (1 및 4) 의 인덕터들에 의해 제공된 감쇠는 주파수들 (f3 및 f4) 각각에서, 동일하거나 실질적으로 동일하거나, 예를 들어, 2 % 이내, 등임이 더 주의되어야 한다.
일 실시예에서, f3은 f4와 상이하다. 일 실시예에서, f3은 f4와 동일하다.
2 개의 주파수들 (f3 및 f4) 이 도시되지만, 일 실시예에서, 3 개 이상의 주파수들이 사용된다는 것이 더 주의되어야 한다. 예를 들어, N+M 채널들의 경우에, M은 공진 주파수들의 수이고 그리고 N은 채널들의 출력들의 수이다. N 및 M 각각은 0보다 큰 정수이다. 출력부들은 전력을 전력 공급부 또는 전력 소스 또는 공급 전력부로부터 부하로 수신한다.
일 실시예에서, 채널 (3) 의 2 개의 커패시터들이 서로 병렬로 커플링되는 것 대신에, 일 커패시터가 사용되거나 임의의 다른 수의 커패시터들이 병렬로 커플링된다. 일 실시예에서, 채널 (3) 의 2 개의 커패시터들이 서로 병렬로 커플링되는 것 대신에, 2 개의 커패시터들 또는 임의의 다른 수의 커패시터들이 서로 직렬로 커플링된다.
유사하게, 일 실시예에서, 채널 (2) 의 커패시터 대신에, 서로 직렬로 또는 서로 병렬로 커플링된 복수의 커패시터들이 사용된다.
도 11a, 도 11b, 도 11c, 및 도 11d는 채널들 (1, 2, 3, 및 4) 과 연관된 감쇠들을 예시하기 위한 그래프들 (1102, 1104, 1106, 및 1108) 의 실시예들을 따로따로 도시한다. 그래프 1000 (도 10a) 내의 데이터는 그래프들 (1102, 1104, 1106, 및 1108) 내의 각각의 채널 (1, 2, 3, 및 4) 과 연관된 데이터의 보다 양호한 가시성을 제공하도록 분리된다.
도 12는 상호 유도된 필터의 부분을 생성하도록 4 개의 인덕터들 (I1 내지 I4) 의 트위스팅 및 권취를 예시하기 위한 도면이다. 도시된 바와 같이, 4 개의 와이어들은 상호 유도된 필터의 부분을 형성하도록 서로 상호 커플링되는 4 개의 인덕터들 (I1 내지 I4) 을 형성하기 위해서 규정된 피치로 서로 트위스트되고 그리고 이어서 권취된다.
일 실시예에서, 4와 다른 임의의 수의 인덕터들은 상호 유도된 필터의 부분을 형성하도록 미리 규정된 피처로 트위스트되고 그리고 권취된다.
본 명세서에 기술된 실시예들은 핸드-헬드 소프트웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램 가능한 가전 제품, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함한 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 태스크들이 네트워크를 통해 링킹되는 리모트 프로세싱 하드웨어 유닛들에 의해 수행되는 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함한다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합된다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC들로서 규정되는 칩들, PLD들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들이다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부이다.
제어기는, 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 리모트 액세스를 허용하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부 또는 "클라우드" 내에 있다. 컴퓨터는 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서, 제조 동작들의 현 진행을 모니터링하도록 시스템으로의 리모트 액세스를 인에이블하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사한다.
일부 실시예들에서, 리모트 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 리모트 컴퓨터는 차후에 리모트 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적이라는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 리모트 컴퓨터의 일부로서) 리모트로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관되는 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시예들에서, 상술된 동작들은 몇몇의 타입들의 플라즈마 챔버들, 예를 들어, 유도 결합된 플라즈마 (ICP) 반응기, 변압기 커플링된 플라즈마 (TCP) 챔버, 용량 결합된 플라즈마 반응기, 전도체 툴들, 유전체 툴들을 포함한 플라즈마 챔버, ECR (electron cyclotron resonance) 반응기를 포함한 플라즈마 챔버, 등에 적용된다는 것이 더 주의된다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 실시예들의 일부가 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현 동작들을 채용한다는 것이 이해되어야 한다. 이들 동작들은 물리량들을 물리적으로 조작한 동작들이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
실시예들의 일부는 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치에 관한 것이다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성된다. 특수 목적 컴퓨터로서 규정될 때, 컴퓨터는 여전히 특수 목적을 위해 동작할 수 있으면서, 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행, 또는 루틴들을 수행한다.
일부 실시예들에서, 동작들은 선택적으로 활성화된 컴퓨터에 의해 프로세싱되거나, 컴퓨터 메모리, 캐시에 저장되거나 컴퓨터 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 구성될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 비일시적인 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 제조될 수 있다. 비일시적인 컴퓨터 판독가능 매체는 나중에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, 네트워크 부착 저장장치 (NAS: network attached storage), ROM, RAM, CD-ROM들 (compact disc-ROM), CD-R들 (CD-recordable), CD-RW들 (CD-RW), 자기 테이프들 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크 결합된 컴퓨터 시스템 위에 분산된 컴퓨터 판독가능 유형의 매체를 포함한다.
상기의 방법 동작들이 특정한 순서로 기술되었지만, 다양한 실시예들에서, 하우스키핑 (housekeeping) 동작들이 방법 동작들 사이에서 수행되거나, 방법 동작들이 약간 상이한 시간들에서 일어나도록 방법 동작들이 조정되거나, 다양한 간격들로 방법 동작들의 발생을 허용하는 시스템에서 분포되거나, 상기에 기술된 순서와 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기에 기술된 임의의 실시예로부터의 하나 이상의 특징들이 본 개시에 기술된 다양한 실시예들에 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 특징들과 결합된다는 것이 더 주의되어야 한다.
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술될지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실행될 수 있다는 것이 분명할 것이다. 따라서, 본 실시예들은 제한적인 것이 아닌 예시적인 것으로서 고려되고, 본 실시예들은 본 명세서에 주어진 상세사항들로 제한되지 않지만, 첨부된 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (21)

  1. 부하에 공급된 신호들과 연관된 RF (radio frequency) 전력을 필터링하기 위한 상호 유도된 필터에 있어서,
    상기 부하의 제 1 부하 엘리먼트에 공급된 상기 신호들 중 하나와 연관된 RF 전력을 필터링하기 위해 상기 제 1 부하 엘리먼트에 커플링되도록 구성된 제 1 부분으로서, 상기 부하는 플라즈마 챔버의 페데스탈과 연관되는, 상기 제 1 부분; 및
    상기 부하의 제 2 부하 엘리먼트에 공급된 상기 신호들 중 또 다른 하나와 연관된 RF 전력을 필터링하기 위해 상기 제 2 부하 엘리먼트에 커플링되도록 구성된 제 2 부분을 포함하고,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 2 부분으로 상기 제 1 부분과 연관된 제 1 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해 서로 트위스트되고,
    상기 제 1 부분은 2 개의 인덕터들을 포함하고, 상기 제 1 부분의 상기 2 개의 인덕터들은 서로 트위스트되고, 상기 제 1 부분의 상기 2 개의 인덕터들 중 일 인덕터의 일 단부는 전력 공급부에 커플링되도록 구성되고 제 1 커패시터에 커플링되고, 그리고 상기 2 개의 인덕터들 중 상기 일 인덕터의 또 다른 단부는 상기 제 1 부하 엘리먼트에 커플링되도록 구성되고 상기 제 1 커패시터에 커플링되는, 상호 유도된 필터.
  2. 제 1 항에 있어서,
    상기 제 2 부분은 서로 트위스트되는 2 개의 인덕터들을 포함하고, 상기 제 2 부분의 상기 2 개의 인덕터들 중 일 인덕터의 일 단부는 또 다른 전력 공급부에 커플링되도록 구성되고 제 2 커패시터에 커플링되고, 그리고 상기 제 2 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터의 또 다른 단부는 상기 제 2 부하 엘리먼트에 커플링되도록 구성되고 상기 제 2 커패시터에 커플링되는, 상호 유도된 필터.
  3. 제 2 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 1 부분으로 상기 제 2 부분과 연관된 제 2 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트되고, 상기 제 2 공진 주파수는 상기 제 2 커패시터 및 상기 제 2 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터와 연관되는, 상호 유도된 필터.
  4. 제 1 항에 있어서,
    상기 제 1 공진 주파수는 상기 제 1 커패시터 및 상기 2 개의 인덕터들 중 상기 일 인덕터와 연관되는, 상호 유도된 필터.
  5. 제 1 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 1 부분으로 상기 제 2 부분과 연관된 제 2 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트되는, 상호 유도된 필터.
  6. 제 1 항에 있어서,
    상기 제 1 부하 엘리먼트는 상기 페데스탈의 제 1 히터 엘리먼트이고, 그리고 상기 제 2 부하 엘리먼트는 상기 페데스탈의 제 2 히터 엘리먼트인, 상호 유도된 필터.
  7. 제 1 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 하나의 일체형 권취된 바디를 규정하도록 서로 트위스트되는, 상호 유도된 필터.
  8. 부하로부터 수신된 신호들과 연관된 RF (radio frequency) 전력을 필터링하기 위한 상호 유도된 필터에 있어서,
    상기 부하의 제 1 부하 엘리먼트로부터 수신된 상기 신호들 중 하나와 연관된 RF 전력을 필터링하기 위해 상기 제 1 부하 엘리먼트에 커플링되도록 구성된 제 1 부분으로서, 상기 부하는 플라즈마 챔버의 페데스탈과 연관되는, 상기 제 1 부분; 및
    상기 부하의 제 2 부하 엘리먼트로부터 수신된 상기 신호들 중 또 다른 하나와 연관된 RF 전력을 필터링하기 위해 상기 제 2 부하 엘리먼트에 커플링되도록 구성된 제 2 부분을 포함하고,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 2 부분으로 상기 제 1 부분과 연관된 제 1 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해 서로 트위스트되고,
    상기 제 1 부분은 서로 트위스트된 2 개의 인덕터들을 포함하고, 상기 제 1 부분의 상기 2 개의 인덕터들 중 일 인덕터의 일 단부는 온도 제어기에 커플링되도록 구성되고 제 1 커패시터에 커플링되고, 그리고 상기 제 1 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터의 또 다른 단부는 상기 제 1 부하 엘리먼트에 커플링되도록 구성되고 상기 제 1 커패시터에 커플링되는, 상호 유도된 필터.
  9. 제 8 항에 있어서,
    상기 제 2 부분은 서로 트위스트된 2 개의 인덕터들을 포함하고, 상기 제 2 부분의 상기 2 개의 인덕터들 중 일 인덕터의 일 단부는 상기 온도 제어기에 커플링되도록 구성되고 제 2 커패시터에 커플링되고, 그리고 상기 제 2 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터의 또 다른 단부는 상기 제 2 부하 엘리먼트에 커플링되도록 구성되고 상기 제 2 커패시터에 커플링되는, 상호 유도된 필터.
  10. 제 9 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 1 부분으로 상기 제 2 부분과 연관된 제 2 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해 서로 트위스트되고, 상기 제 2 공진 주파수는 상기 제 2 커패시터 및 상기 제 2 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터와 연관되는, 상호 유도된 필터.
  11. 제 8 항에 있어서,
    상기 제 1 공진 주파수는 상기 제 1 커패시터 및 상기 2 개의 인덕터들 중 상기 일 인덕터와 연관되는, 상호 유도된 필터.
  12. 제 8 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 1 부분으로 상기 제 2 부분과 연관된 제 2 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해 트위스트되는, 상호 유도된 필터.
  13. 제 8 항에 있어서,
    상기 제 1 부하 엘리먼트는 상기 페데스탈에 커플링된 제 1 열적 커플링이고, 그리고 상기 제 2 부하 엘리먼트는 상기 페데스탈에 커플링된 제 2 열적 커플링인, 상호 유도된 필터.
  14. 제 8 항에 있어서,
    기 제 1 부분 및 상기 제 2 부분은 하나의 일체형 권취된 바디를 규정하도록 서로 트위스트되는, 상호 유도된 필터.
  15. 부하에 공급된 신호들과 연관된 RF (radio frequency) 전력을 필터링하기 위한 상호 유도된 필터에 있어서,
    부하에 공급된 상기 신호들 중 하나와 연관된 RF 전력을 필터링하기 위해 상기 부하에 커플링되도록 구성된 제 1 부분으로서, 상기 부하는 플라즈마 챔버의 페데스탈과 연관되는, 상기 제 1 부분; 및
    상기 부하에 공급된 상기 신호들 중 또 다른 하나와 연관된 RF 전력을 필터링하기 위해 상기 부하에 커플링되도록 구성된 제 2 부분을 포함하고,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 2 부분으로 상기 제 1 부분과 연관된 제 1 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해 서로 트위스트되고,
    상기 제 1 부분은 서로 트위스트된 2 개의 인덕터들을 포함하고, 상기 2 개의 인덕터들 중 일 인덕터의 일 단부는 전력 소스에 커플링되도록 구성되고 제 1 커패시터에 커플링되고, 그리고 상기 2 개의 인덕터들 중 상기 일 인덕터의 또 다른 단부는 상기 부하에 커플링되도록 구성되고 상기 제 1 커패시터에 커플링되는, 상호 유도된 필터.
  16. 제 15 항에 있어서,
    상기 제 2 부분은 서로 트위스트된 2 개의 인덕터들을 포함하고, 상기 제 2 부분의 상기 2 개의 인덕터들 중 일 인덕터의 일 단부는 상기 전력 소스에 커플링되도록 구성되고 제 2 커패시터에 커플링되고, 그리고 상기 제 2 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터의 또 다른 단부는 상기 부하에 커플링되도록 구성되고 상기 제 2 커패시터에 커플링되는, 상호 유도된 필터.
  17. 제 16 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 1 부분으로 상기 제 2 부분과 연관된 제 2 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트되고, 상기 제 2 공진 주파수는 상기 제 2 커패시터 및 상기 제 2 부분의 상기 2 개의 인덕터들 중 상기 일 인덕터와 연관되는, 상호 유도된 필터.
  18. 제 15 항에 있어서,
    상기 제 1 공진 주파수는 상기 제 1 커패시터 및 상기 2 개의 인덕터들 중 상기 일 인덕터와 연관되는, 상호 유도된 필터.
  19. 제 15 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 상기 제 1 부분으로 상기 제 2 부분과 연관된 제 2 공진 주파수의 커플링을 더 용이하게 하도록 서로 상호 커플링되기 위해서 서로 트위스트되는, 상호 유도된 필터.
  20. 제 15 항에 있어서,
    상기 부하는 모터이고, 그리고 상기 전력 소스는 AC (alternating current) 전력 소스인, 상호 유도된 필터.
  21. 제 15 항에 있어서,
    상기 제 1 부분 및 상기 제 2 부분은 하나의 일체형 권취된 바디를 규정하도록 서로 트위스트되는, 상호 유도된 필터.
KR1020190140142A 2015-10-15 2019-11-05 상호 유도된 필터들 KR102212429B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210013491A KR102286770B1 (ko) 2015-10-15 2021-01-29 상호 유도된 필터들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/884,401 US10044338B2 (en) 2015-10-15 2015-10-15 Mutually induced filters
US14/884,401 2015-10-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160126513A Division KR102043496B1 (ko) 2015-10-15 2016-09-30 상호 유도된 필터들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210013491A Division KR102286770B1 (ko) 2015-10-15 2021-01-29 상호 유도된 필터들

Publications (2)

Publication Number Publication Date
KR20190126752A KR20190126752A (ko) 2019-11-12
KR102212429B1 true KR102212429B1 (ko) 2021-02-04

Family

ID=58523133

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160126513A KR102043496B1 (ko) 2015-10-15 2016-09-30 상호 유도된 필터들
KR1020190140142A KR102212429B1 (ko) 2015-10-15 2019-11-05 상호 유도된 필터들
KR1020210013491A KR102286770B1 (ko) 2015-10-15 2021-01-29 상호 유도된 필터들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160126513A KR102043496B1 (ko) 2015-10-15 2016-09-30 상호 유도된 필터들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020210013491A KR102286770B1 (ko) 2015-10-15 2021-01-29 상호 유도된 필터들

Country Status (4)

Country Link
US (4) US10044338B2 (ko)
KR (3) KR102043496B1 (ko)
CN (2) CN107026629B (ko)
TW (3) TW202233019A (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10044338B2 (en) * 2015-10-15 2018-08-07 Lam Research Corporation Mutually induced filters
US11837446B2 (en) * 2017-07-31 2023-12-05 Lam Research Corporation High power cable for heated components in RF environment
JPWO2019053869A1 (ja) * 2017-09-15 2020-10-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
JP7094856B2 (ja) * 2018-10-19 2022-07-04 東京エレクトロン株式会社 フィルタユニットの調整方法およびプラズマ処理装置
CN114762078A (zh) * 2019-12-05 2022-07-15 朗姆研究公司 使用变压器实现衬底处理的均匀性的***和方法
US11361940B2 (en) * 2020-10-13 2022-06-14 Applied Materials, Inc. Push-pull power supply for multi-mesh processing chambers
US20220364233A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Magnetically coupled rf filter for substrate processing chambers
CN117439544B (zh) * 2023-12-20 2024-04-09 深圳市瀚强科技股份有限公司 工作频率调节方法、工作频率控制电路及射频电源设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090133839A1 (en) * 2007-11-14 2009-05-28 Tokyo Electron Limited Plasma processing apparatus
JP2011004324A (ja) * 2009-06-22 2011-01-06 Mitsubishi Electric Corp 積層複合フィルタ

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE639098A (ko) * 1962-10-25
US4052751A (en) * 1976-04-12 1977-10-04 The Gillette Company Ground fault interrupter circuit
US4292577A (en) 1979-03-12 1981-09-29 Kearney & Trecker Corporation A.C. Motor control circuit
US5095247A (en) 1989-08-30 1992-03-10 Shimadzu Corporation Plasma discharge apparatus with temperature sensing
US5861600A (en) 1996-08-21 1999-01-19 Jensen; Donald C. Fuel plasma vortex combustion system
US6063234A (en) * 1997-09-10 2000-05-16 Lam Research Corporation Temperature sensing system for use in a radio frequency environment
DE10046917A1 (de) * 1999-09-21 2001-05-03 Murata Manufacturing Co LC-Filter
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6707255B2 (en) * 2002-07-10 2004-03-16 Eni Technology, Inc. Multirate processing for metrology of plasma RF source
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7380984B2 (en) 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
CN102056395B (zh) * 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN101741337B (zh) * 2009-11-24 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种阻抗调节装置和包含该装置的阻抗匹配***
US8742666B2 (en) * 2010-08-06 2014-06-03 Lam Research Corporation Radio frequency (RF) power filters and plasma processing systems including RF power filters
DE102010035150B4 (de) 2010-08-23 2012-03-08 Ev Group Gmbh Automatisiert koppelbares Spannfutter
CN103187943B (zh) * 2011-12-28 2017-02-08 中微半导体设备(上海)有限公司 一种用于静电吸盘的射频滤波器
JP5685573B2 (ja) * 2012-11-15 2015-03-18 株式会社デンソー 通信システム
TWI531108B (zh) * 2013-01-18 2016-04-21 矽品精密工業股份有限公司 雙工器與其線路結構暨射頻收發裝置
KR20140122548A (ko) * 2013-04-10 2014-10-20 피에스케이 주식회사 전력 공급 장치, 전력 공급 방법, 그리고 그를 이용한 기판 처리 장치
US20140327386A1 (en) 2013-05-03 2014-11-06 Sten R. Gerfast Radio-controllable ac-powered motors with several functions
KR101529499B1 (ko) * 2014-03-31 2015-06-17 에스피피 테크놀로지스 컴퍼니 리미티드 가열 장치 및 이를 구비하는 플라즈마 처리 장치
US9312832B2 (en) * 2014-07-23 2016-04-12 Lam Research Corporation High power filter with single adjust for multiple channels
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10044338B2 (en) * 2015-10-15 2018-08-07 Lam Research Corporation Mutually induced filters
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090133839A1 (en) * 2007-11-14 2009-05-28 Tokyo Electron Limited Plasma processing apparatus
JP2011004324A (ja) * 2009-06-22 2011-01-06 Mitsubishi Electric Corp 積層複合フィルタ

Also Published As

Publication number Publication date
US20220149801A1 (en) 2022-05-12
US20170111025A1 (en) 2017-04-20
US10637427B2 (en) 2020-04-28
KR20170054224A (ko) 2017-05-17
CN112713870A (zh) 2021-04-27
KR20210013640A (ko) 2021-02-04
TWI767289B (zh) 2022-06-11
TW202044755A (zh) 2020-12-01
CN107026629B (zh) 2020-12-25
US10044338B2 (en) 2018-08-07
US20200252040A1 (en) 2020-08-06
KR102043496B1 (ko) 2019-11-11
TWI704768B (zh) 2020-09-11
KR102286770B1 (ko) 2021-08-06
KR20190126752A (ko) 2019-11-12
CN107026629A (zh) 2017-08-08
US11258420B2 (en) 2022-02-22
TW201725855A (zh) 2017-07-16
CN112713870B (zh) 2024-05-28
US20180331669A1 (en) 2018-11-15
TW202233019A (zh) 2022-08-16

Similar Documents

Publication Publication Date Title
KR102212429B1 (ko) 상호 유도된 필터들
KR102418494B1 (ko) 복수의 플라즈마 프로세싱 스테이션들에 걸쳐 임피던스들 또는 전력을 조정하기 위한 결합기 및 분배기
JP2018022685A (ja) 複数のステーションにおけるウエハの反りの制御
US20230246624A1 (en) Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
CN114762078A (zh) 使用变压器实现衬底处理的均匀性的***和方法
TW202415147A (zh) 用以調整多電漿處理站範圍之阻抗或功率的結合及分配器

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant