KR102186070B1 - Apparatus for treating substrate and plasma treating method - Google Patents

Apparatus for treating substrate and plasma treating method Download PDF

Info

Publication number
KR102186070B1
KR102186070B1 KR1020140123885A KR20140123885A KR102186070B1 KR 102186070 B1 KR102186070 B1 KR 102186070B1 KR 1020140123885 A KR1020140123885 A KR 1020140123885A KR 20140123885 A KR20140123885 A KR 20140123885A KR 102186070 B1 KR102186070 B1 KR 102186070B1
Authority
KR
South Korea
Prior art keywords
point
gas
plasma
controller
process chamber
Prior art date
Application number
KR1020140123885A
Other languages
Korean (ko)
Other versions
KR20160033359A (en
Inventor
이종석
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020140123885A priority Critical patent/KR102186070B1/en
Publication of KR20160033359A publication Critical patent/KR20160033359A/en
Application granted granted Critical
Publication of KR102186070B1 publication Critical patent/KR102186070B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판 처리 시스템에 관한 것으로, 본 발명의 일 실시예에 따른 기판 처리 시스템은, 플라즈마를 이용하여 공정을 처리하는 공정 챔버 및 상기 공정 챔버 내의 플라즈마를 광분석하여 종점을 검출하는 검출 유닛을 포함하되, 상기 검출 유닛은, 광 센서, 상기 광 센서로부터 스펙트럼 데이터를 취합하는 제 1 제어기, 그리고 상기 제 1 제어기로부터 전송받은 데이터 중 일부를 자동으로 선택하여 분석하는 제 2 제어기를 포함할 수 있다.The present invention relates to a substrate processing system, and the substrate processing system according to an embodiment of the present invention includes a process chamber that processes a process using plasma and a detection unit that detects an end point by photoanalyzing plasma in the process chamber. The detection unit may include an optical sensor, a first controller for collecting spectral data from the optical sensor, and a second controller for automatically selecting and analyzing some of the data transmitted from the first controller. .

Description

기판 처리 장치 및 플라즈마 처리 방법{APPARATUS FOR TREATING SUBSTRATE AND PLASMA TREATING METHOD}Substrate processing apparatus and plasma processing method {APPARATUS FOR TREATING SUBSTRATE AND PLASMA TREATING METHOD}

본 발명은 기판 처리 장치 및 플라즈마를 이용한 처리 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a processing method using plasma.

반도체소자를 제조하기 위해서, 기판을 포토리소그라피, 식각, 애싱, 이온주입, 박막증착, 그리고 세정 등 다양한 공정을 수행하여 기판 상에 원하는 패턴을 형성한다. 이 중 식각 공정은 기판 상에 형성된 막 중 선택된 가열 영역을 제거하는 공정으로 습식식각과 건식식각이 사용된다.In order to manufacture a semiconductor device, a desired pattern is formed on the substrate by performing various processes such as photolithography, etching, ashing, ion implantation, thin film deposition, and cleaning. Among them, the etching process is a process of removing a selected heating region from among the films formed on the substrate, and wet etching and dry etching are used.

이 중 건식식각을 위해 플라즈마를 이용한 식각 장치가 사용된다. 일반적으로 플라즈마를 형성하기 위해서는 챔버의 내부공간에 전자기장을 형성하고, 전자기장은 챔버 내에 제공된 공정가스를 플라즈마 상태로 여기시킨다.Among them, an etching apparatus using plasma is used for dry etching. In general, to form a plasma, an electromagnetic field is formed in an inner space of a chamber, and the electromagnetic field excites a process gas provided in the chamber into a plasma state.

플라즈마는 이온이나 전자, 라디칼등으로 이루어진 이온화된 가스 상태를 말한다. 플라즈마는 매우 높은 온도나, 강한 전계 혹은 고주파 전자계(RF Electromagnetic Fields)에 의해 생성된다. 반도체 소자 제조 공정은 플라즈마를 사용하여 식각 공정을 수행한다. 이 때, 플라즈마를 이용한 식각 공정에는 광학 데이터에 의해 처리의 종점을 검출하여 식각 완료 여부를 판단한다. 이러한 종점 검출 방식은 통상적으로 광학 발광 분석기를 이용하나, 이러한 검출 방식은 무수한 처리 가스에 따른 데이터를 정확하게 감지하고 판단하는 것이 중요하다.Plasma refers to an ionized gas state composed of ions, electrons, and radicals. Plasma is produced by very high temperatures, strong electric fields, or RF electromagnetic fields. In the semiconductor device manufacturing process, an etching process is performed using plasma. At this time, in the etching process using plasma, the end point of the process is detected using optical data to determine whether the etching is completed. Such an end point detection method typically uses an optical luminescence analyzer, but it is important to accurately detect and determine data according to countless processing gases.

본 발명은 종점 검출 효율을 높일 수 있는 기판 처리 장치를 제공하는 것을 일 목적으로 한다.An object of the present invention is to provide a substrate processing apparatus capable of increasing the end point detection efficiency.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problem to be solved by the present invention is not limited to the above-described problems, and the problems that are not mentioned can be clearly understood by those of ordinary skill in the technical field to which the present invention belongs from the present specification and the accompanying drawings. will be.

본 발명은 기판 처리 시스템을 제공한다. The present invention provides a substrate processing system.

본 발명의 일 실시예에 따른 기판 처리 시스템은, 플라즈마를 이용하여 공정을 처리하는 공정 챔버 및 상기 공정 챔버 내의 플라즈마를 광분석하여 종점을 검출하는 검출 유닛을 포함하되, 상기 검출 유닛은, 광 센서, 상기 광 센서로부터 스펙트럼 데이터를 취합하는 제 1 제어기, 그리고 상기 제 1 제어기로부터 전송받은 데이터 중 일부를 자동으로 선택하여 분석하는 제 2 제어기를 포함할 수 있다.A substrate processing system according to an embodiment of the present invention includes a process chamber for processing a process using plasma, and a detection unit for photo-analyzing plasma in the process chamber to detect an end point, wherein the detection unit includes an optical sensor , A first controller for collecting spectral data from the optical sensor, and a second controller for automatically selecting and analyzing some of the data transmitted from the first controller.

상기 제 1 제어기는 상기 스펙트럼 데이터를 가스 종류별로 데이터 처리할 수 있다.The first controller may process the spectrum data for each gas type.

상기 제 2 제어기는 상기 제 1 제어기로부터 전송받은 각 스펙트럼 데이터를 한 순간의 스펙트럼 데이터들로 통계화할 수 있다.The second controller may statisticalize each spectral data transmitted from the first controller as spectral data at an instant.

상기 제 2 제어기는, 상기 통계화된 스펙트럼 데이터의 피크점을 설정하여 피크를 감지할 수 있다.The second controller may detect a peak by setting a peak point of the statistical spectral data.

상기 제 2 제어기는, 상기 피크점인 제 3 점, 그라운드 레벨에서 상기 제 3 점으로 상승되는 지점인 제 1 점, 그리고 상기 제 3 점에서 상기 그라운드 레벨로 하강되는 지점인 제 2 점을 설정하여 피크를 감지하고 가스의 이상 여부를 확인할 수 있다.The second controller sets a third point as the peak point, a first point as a point rising from the ground level to the third point, and a second point as a point falling from the third point to the ground level. Peaks can be detected and gas abnormalities can be checked.

상기 제 2 제어기는, 상기 제 1 점, 상기 제 2 점, 그리고 상기 제 3 점간의 영역을 자동으로 트래킹하여 가스의 이상 여부를 확인할 수 있다.The second controller may automatically track an area between the first point, the second point, and the third point to check whether the gas is abnormal.

상기 제 2 제어기는, 상기 피크점인 제 3 점, 그라운드 레벨에서 상기 제 3 점으로 상승되는 지점인 제 1 점, 그리고 상기 제 3 점에서 상기 그라운드 레벨로 하강되는 지점인 제 2 점을 설정하고, 상기 제 1 점, 상기 제 2 점, 그리고 상기 제 3 점간의 영역을 자동으로 트래킹하여 가스의 이상 여부를 확인할 수 있다.The second controller sets a third point that is the peak point, a first point that is a point that rises from the ground level to the third point, and a second point that is a point that falls from the third point to the ground level, and , An area between the first point, the second point, and the third point may be automatically tracked to check whether gas is abnormal.

상기 공정 챔버는, 상기 공정 챔버 내부에 위치하며, 기판을 지지하는 지지 유닛, 상기 공정 챔버 내부로 공정 가스를 공급하는 가스 공급 유닛, 그리고 상기 공정 챔버 내부에 공급된 상기 공정 가스를 여기시키는 플라즈마 생성 유닛을 포함할 수 있다.The process chamber is located inside the process chamber, a support unit supporting a substrate, a gas supply unit supplying a process gas into the process chamber, and plasma generation to excite the process gas supplied into the process chamber May contain units.

본 발명의 실시예에 의하면, 종점 검출 효율을 높일 수 있는 기판 처리 장치를 제공할 수 있다.According to an embodiment of the present invention, it is possible to provide a substrate processing apparatus capable of increasing the efficiency of detecting an end point.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-described effects, and effects that are not mentioned will be clearly understood by those of ordinary skill in the art from the present specification and the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 기판 처리 시스템을 보여주는 도면이다.
도 2는 도 1의 기판 처리 장치를 보여주는 단면도이다.
도 3은 검출 유닛이 가스피크를 검출하는 알고리즘을 보여주는 도면이다.
도 4는 제 1 제어기가 취합한 스펙트럼 데이터를 보여주는 도면이다.
도 5는 제 2 제어기가 데이터 분석한 스펙트럼 데이터를 보여주는 도면이다.
1 is a view showing a substrate processing system according to an embodiment of the present invention.
2 is a cross-sectional view showing the substrate processing apparatus of FIG. 1.
3 is a diagram showing an algorithm for detecting a gas peak by a detection unit.
4 is a diagram showing spectral data collected by a first controller.
5 is a diagram showing spectral data analyzed by a second controller.

본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 서술하는 실시예로 인해 한정되어지는 것으로 해석되어서는 안된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되는 것이다. 따라서 도면에서의 구성 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장된 것이다.The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited by the embodiments described below. This embodiment is provided to more completely explain the present invention to those of ordinary skill in the art. Therefore, the shape of the constituent elements in the drawings is exaggerated to emphasize a more clear description.

본 발명의 실시예에서는 플라즈마를 이용하여 기판을 식각하는 기판 처리 장치 에 대해 설명한다. 그러나 본 발명의 기술적 특징은 이에 한정되지 않으며 플라즈마를 이용하여 기판(W)을 처리하는 다양한 종류의 장치에 적용될 수 있다. 그러나 본 발명은 이에 한정되지 않고, 그 상부에 놓여진 기판을 플라즈마 처리하는 다양한 종류의 장치에 적용 가능하다. In an exemplary embodiment of the present invention, a substrate processing apparatus for etching a substrate using plasma will be described. However, the technical features of the present invention are not limited thereto, and may be applied to various types of devices that process the substrate W using plasma. However, the present invention is not limited thereto, and can be applied to various types of apparatuses for plasma processing a substrate disposed thereon.

또한 본 발명의 실시예에서는 지지 유닛으로 정전 척을 예로 들어 설명한다. 그러나 본 발명은 이에 한정되지 않고, 지지 유닛은 기계적 클램핑에 의해 기판을 지지하거나, 진공에 의해 기판을 지지할 수 있다. In addition, in the embodiment of the present invention, an electrostatic chuck will be described as an example as a support unit. However, the present invention is not limited thereto, and the support unit may support the substrate by mechanical clamping or the substrate by vacuum.

도 1은 본 발명의 일 실시예에 따른 기판 처리 시스템(1)을 나타내는 단면도이다. 기판 처리 시스템(1)은 공정 챔버(100) 및 검출 유닛(500)을 포함한다. 1 is a cross-sectional view showing a substrate processing system 1 according to an embodiment of the present invention. The substrate processing system 1 includes a process chamber 100 and a detection unit 500.

도 2는 도 1의 기판 처리 장치(100)를 보여주는 도면이다. 도 2를 참조하면, 기판 처리 장치(100)는 공정 챔버(100), 지지 유닛(200), 가스 공급 유닛(300), 그리고 플라즈마 생성 유닛(400)을 포함한다. 기판 처리 장치는 플라즈마를 이용하여 기판(W)을 처리한다.2 is a diagram illustrating the substrate processing apparatus 100 of FIG. 1. Referring to FIG. 2, the substrate processing apparatus 100 includes a process chamber 100, a support unit 200, a gas supply unit 300, and a plasma generation unit 400. The substrate processing apparatus processes the substrate W using plasma.

공정 챔버(100)는 내부에 공정 수행을 위한 공간을 가진다. 공정 챔버(100)의 바닥면에는 배기홀(103)이 형성된다. 배기홀(103)은 펌프(122)가 장착된 배기 라인(121)과 연결된다. 공정 과정에서 발생한 반응 부산물 및 공정 챔버(100) 내부에 머무르는 가스는 배기 라인(121)을 통해 배기홀(103)로 배기된다. 따라서, 공정 챔버(100)의 외부로 배출될 수 있다. 또한, 배기 과정에 의해 공정 챔버(100)의 내부공간은 소정 압력으로 감압된다. 일 예로, 배기홀(103)은 후술하는 라이너 유닛(130)의 관통홀(158)과 직접 통하는 위치에 제공될 수 있다. The process chamber 100 has a space for performing a process therein. An exhaust hole 103 is formed in the bottom surface of the process chamber 100. The exhaust hole 103 is connected to the exhaust line 121 on which the pump 122 is mounted. The reaction by-products generated during the process and the gas remaining in the process chamber 100 are exhausted to the exhaust hole 103 through the exhaust line 121. Accordingly, it may be discharged to the outside of the process chamber 100. In addition, the internal space of the process chamber 100 is reduced to a predetermined pressure by the exhaust process. As an example, the exhaust hole 103 may be provided at a position that directly communicates with the through hole 158 of the liner unit 130 to be described later.

공정 챔버(100)의 측벽에는 개구(104)가 형성된다. 개구(104)는 공정 챔버(100) 내부로 기판이 출입하는 통로로 기능한다. 개구(104)는 도어 어셈블리(미도시됨)에 의해 개폐된다. 일 예에 의하면, 도어 어셈블리(미도시됨)는 외측 도어, 내측 도어, 그리고 연결판을 가진다. 외측 도어는 공정 챔버의 외벽에 제공된다. 내측 도어는 공정 챔버의 내벽에 제공된다. 외측 도어와 내측 도어는 연결판에 의해 서로 고정 결합된다. 연결판은 개구를 통해 공정 챔버의 내측에서 외측까지 연장되게 제공된다. 도어 구동기은 외측 도어를 상하 방향으로 이동시킨다. 도어 구동기는 유공압 실린더나 모터를 포함할 수 있다.An opening 104 is formed in the sidewall of the process chamber 100. The opening 104 functions as a passage through which the substrate enters and exits the process chamber 100. The opening 104 is opened and closed by a door assembly (not shown). According to an example, a door assembly (not shown) has an outer door, an inner door, and a connecting plate. The outer door is provided on the outer wall of the process chamber. The inner door is provided on the inner wall of the process chamber. The outer door and the inner door are fixedly coupled to each other by a connecting plate. The connecting plate is provided to extend from the inside to the outside of the process chamber through the opening. The door driver moves the outer door in the vertical direction. The door actuator may include a hydraulic cylinder or a motor.

공정 챔버(100)의 내부 중 아래 영역에는 지지 유닛(200)이 위치한다. 지지 유닛(200)은 정전기력에 의해 기판(W)을 지지한다. 이와 달리 지지 유닛(200)은 기계적 클램핑 등과 같은 다양한 방식으로 기판(W)을 지지할 수 있다. The support unit 200 is located in the lower area of the inside of the process chamber 100. The support unit 200 supports the substrate W by electrostatic force. Unlike this, the support unit 200 may support the substrate W in various ways such as mechanical clamping.

지지 유닛(200)은 지지판(210), 링 어셈블리(260), 그리고 가스 공급 라인부(270)를 가진다. 지지판(210)에는 기판(W)이 놓인다. 지지판(210)은 베이스(220)와 정전 척(240)을 가진다. 정전 척(240)은 정전기력에 의해 기판(W)을 그 상면에 지지한다. 정전 척(240)은 베이스(220) 상에 고정결합된다. The support unit 200 has a support plate 210, a ring assembly 260, and a gas supply line portion 270. A substrate W is placed on the support plate 210. The support plate 210 has a base 220 and an electrostatic chuck 240. The electrostatic chuck 240 supports the substrate W on its upper surface by electrostatic force. The electrostatic chuck 240 is fixedly coupled to the base 220.

링 어셈블리(260)는 링 형상으로 제공된다. 링 어셈블리(260)는 지지판(210)의 둘레를 감싸도록 제공된다. 일 예로, 링 어셈블리(260)는 정전 척(240)의 둘레를 감싸도록 제공된다. 링 어셈블리(260)는 기판(W)의 가장자리 영역을 지지한다. 일 예에 의하면, 링 어셈블리(260)는 포커스 링(262)과 절연 링(264)을 가진다. 포커스 링(262)은 정전 척(240)을 감싸도록 제공되며 플라즈마를 기판(W)으로 집중시킨다. 절연 링(264)는 포커스 링(262)을 감싸도록 제공된다. 선택적으로 링 어셈블리(260)는 플라즈마에 의해 정전 척(240)의 측면이 손상되는 것을 방지하도록 포커스 링(262)의 둘레에 밀착되게 제공되는 에지 링(도시되지 않음)을 포함할 수 있다. 상술한 바와 달리 링 어셈블리(260)의 구조는 다양하게 변경될 수 있다. The ring assembly 260 is provided in a ring shape. The ring assembly 260 is provided to surround the support plate 210. For example, the ring assembly 260 is provided to surround the electrostatic chuck 240. The ring assembly 260 supports an edge region of the substrate W. According to one example, the ring assembly 260 has a focus ring 262 and an insulating ring 264. The focus ring 262 is provided to surround the electrostatic chuck 240 and concentrates plasma onto the substrate W. The insulating ring 264 is provided to surround the focus ring 262. Optionally, the ring assembly 260 may include an edge ring (not shown) provided in close contact with the circumference of the focus ring 262 to prevent damage to the side surface of the electrostatic chuck 240 by plasma. Unlike the above, the structure of the ring assembly 260 may be variously changed.

가스 공급 라인부(270)는 가스 공급원(272)과 가스 공급 라인(274)을 포함한다. 가스 공급 라인(274)은 링 어셈블리(260)와 지지판(210) 사이에 제공된다. 가스 공급 라인(274)은 링 어셈블리(260)의 상면 또는 지지판(210)의 가장자리 영역에 잔류하는 이물질을 제거하도록 가스를 공급한다. 일 예로, 가스는 질소 가스(N2)일 수 있다. 선택적으로, 다른 가스 또는 세정제를 공급할 수 있다. 가스 공급 라인(274)은 지지판(210) 내부에서 포커스 링(262)과 정전 척(240) 사이로 연결되도록 형성될 수 있다. 이와 달리, 가스 공급 라인(274)은 포커스 링(262) 내부에서 제공되어, 포커스 링(262)과 정전 척(240) 사이로 연결되도록 절곡되는 구조일 수 있다.The gas supply line unit 270 includes a gas supply source 272 and a gas supply line 274. A gas supply line 274 is provided between the ring assembly 260 and the support plate 210. The gas supply line 274 supplies gas to remove foreign substances remaining on the upper surface of the ring assembly 260 or the edge region of the support plate 210. For example, the gas may be nitrogen gas (N 2 ). Optionally, other gases or cleaning agents can be supplied. The gas supply line 274 may be formed to be connected between the focus ring 262 and the electrostatic chuck 240 in the support plate 210. Alternatively, the gas supply line 274 may be provided inside the focus ring 262 and may be bent to be connected between the focus ring 262 and the electrostatic chuck 240.

일 예에 의하면, 정전 척(240)은 세라믹 재질로 제공되고, 포커스 링(262)은 실리콘 재질로 제공되고, 절연 링(264)은 쿼츠 재질로 제공될 수 있다. 정전 척(240) 또는 베이스(220) 내에는 공정 진행 중 기판(W)을 공정 온도로 유지하도록 하는 가열 부재(282) 및 냉각 부재(284)가 제공될 수 있다. 가열 부재(282)는 열선으로 제공될 수 있다. 냉각 부재(284)는 냉매가 흐르는 냉각 라인으로 제공될 수 있다. 일 예에 의하면, 가열 부재(282)는 정전 척(240)에 제공되고, 냉각 부재(284)는 베이스(220)에 제공될 수 있다.According to an example, the electrostatic chuck 240 may be made of a ceramic material, the focus ring 262 may be made of a silicon material, and the insulating ring 264 may be made of a quartz material. A heating member 282 and a cooling member 284 may be provided in the electrostatic chuck 240 or the base 220 to maintain the substrate W at a process temperature during the process. The heating member 282 may be provided as a heating wire. The cooling member 284 may be provided as a cooling line through which a refrigerant flows. According to an example, the heating member 282 may be provided on the electrostatic chuck 240, and the cooling member 284 may be provided on the base 220.

가스 공급 유닛(300)은 공정 챔버(100) 내부로 공정가스를 공급한다. 가스 공급 유닛(300)은 가스 저장부(310), 가스 공급 라인(320), 그리고 가스 유입 포트(330)를 포함한다. 가스 공급 라인(320)은 가스 저장부(310)와 가스 유입 포트(330)를 연결한다. 가스 공급 라인(320)은 가스 저장부(310)에 저장된 공정 가스를 가스 유입 포트(330)에 공급한다. 가스 공급 라인(320)에는 그 통로를 개폐하거나, 그 통로를 흐르는 유체의 유량을 조절하는 밸브(322)가 설치될 수 있다.The gas supply unit 300 supplies a process gas into the process chamber 100. The gas supply unit 300 includes a gas storage unit 310, a gas supply line 320, and a gas inlet port 330. The gas supply line 320 connects the gas storage unit 310 and the gas inlet port 330. The gas supply line 320 supplies the process gas stored in the gas storage unit 310 to the gas inlet port 330. A valve 322 may be installed in the gas supply line 320 to open and close the passage or to control the flow rate of the fluid flowing through the passage.

플라즈마 생성 유닛(400)은 방전 공간에 머무르는 공정 가스로부터 플라즈마를 발생시킨다. 방전 공간은 공정 챔버(100) 내에서 지지 유닛(200)의 상부 영역에 해당된다. 플라즈마 생성 유닛(400)은 용량 결합형 플라즈마(capacitive coupled plasma) 소스를 가질 수 있다. The plasma generation unit 400 generates plasma from the process gas remaining in the discharge space. The discharge space corresponds to an upper area of the support unit 200 in the process chamber 100. The plasma generation unit 400 may have a capacitive coupled plasma source.

플라즈마 생성 유닛(400)은 상부 전극(420), 하부 전극(440), 그리고 고주파 전원(460)을 가진다. 상부 전극(420)과 하부 전극(440)은 서로 상하 방향으로 대향되게 제공된다. 상부 전극(420)은 샤워 헤드(422) 및 링 어셈블리(424)를 가진다. 샤워 헤드(422)는 정전 척(240)과 대향되게 위치되고, 정전 척(240)보다 큰 직경으로 제공될 수 있다. 샤워 헤드(422)에는 가스를 분사하는 홀들(422a)이 형성된다. 링 어셈블리(424)는 샤워 헤드(422)를 감싸도록 제공된다. 링 어셈블리(424)는 샤워 헤드(422)와 전기적으로 연결되도록 샤워 헤드(422)에 접촉되게 제공될 수 있다. 링 어셈블리(424)는 샤워 헤드(422)에 밀착되게 제공될 수 있다. 일 예에 의하면, 샤워 헤드(422)는 실리콘으로 제공될 수 있다. 선택적으로 샤워 헤드(422)는 금속 재질로 제공될 수 있다. 링 어셈블리(424)는 샤워 헤드(422)와 동일한 재질로 제공될 수 있다. 하부 전극(440)은 정전 척(240) 내에 제공될 수 있다. 일 예에 의하면, 상부 전극(420)은 접지(429)되고, 하부 전극(440)에는 고주파 전원(460)이 연결될 수 있다. 선택적으로 상부 전극(420)에 고주파 전원(460)이 연결되고 하부 전극(440)이 접지될 수 있다. 또한, 선택적으로 상부 전극(420) 및 하부 전극(440) 모두에 고주파 전원(460)이 연결될 수 있다. 일 예에 의하면, 고주파 전원(460)은 상부 전극(420) 또는 하부 전극(440)에 연속적으로 전력을 인가하거나 펄스로 전력을 인가할 수 있다. The plasma generating unit 400 includes an upper electrode 420, a lower electrode 440, and a high frequency power supply 460. The upper electrode 420 and the lower electrode 440 are provided to face each other in the vertical direction. The upper electrode 420 has a shower head 422 and a ring assembly 424. The shower head 422 is positioned to face the electrostatic chuck 240 and may be provided with a larger diameter than the electrostatic chuck 240. Holes 422a for injecting gas are formed in the shower head 422. The ring assembly 424 is provided to surround the shower head 422. The ring assembly 424 may be provided to be in contact with the shower head 422 to be electrically connected to the shower head 422. The ring assembly 424 may be provided in close contact with the shower head 422. According to an example, the shower head 422 may be made of silicon. Optionally, the shower head 422 may be made of a metal material. The ring assembly 424 may be made of the same material as the shower head 422. The lower electrode 440 may be provided in the electrostatic chuck 240. According to an example, the upper electrode 420 may be grounded 429 and a high frequency power source 460 may be connected to the lower electrode 440. Optionally, a high frequency power source 460 may be connected to the upper electrode 420 and the lower electrode 440 may be grounded. Also, a high frequency power source 460 may be selectively connected to both the upper electrode 420 and the lower electrode 440. According to an example, the high frequency power supply 460 may continuously apply power to the upper electrode 420 or the lower electrode 440 or may apply power through a pulse.

도 3은 검출 유닛(500)이 가스피크를 검출하는 알고리즘을 보여주는 도면이다. 도 4는 제 1 제어기(520)가 취합한 스펙트럼 데이터를 보여주는 도면이다. 도 5는 제 2 제어기(530)가 데이터 분석한 스펙트럼 데이터를 보여주는 도면이다. 이하, 도 3 내지 도 5를 참조하여, 가스 피크 검출 알고리즘을 이용하여 플라즈마 처리하는 과정을 설명한다. 검출 유닛(500)은 공정 챔버(100) 내의 플라즈마를 광분석하여 종점을 검출한다. 검출 유닛(500)은 광 센서(510), 제 1 제어기(520), 그리고 제 2 제어기(530)를 포함한다. 3 is a diagram showing an algorithm in which the detection unit 500 detects a gas peak. 4 is a diagram showing spectrum data collected by the first controller 520. 5 is a diagram showing spectrum data analyzed by the second controller 530. Hereinafter, a process of plasma processing using a gas peak detection algorithm will be described with reference to FIGS. 3 to 5. The detection unit 500 detects an end point by photoanalyzing the plasma in the process chamber 100. The detection unit 500 includes an optical sensor 510, a first controller 520, and a second controller 530.

광 센서(510)는 수광부 및 발광부를 포함할 수 있다. 일 예로, 광 센서(510)는 광학 발광 분석기(OES, Optical Emission Spectroscopy) 센서로 제공될 수 있다. 광 센서(510)는 무수히 많은 픽셀을 가진다. 일 예로, 광 센서(510)는 1024개의 픽셀을 포함한다. 각각의 픽셀은 서로 다른 가스의 종류를 의미한다. 이를 통해, 반도체 공정의 플라즈마를 구성하는 가스의 상대적인 양을 분석할 수 있다. 그러나, 종래의 검출 유닛은, 무수히 많은 데이터 및 비자동화 측정 방식에 따라, 일부 데이터만을 반영하여 분석이 가능하였다. The optical sensor 510 may include a light receiving unit and a light emitting unit. For example, the optical sensor 510 may be provided as an Optical Emission Spectroscopy (OES) sensor. The optical sensor 510 has a myriad of pixels. As an example, the optical sensor 510 includes 1024 pixels. Each pixel represents a different gas type. Through this, a relative amount of gas constituting the plasma of the semiconductor process can be analyzed. However, the conventional detection unit was able to analyze by reflecting only some data according to a myriad of data and a non-automated measurement method.

제 1 제어기(520)는 광 센서(510)로부터 스펙트럼 데이터를 전송받아 취합한다. 이 때, 제 1 제어기(520)는 각각의 스펙트럼 데이터를 가스 종류별로 취합할 수 있다. 제 1 제어기(520)는 가스 종류별로 취합한 스펙트럼 데이터들을 제 2 제어기(530)로 송신한다. 제 2 제어기(530)는 수신받은 각 스펙트럼 데이터들을, 일 순간의 스펙트럼 데이터로 통계화하여 분석한다. 제 2 제어기(530)는, 통계화된 스펙트럼 데이터의 피크점을 설정하여 피크를 감지한다. 이 때, 제 2 제어기(530)는 스펙트럼 데이터의 피크점인 제 3 점(X3), 그라운드 레벨에서 제 3 점(X3)으로 상승되는 지점인 제 1 점(X1), 그리고 제 3 점(X3)에서 그라운드 레벨로 하강되는 지점인 제 2점(X2)을 설정한다. 제 1 점(X1) 및 제 2 점(X2)을 설정함으로써, 제 3 점(X3)을 보정할 수 있다. 제 2 제어기(530)는 제 1 점(X1), 제 2 점(X2), 그리고 제 3 점(X3)을 설정하여 피크를 감지하고, 제 1 점(X1), 제 2 점(X2), 그리고 제 3 점(X3)간의 각 영역을 자동으로 트래킹한다. 피크점은 스펙트럼 데이터의 모습에 따라, 복수 개 감지될 수 있다. 일 예로, 도 5에서는, 3개의 피크 영역을 감지하는 것을 보여준다. 이를 통해, 제 2 제어기(530)는 데이터를 통계 분석하여, 가스의 이상 여부를 감지한다. 가스의 피크를 검출하여 이상 여부를 감지하는 플라즈마 처리 방법은, 플라즈마를 이용한 기판 처리 공정 진행 중에 실시간으로 이루어진다. 가스 피크에 따른 트라이앵글의 점과 각 영역의 2가지 변수를 조합하여 피크를 감지함에 따라, 자동적으로 대용량 데이터를 선택하여 보다 정확하게 분석할 수 있다. 따라서, 보다 신속하고 정확하게 종점 검출이 가능할 수 있다. The first controller 520 receives and collects spectrum data from the optical sensor 510. At this time, the first controller 520 may collect each spectrum data for each gas type. The first controller 520 transmits spectrum data collected for each gas type to the second controller 530. The second controller 530 analyzes each received spectrum data by statistically analyzing it as spectrum data at an instant. The second controller 530 detects the peak by setting a peak point of the statistically spectral data. At this time, the second controller 530 includes a third point (X3) that is a peak point of the spectrum data, a first point (X1) that is a point rising from the ground level to the third point (X3), and the third point (X3). Set the second point (X2), which is the point that falls from) to the ground level. By setting the first point X1 and the second point X2, the third point X3 can be corrected. The second controller 530 detects the peak by setting the first point (X1), the second point (X2), and the third point (X3), and the first point (X1), the second point (X2), In addition, each area between the third points X3 is automatically tracked. A plurality of peak points may be detected according to the shape of the spectrum data. As an example, in FIG. 5, it is shown that three peak regions are detected. Through this, the second controller 530 statistically analyzes the data and detects whether the gas is abnormal. The plasma processing method of detecting an abnormality by detecting a gas peak is performed in real time during a substrate processing process using plasma. As the peak is detected by combining the points of the triangle according to the gas peak and the two variables of each region, it is possible to automatically select a large amount of data and analyze it more accurately. Therefore, it may be possible to detect the end point more quickly and accurately.

이상에서는, 상기 실시예에서는 플라스마를 이용하여 식각 공정을 수행하는 것으로 설명하였으나, 기판 처리 공정은 이에 한정되지 않으며, 플라스마를 이용하는 다양한 기판 처리 공정, 예컨대 증착 공정, 애싱 공정, 그리고 세정 공정 등에도 적용될 수 있다. 또한, 본 실시예에서는 플라즈마 생성 유닛이, 용량 결합형 플라즈마(capacitive coupled plasma) 소스로 제공되는 구조로 설명하였다. 그러나, 이와 달리, 플라즈마 생성 유닛은 유도 결합형 플라즈마(ICP: inductively coupled plasma)으로 제공될 수 있다. 유도 결합형 플라즈마는 안테나를 포함할 수 있다. 또한, 기판 처리 장치는 추가적으로 플라즈마 경계 제한 유닛을 포함할 수 있다. 플라즈마 경계 제한 유닛은, 일 예로, 링 형상으로 제공될 수 있으며, 방전 공간을 감싸도록 제공되어 플라즈마가 그 외측으로 빠져나가는 것을 억제할 수 있다. In the above, it has been described that the etching process is performed using plasma in the above embodiment, but the substrate treatment process is not limited thereto, and may be applied to various substrate treatment processes using plasma, such as a deposition process, an ashing process, and a cleaning process. I can. In addition, in the present embodiment, the plasma generation unit has been described as a structure provided as a capacitive coupled plasma source. However, unlike this, the plasma generating unit may be provided as an inductively coupled plasma (ICP). The inductively coupled plasma may include an antenna. Further, the substrate processing apparatus may additionally include a plasma boundary limiting unit. The plasma boundary limiting unit may be provided in a ring shape, for example, and may be provided so as to surround the discharge space to prevent the plasma from escaping to the outside.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The above description is merely illustrative of the technical idea of the present invention, and those of ordinary skill in the art to which the present invention pertains will be able to make various modifications and variations without departing from the essential characteristics of the present invention. Accordingly, the embodiments disclosed in the present invention are not intended to limit the technical idea of the present invention, but to explain the technical idea, and the scope of the technical idea of the present invention is not limited by these embodiments. The scope of protection of the present invention should be interpreted by the following claims, and all technical ideas within the scope equivalent thereto should be interpreted as being included in the scope of the present invention.

100 : 공정 챔버
200 : 지지 유닛
300 : 가스 공급 유닛
400 : 플라즈마 발생 유닛
500 : 검출 유닛
510 : 광 센서
520 : 제 1 제어기
530 : 제 2 제어기
100: process chamber
200: support unit
300: gas supply unit
400: plasma generating unit
500: detection unit
510: light sensor
520: first controller
530: second controller

Claims (16)

기판 처리 시스템에 있어서,
플라즈마를 이용하여 공정을 처리하는 공정 챔버; 및
상기 공정 챔버 내의 플라즈마를 광분석하여 종점을 검출하는 검출 유닛을 포함하되,
상기 검출 유닛은,
광 센서;
상기 광 센서로부터 스펙트럼 데이터를 취합하는 제 1 제어기; 그리고
상기 제 1 제어기로부터 전송받은 데이터 중 일부를 자동으로 선택하여 분석하는 제 2 제어기를 포함하며,
상기 제 1 제어기는 상기 스펙트럼 데이터를 가스 종류별로 데이터 처리하고,
상기 제 2 제어기는 상기 제 1 제어기로부터 전송받은 각 스펙트럼 데이터를 일 순간의 스펙트럼 데이터들로 통계화하며, 상기 통계화된 스펙트럼 데이터의 피크점을 설정하여 피크를 감지하며, 상기 피크점인 제 3 점, 그라운드 레벨에서 상기 제 3 점으로 상승되는 지점인 제 1 점, 그리고 상기 제 3 점에서 상기 그라운드 레벨로 하강되는 지점인 제 2 점을 설정하여 피크를 감지하고 가스의 이상 여부를 확인하는 기판 처리 시스템.
In the substrate processing system,
A process chamber for processing a process using plasma; And
Including a detection unit for optically analyzing the plasma in the process chamber to detect an end point,
The detection unit,
Light sensor;
A first controller to collect spectral data from the optical sensor; And
And a second controller that automatically selects and analyzes some of the data transmitted from the first controller,
The first controller processes the spectral data for each gas type,
The second controller statistics each spectral data transmitted from the first controller as spectral data at an instant, and detects a peak by setting a peak point of the statistical spectral data. A substrate that detects a peak and checks whether gas is abnormal by setting a point, a first point, which is a point rising from the ground level to the third point, and a second point, which is a point falling to the ground level from the third point Processing system.
삭제delete 삭제delete 삭제delete 삭제delete 제 1 항에 있어서,
상기 제 2 제어기는, 상기 제 1 점, 상기 제 2 점, 그리고 상기 제 3 점간의 영역을 자동으로 트래킹하여 가스의 이상 여부를 확인하는 기판 처리 시스템.
The method of claim 1,
The second controller automatically tracks an area between the first point, the second point, and the third point to check whether a gas is abnormal.
제 1 항에 있어서,
상기 제 2 제어기는, 상기 피크점인 제 3 점, 그라운드 레벨에서 상기 제 3 점으로 상승되는 지점인 제 1 점, 그리고 상기 제 3 점에서 상기 그라운드 레벨로 하강되는 지점인 제 2 점을 설정하고, 상기 제 1 점, 상기 제 2 점, 그리고 상기 제 3 점간의 영역을 자동으로 트래킹하여 가스의 이상 여부를 확인하는 기판 처리 시스템.
The method of claim 1,
The second controller sets a third point that is the peak point, a first point that is a point that rises from the ground level to the third point, and a second point that is a point that falls from the third point to the ground level, and And a substrate processing system that automatically tracks an area between the first point, the second point, and the third point to check whether a gas is abnormal.
제 1 항, 제 6 항, 제 7 항 중 어느 한 항에 있어서,
상기 공정 챔버는,
상기 공정 챔버 내부에 위치하며, 기판을 지지하는 지지 유닛;
상기 공정 챔버 내부로 공정 가스를 공급하는 가스 공급 유닛; 그리고
상기 공정 챔버 내부에 공급된 상기 공정 가스를 여기시키는 플라즈마 생성 유닛을 포함하는 기판 처리 시스템.
The method according to any one of claims 1, 6 and 7,
The process chamber,
A support unit located inside the process chamber and supporting a substrate;
A gas supply unit supplying a process gas into the process chamber; And
A substrate processing system including a plasma generating unit that excites the process gas supplied into the process chamber.
플라즈마를 이용하여 공정 처리하는 공정 챔버 내의 종점을 검출하는 플라즈마 처리 방법에 있어서, 상기 공정 챔버 내의 광 분석을 통해 얻은 스펙트럼 데이터의 일부를 자동으로 선택하여 가스 피크를 감지하고,
상기 광 분석하는 광 센서로부터 상기 스펙트럼 데이터를 가스 종류별로 취합하는 단계를 포함하며,
상기 스펙트럼 데이터의 취합 단계 이후에, 각 가스의 스펙트럼 데이터를 일순간의 스펙트럼 데이터로 데이터화하여 분석하는 단계를 포함하고
상기 데이터화하여 분석하는 단계는, 상기 스펙트럼 데이터의 피크점인 제 3 점, 그라운드 레벨에서 상기 제 3 점으로 상승되는 지점인 제 1 점, 그리고 상기 제 3 점에서 상기 그라운드 레벨로 하강되는 지점인 제 2 점을 설정하는 단계를 포함하는 플라즈마 처리 방법.
In the plasma processing method for detecting an end point in a process chamber for processing using plasma, the gas peak is detected by automatically selecting a part of spectral data obtained through optical analysis in the process chamber,
And collecting the spectral data for each gas type from the optical sensor for optical analysis,
After the step of collecting the spectral data, converting spectral data of each gas into instantaneous spectral data and analyzing it,
The step of converting and analyzing the data includes: a third point as a peak point of the spectrum data, a first point as a point rising from the ground level to the third point, and a first point as a point falling from the third point to the ground level. Plasma treatment method comprising the step of setting two points.
삭제delete 삭제delete 삭제delete 제 9 항에 있어서,
상기 데이터화하여 분석하는 단계는, 상기 제 1 점, 상기 제 2 점, 그리고 상기 제 3 점간의 영역을 자동으로 트래킹하는 단계를 포함하는 플라즈마 처리 방법.
The method of claim 9,
The step of converting and analyzing the data includes automatically tracking a region between the first point, the second point, and the third point.
제 9 항에 있어서,
상기 데이터화하여 분석하는 단계는, 상기 스펙트럼 데이터의 피크점인 제 3 점, 그라운드 레벨에서 상기 제 3 점으로 상승되는 지점인 제 1 점, 그리고 상기 제 3 점에서 상기 그라운드 레벨로 하강되는 지점인 제 2 점을 설정하고, 상기 제 1 점, 상기 제 2 점, 그리고 상기 제 3 점간의 영역을 자동으로 트래킹하는 단계를 포함하는 단계를 포함하는 플라즈마 처리 방법.
The method of claim 9,
The step of converting and analyzing the data includes: a third point as a peak point of the spectrum data, a first point as a point rising from the ground level to the third point, and a first point as a point falling from the third point to the ground level. And setting two points and automatically tracking an area between the first point, the second point, and the third point.
제 9 항, 제 13항 , 제 14 항 중 어느 한 항에 있어서,
상기 데이터화하여 분석하는 단계 이후에, 상기 가스 감지의 이상 여부를 확인하는 단계를 포함하는 플라즈마 처리 방법.
The method of any one of claims 9, 13, 14,
After the step of converting and analyzing the data, confirming whether the gas detection is abnormal.
제 15 항에 있어서,
상기 가스 피크를 감지하는 과정은 상기 플라즈마 공정 진행 중 실시간으로 이루어지는 플라즈마 처리 방법.
The method of claim 15,
The process of detecting the gas peak is performed in real time during the plasma process.
KR1020140123885A 2014-09-17 2014-09-17 Apparatus for treating substrate and plasma treating method KR102186070B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020140123885A KR102186070B1 (en) 2014-09-17 2014-09-17 Apparatus for treating substrate and plasma treating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140123885A KR102186070B1 (en) 2014-09-17 2014-09-17 Apparatus for treating substrate and plasma treating method

Publications (2)

Publication Number Publication Date
KR20160033359A KR20160033359A (en) 2016-03-28
KR102186070B1 true KR102186070B1 (en) 2020-12-07

Family

ID=57007518

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140123885A KR102186070B1 (en) 2014-09-17 2014-09-17 Apparatus for treating substrate and plasma treating method

Country Status (1)

Country Link
KR (1) KR102186070B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080029484A1 (en) 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5383265B2 (en) * 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ Etching apparatus, analysis apparatus, etching processing method, and etching processing program
TW201306082A (en) * 2011-04-18 2013-02-01 Tokyo Electron Ltd Plasma evaluation method, plasma processing method and plasma processing apparatus
KR101791870B1 (en) * 2013-02-19 2017-11-02 세메스 주식회사 Inspecting method, apparatus and method for treating substrate including the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080029484A1 (en) 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition

Also Published As

Publication number Publication date
KR20160033359A (en) 2016-03-28

Similar Documents

Publication Publication Date Title
US11273469B2 (en) Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
TWI529788B (en) Method and apparatus for detecting plasma unconfinement
US9623516B2 (en) Etching method and bevel etching apparatus
KR101507548B1 (en) Supporting unit and apparatus for treating substrate
CN109387736B (en) Substrate processing apparatus and inspection method
KR101169764B1 (en) Real time monitoring system of process chamber
JP2009245988A (en) Plasma processing apparatus, chamber internal part, and method of detecting longevity of chamber internal part
JP2020513647A (en) Compositional emission spectroscopy for the detection of particle-induced arcs in the manufacturing process
KR102186070B1 (en) Apparatus for treating substrate and plasma treating method
KR102278074B1 (en) Apparatus and method for treating substrate
KR101590897B1 (en) Showerhead and substrate treating apparatus for including this
KR102290908B1 (en) Apparatus for treating substrate and plasma treating method
KR101124795B1 (en) Plasma process apparatus, component within the chamber and method for detecting the life of the same
KR101791870B1 (en) Inspecting method, apparatus and method for treating substrate including the same
KR20160050821A (en) Test method and apparatus for treating substrate
KR102323319B1 (en) Apparatus and method for treating a substrate
KR101605719B1 (en) Apparatus and method for treating substrate
KR102299887B1 (en) Apparatus for treating substrate and method for treating substrate
TW202044404A (en) Plasma processing method and plasma processing apparatus
KR20210003984A (en) Apparatus and method for treating substrate
US20210066053A1 (en) Annular member, substrate processing apparatus and method of controlling substrate processing apparatus
KR102162728B1 (en) Apparatus for optical emission spectroscopy and plasma processing apparatus having the same
KR20150060063A (en) Supporting unit and apparatus for treating substrate and method for treating substrate
KR102134422B1 (en) Apparatus and method for treating substrate
KR102275078B1 (en) Apparatus for treating substrate and method for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right