KR102073170B1 - 에피택셜 도핑된 게르마늄 주석 합금 형성 방법 - Google Patents

에피택셜 도핑된 게르마늄 주석 합금 형성 방법 Download PDF

Info

Publication number
KR102073170B1
KR102073170B1 KR1020147029204A KR20147029204A KR102073170B1 KR 102073170 B1 KR102073170 B1 KR 102073170B1 KR 1020147029204 A KR1020147029204 A KR 1020147029204A KR 20147029204 A KR20147029204 A KR 20147029204A KR 102073170 B1 KR102073170 B1 KR 102073170B1
Authority
KR
South Korea
Prior art keywords
tin
layer
substrate
gesn
germanium
Prior art date
Application number
KR1020147029204A
Other languages
English (en)
Other versions
KR20140141664A (ko
Inventor
에롤 안토니오 씨. 산체즈
이-치아우 후앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140141664A publication Critical patent/KR20140141664A/ko
Application granted granted Critical
Publication of KR102073170B1 publication Critical patent/KR102073170B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02452Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

게르마늄 주석 층들을 형성하는 방법, 및 결과적인 실시예들이 설명된다. 게르마늄 프리커서 및 주석 프리커서가 챔버에 제공되고, 게르마늄 주석의 에피택셜 층이 기판 상에 형성된다. 게르마늄 주석 층은 기판의 반도체 영역들 상에 선택적으로 퇴적되고, 주석 및 도펀트 농도가 변화하는 두께 영역들을 포함할 수 있다. 게르마늄 주석 층은 기판의 표면을 에칭하기 위해 할로겐화물 가스를 교대로 유동시키거나 동시에 유동시키는 것에 의해 선택적으로 퇴적될 수 있다.

Description

에피택셜 도핑된 게르마늄 주석 합금 형성 방법{METHOD OF EPITAXIAL DOPED GERMANIUM TIN ALLOY FORMATION}
여기에 설명된 기술은 반도체 장치의 제조에 관한 것이다. 더 구체적으로, IV족 합금 재료를 이용하여 전계 효과 트랜지스터를 형성하는 방법들이 설명된다.
게르마늄은 CMOS 트랜지스터용으로 이용된 최초의 재료들 중 하나였다. 그러나, 게르마늄에 비해 실리콘이 대단히 풍부하기 때문에, CMOS 제조를 위해 선택되는 압도적인 반도체 재료는 실리콘이었다. 무어의 법칙(Moore's Law)에 따라 장치 지오메트리가 감소함에 따라, 트랜지스터 컴포넌트들의 크기는 더 작고 더 빠르고 더 적은 전력을 사용하며 더 적은 열을 발생시키는 장치들을 만들기 위해 노력하는 엔지니어들에게 도전과제를 제기한다. 예를 들어, 트랜지스터의 크기가 감소함에 따라, 트랜지스터의 채널 영역이 작아지고, 채널의 전자적 속성들의 실현가능성은 더 낮아지며, 더 큰 비저항과 더 높은 임계 전압을 갖게 된다.
소스/드레인 영역들 내에 삽입된 실리콘 게르마늄 스트레서들을 이용함으로써, 실리콘 채널 영역 내의 캐리어 이동도(carrier mobility)가 증가되며, 이는 실리콘의 고유 이동도(intrinsic mobility)를 증대시킨다. 그러나, 장래의 노드들을 위해서는, 훨씬 더 높은 이동도의 장치들이 필요하다.
pMOSFET을 위한 게르마늄과 같이, 실리콘보다 더 높은 이동도의 재료로의 전환이 제안되어 왔다. 그러나, 게르마늄에 스트레인(strain)이 가해지지 않는다면, 게르마늄의 이동도는 스트레인드 실리콘(strained silicon)보다 우수하지 않다. 최근, 소스 드레인 영역 상에 성장된 게르마늄 주석(GeSn)이 우수한 게르마늄 pMOSFET 채널을 만드는 데에 필수적인 스트레인을 갖는다는 것이 밝혀졌으며, 이는 게르마늄/GeSn 격자 부정합을 활용한다.
재료 구조물들 중 하나 또는 재료 구조물들의 스택들에 걸친 전도도(conductivity)는 CMOS 형성의 중요한 측면이다. 전체적인 전도도는 캐리어 이동도, 캐리어 농도, 및 재료들 간의 밴드 정렬(band alignment)의 함수이다. GeSn은 이러한 관점들에서 매력적이다. 높은 캐리어 이동도의 층들은 낮은 이동도의 층들에 비해, 증가된 캐리어 농도로부터 더 많은 혜택을 받을 것이다. 도핑은 캐리어 농도를 증가시키는 한가지 수단이지만, GeSn 층을 도핑하는 방법은 본 기술분야에 개시되어 있지 않다. 따라서, 높은 이동도의 반도체 장치들을 선택적으로 형성하는 것은 물론, 관련된 전도도를 조절(manipulate)하기 위한 방법 및 장치에 대한 요구가 계속되고 있다.
반도체 기판 상에 전도성 층들을 형성하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 유전체 표면 및 반도체 표면 둘 다를 갖는 기판을 처리 챔버 내에 위치시키는 단계; 게르마늄 수소화물 프리커서, 주석 프리커서, 및 도펀트를 처리 챔버 내로 공동 유동(co-flowing)시키는 단계; 원하는 층 두께에 도달할 때까지 GeSn 층을 에피택셜 성장시키는 단계; 할로겐 가스를 포함하는 에천트(etchant)를 처리 챔버 내로 유동시키는 단계; 및 원하는 전체 두께의 GeSn 층이 비-유전체 표면들 상에 선택적으로 성장될 때까지, 에피택셜 성장 및 에칭 단계들을 반복하는 단계에 의해, 기판의 반도체 표면들 상에 GeSn 층이 선택적으로 형성될 수 있다. 주석 프리커서 및 도펀트 유동은 성장 시퀀스 동안 변화될 수 있다. 에천트의 유동은 도펀트 및 주석의 손실을 감소시키기 위해, 도펀트 및 주석 프리커서를 유동시키는 단계를 더 포함할 수 있다.
다른 실시예에서, 유전체 표면 및 반도체 표면 둘 다를 갖는 기판을 처리 챔버 내에 위치시키는 단계; 게르마늄 수소화물 프리커서, 주석 프리커서, 할로겐 가스를 포함하는 에천트, 및 도펀트를 처리 챔버 내로 공동 유동시키는 단계; 및 원하는 전체 두께가 성장될 때까지 GeSn 층을 에피택셜 성장시키는 단계 - 에천트는 유전체 층들 상에서의 GeSn의 성장을 방지할 것임 - 에 의해, 기판의 반도체 표면들 상에 GeSn 층이 선택적으로 형성될 수 있다. 주석 프리커서 및 도펀트 유동은 퇴적 동안 변화될 수 있다.
게르마늄 프리커서는 수소화물일 수 있고, 주석 프리커서는 주석 할로겐화물일 수 있다. 기판의 반도체 영역 및 유전체 영역 상에서의 퇴적 선택성(deposition selectivity)을 제어하기 위해, 에천트, 예를 들어 할로겐화물 가스가 반응 혼합물에 포함될 수 있다.
하나 이상의 실시예는 유전체 영역 및 반도체 영역 둘 다를 갖는 상부 표면, 및 상부 표면 상에 퇴적된 도핑된 결정질 게르마늄 주석 층을 구비하는 반도체 기판을 포함할 수 있으며, 층 내의 소정 영역들 내에서 주석 및 도펀트 농도가 변화한다.
위에서 언급된 본 발명의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 일 실시예에 따른 방법을 요약한 흐름도이다.
도 2는 다른 실시예에 따른 방법을 요약한 흐름도이다.
도 3은 소스/드레인 영역 상에서의 선택적인 퇴적을 포함하여, 위에서 설명된 방법들에 의해 형성된 기판을 도시한다.
이해를 쉽게 하기 위해, 가능한 경우에는 도면들에 공통인 동일한 구성요소를 지칭하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 구성요소들은 구체적인 언급 없이도 다른 실시예들에서 유리하게 이용될 수 있을 것으로 생각된다.
도 1은 하나 이상의 실시예에 따른 방법(100)의 흐름도이다. 단계(102)에서 반도체 기판은 처리 챔버 내에 위치된다. 반도체 기판은 실리콘 또는 게르마늄과 같이, 스트레서 층이 그 위에 형성될 임의의 반도체 재료일 수 있다. 일례에서, 트랜지스터 구조물이 그 위에 형성될 실리콘 기판이 이용될 수 있다. 일부 실시예들에서, 실리콘 기판은 그것의 표면에 형성된 유전체 영역들을 가질 수 있다. 예를 들어, 실리콘 기판은 도핑된 실리콘의 영역들일 수 있거나 소스/드레인 재료들 및 컨택트(contact)들이 그 위에 형성될 영역들일 수 있는 반도체 소스/드레인 영역들에 인접하여 형성된 트랜지스터 게이트 구조물들 및 유전체 스페이서들을 가질 수 있다. 따라서, 소스/드레인 영역들은 도핑된 실리콘 또는 게르마늄 층들에 더하여, 또는 그들을 대신하여, 여기에 설명된 GeSn 층들을 포함할 수 있다.
여기에 설명된 실시예들은 전도도를 제어하기 위해 도핑된 GeSn 층을 전형적으로 포함한다. GeSn 층의 전도도는 GeSn 매트릭스 내에 포함된 주석의 농도를 변화시킴으로써 낮은 주석 농도에서 제어될 수 있다. 그러나, GeSn 층은 여전히 비교적 낮은 전도도 상태에 있다. GeSn 층의 도핑은 추가적인 전하 캐리어들을 재료에 제공한다. 도펀트 원자들은 반도체에 전도대 내의 자유 전자들 또는 가전자대 내의 정공들(electron vacancies)(또는 홀들(holes))을 제공하며, 자유 전자들 및 정공들 둘 다는 CMOS 피쳐의 제조에 유용한 더 높은 컨덕턴스를 반도체에 제공한다.
예를 들어, 단계(104)에서, 하부 GeSn 층은 반도체 계면 부근의 영역에서 농도 변화를 갖는 것 등을 위해 상이한 두께 영역들을 갖고서 퇴적될 수 있다. GeSn 층의 주석 및 도펀트 농도들은 반도체 계면과 GeSn 층의 표면 사이에 형성된 상이한 두께 영역들에서 변화할 수 있는 원하는 농도에 맞춰질 수 있고, 이는 GeSn 층 내에서의 주석 및/또는 도펀트의 경사형 농도(gradient-like concentration) 또는 다른 변화의 시퀀스를 야기한다. 따라서, 하부 GeSn 층은 주로 게르마늄, 주석, 및 도펀트로 구성되지만, 상부 GeSn 층과 구별될 수 있다. 이러한 예에서, 게르마늄 층의 형성은 게르마늄 프리커서를 유동시킴으로써 시작할 수 있다. 게르마늄 프리커서는 전형적으로 디게르만(digermane)(Ge2H6) 또는 고차 수소화물(higher hydrides)(GexH2x+2), 또는 그들의 조합과 같은 게르마늄 수소화물이다. 게르마늄 프리커서는 질소 가스, 수소 가스, 또는 헬륨 또는 아르곤과 같은 불활성 가스, 또는 그들의 조합과 같은 비반응성 가스일 수 있는 캐리어 가스와 혼합될 수 있다.
주석 프리커서는 게르마늄 프리커서와 동시에 처리 챔버에 제공되어, 게르마늄 프리커서와 반응하고, 주석 도핑된 게르마늄의 단계적인 층(graded layer)을 퇴적한다. 주석 프리커서는 주석 할로겐화물 가스, 예를 들어 SnCl4, SnCl2, 또는 식 RxSnCly를 갖는 유기주석 염화물(organotin chloride)(R은 메틸 또는 t-부틸, x는 1 또는 2, y는 2 또는 3)일 수 있으며, 그에 의해, 형성되는 층은 주로 게르마늄 및 주석으로 구성되게 된다. 층의 조성은 게르마늄 프리커서만을 유동시켜, 주석을 거의 또는 전혀 갖지 않는 완전한 게르마늄의 층의 초기 부분을 생성하는 것에 의해 시작할 수 있다. 주석의 유동은 점진적으로 증가되어, 최종 GeSn 층의 상측 부분에서 증가하는 주석 농도를 야기할 수 있다. 하부 GeSn 층은 GeSn층을 단독으로 이용하는 것에 비해, GeSn 층의 더 나은 바인딩 및 더 나은 전자 이동도 둘 다를 야기할 수 있다.
GeSn 층 내의 도펀트는 디보란(diborane)(B2H6), 포스핀(phosphine)(PH3), 및/또는 아르신(arsine)(AsH3)과 같은 프리커서들을 통해 전달되는 붕소, 인 또는 비소와 같은 p형 또는 n형 도펀트들로부터 선택될 수 있다. 도펀트는 게르마늄 프리커서 및 주석 프리커서와 함께, 주석의 전달과 유사한 단계적인 속도(graded rate)로 또는 일정한 속도로 챔버 내로 공동 유동될 수 있다. 또한, 주석 및/또는 도펀트의 기울기는 얇은 하부 GeSn 층과 같은 그 구별되는 두께 영역 이후에 퇴적되는 하나 이상의 층에서의 주석 및 도펀트의 농도를 반영하는 주석 및 도펀트 둘 다의 최종 농도에 도달하도록 조절될 수 있다.
단계(106)에서 게르마늄 프리커서, 주석 프리커서 및 도펀트는 처리 챔버 내로 동시에 유동될 수 있다. 게르마늄 프리커서, 주석 프리커서, 및 도펀트는 하부 GeSn 층에 대해 개시된 것과 동일한 그룹으로부터 선택될 수 있지만, 그들이 동일한 프리커서일 필요는 없다. 캐리어 가스 유량에 대한 게르마늄 프리커서 용적 유량의 비율은 챔버를 통한 가스 유동 속도를 제어하기 위해 이용될 수 있다. 비율은 원하는 유동 속도에 따라, 약 1% 내지 약 99%의 임의의 비율일 수 있다. 일부 실시예들에서, 비교적 높은 속도는 형성되는 층의 균일성을 향상시킬 수 있다. 300mm 싱글 웨이퍼 실시예에서, 게르마늄 프리커서의 유량은 약 0.1 sLm 내지 약 2.0 sLm일 수 있다. 약 50L의 용적을 갖는 챔버에 대하여, 게르마늄 프리커서에 대한 상술한 유량들에서는, 약 5 sLm 내지 약 40 sLm의 캐리어 가스 유량이 균일한 층 두께를 제공한다. 주석 프리커서는 게르마늄 프리커서와 함께 챔버 내로 공동 유동되어, GeSn 층을 에피택셜 성장시킬 수 있다.
주석 프리커서는 약 10 sccm 내지 약 300 sccm, 예컨대 약 50 sccm 내지 약 200 sccm, 예를 들어 약 100 sccm의 유량에서 처리 챔버에 제공된다. 주석 프리커서가 또한 캐리어 가스와 혼합되어, 처리 챔버 내에서의 원하는 공간 속도(space velocity) 및/또는 혼합 성능을 달성할 수 있다. N2, H2, Ar, 또는 He과 같은 유동 캐리어 가스 스트림으로 기화되는 주석 할로겐화물의 액체 또는 고체 소스로부터 주석 프리커서가 공급(source)될 수 있거나, 선택적으로는 상술한 캐리어 가스들 중 하나와 함께, 할로겐 가스가 접촉 챔버(contacting chamber) 내의 고체 금속 위를 지나가게 하여 반응 Sn + 2Cl2 → SnCl4을 수행함으로써 주석 프리커서가 생성될 수 있다. 버블러(bubbler) 또는 접촉 챔버는 처리 챔버에 인접할 수 있으며, 도관에 의해 거기에 연결되는데, 그 도관은 주석 프리커서 입자들이 도관에 퇴적될 가능성을 감소시키기 위해 짧은 것이 바람직하다.
GeSn 층의 성장은 높은 구조적 품질을 위해 일반적으로 에피택셜이다. 처리 챔버 내의 압력은 약 5 Torr 내지 약 200 Torr로, 예컨대 약 20 Torr 내지 약 200 Torr로, 바람직한 실시예에서는 약 20 Torr 내지 약 80 Torr로 유지된다. 온도는 약 250℃ 내지 약 500℃, 예컨대 약 300℃ 내지 약 450℃, 예를 들어 약 300℃로 유지될 수 있다. 층 내에서의 주석의 분리를 방지하기 위해, 온도는 낮게, 일반적으로는 400℃ 미만으로 유지된다. 일부 실시예들에서는, 압력이 약 5 Torr 미만일 수 있지만, 감소된 압력은 퇴적률(deposition rate)도 감소시킨다. 이러한 조건들에서의 퇴적률은 약 50 Å/min 내지 약 500 Å/min이다.
단계(108)에서 상부 GeSn 층이 이하의 반응들에 따라 원하는 두께까지 에피택셜 성장된다.
SnCl4 + GeH4 → SnH2Cl2 + GeH2Cl2
SnH2Cl2 + H2 → Sn + 2HCl + H2
GeH2Cl2 + H2 → Ge + 2HCl + H2
위에서 설명된 유기주석 염화물들을 이용하여 유사한 반응들이 발생한다. 더 높은 차수의 게르만들(higher order germanes)은 클로로게르만 중간물들(chlorogermane intermediates)의 혼합물을 만들어내며, 이것은 마찬가지로 게르마늄 주석 퇴적물로 분해된다. 퇴적 반응을 용이하게 하기 위해, 수소 가스가 챔버에 제공될 수 있다. 약 5 sLm 내지 약 40 sLm의 수소 가스 유량이 프리커서들 중 임의의 것 또는 전부에 포함되어, 주변 수소 농도를 제공할 수 있다.
상부 GeSn 층은 전형적으로 약 100Å 내지 약 800Å의 두께까지 퇴적된다. 여기에 설명된 실시예들에서, 게르마늄 매트릭스 내의 주석 원자들의 농도는 방법(100)에 따라, 0.5 원자% 내지 12 원자%, 예컨대 3 원자% 내지 9 원자%, 예를 들어 약 6 원자%일 수 있다. 주석의 농도를 제어하는 것과 마찬가지로, 도펀트의 농도들은 특정 도펀트 농도를 유지하도록, 또는 GeSn 층의 특정 레벨에서 도펀트를 도입하도록 제어될 수 있다. 표준적인 실시예들은 디보란을 프리커서로서 사용하여 붕소로 도핑하는 것을 포함할 수 있으며, 붕소의 최종 농도는 cm3 당 5x1019 내지 1x1021 원자이다.
구별되는 주석 및 도펀트 농도 프로파일의 두께 영역은 하부 GeSn 층과 유사하게 상부 GeSn 층 내의 어디에든 다시 성장될 수 있지만, 이것은 기판 계면으로부터 더 멀다. 일부 실시예들에서, 기판으로부터 가장 먼, GeSn 층의 표면 부근의 영역이 농도 변화를 보일 수 있다.
단계(110)에서 에천트가 처리 챔버 내로 유동될 수 있다. 에천트는 기판의 표면 상의 게르마늄 및 주석의 퇴적을 제어하도록 제공된다. 에천트는 반도체 영역들로부터 제거하는 것보다 더 빨리, 기판의 유전체 영역들로부터 퇴적 종들(deposited species)을 선택적으로 제거한다. 따라서, 에천트는 선택성 제어 종들(selectivity control species)일 수 있는데, 왜냐하면 일부 실시예들에서는 반응 혼합물 내의 반응 종들에 대한 에천트의 양을 조절함으로써 선택성이 제어될 수 있기 때문이다. 도펀트 및 주석 프리커서는 주석 및 도펀트의 손실을 감소시키기 위해 에천트의 유동에 포함될 수 있다.
에천트는 전형적으로, 할로겐화물, 예를 들어 HCl, HF, 또는 HBr과 같은 할로겐 함유 종들이다. 일 실시예에서, 에천트는 염소 또는 HCl일 수 있다. 에천트는 약 10 sccm 내지 약 1000 sccm, 예컨대 약 100 sccm 내지 약 500 sccm, 예를 들어 약 200 sccm의 유량에서 제공될 수 있다.
단계(112)에서 원하는 두께의 GeSn 층을 성장시키기 위해, 에피택셜 성장 및 에칭 단계들이 반복될 수 있다. 앞에서 언급된 바와 같이, 에천트는 반도체 영역들에 비해 유전체 영역들을 우선적으로 에칭한다. 그러나, 프로세스가 완전히 선택적이지는 않기 때문에, 반도체 영역 위의 일부 층 공핍이 발생할 수 있다. 그러한 것으로서, 500Å 이하의 층들과 같이 더 얇은 층들을 성장시킨 다음, 이러한 방법에 의해 선택적으로 퇴적할 때 유전체 영역들로부터 층들을 제거하기 위해 에칭을 하는 것이 이로울 수 있다. 퇴적 및 에칭 단계들 간에서 조건들이 일정하게 유지될 필요는 없다는 점에 주목하는 것이 중요하다. 그러한 것으로서, 에칭은 에천트, 층의 두께, 및 게르마늄 대 주석 비율의 선택에 기초하여 온도 및 압력 둘 다에서 최적화되어야 한다.
도 2는 다른 실시예에 따른 방법(200)을 요약한 흐름도이다. 방법(200)은 방법(100)과 많은 면에서 유사하며, 반도체 영역 및 유전체 영역을 갖는 기판을 처리할 때 유사한 결과들을 달성하기 위해 이용될 수 있다. 단계(202)에서, 반도체 및 유전체 피쳐들을 갖는 기판이 도 1과 관련하여 위에서 설명된 특성들을 갖는 처리 챔버 내에 배치된다.
단계(204)에서, 하부 GeSn 층을 퇴적하기 위해, 게르마늄 프리커서, 주석 프리커서, 에천트 및 도펀트가 처리 챔버 내로 동시에 유동될 수 있다. 도 1과 관련하여 설명된 개별 프리커서들 중 임의의 것일 수 있는 게르마늄 프리커서, 주석 프리커서, 에천트 및 도펀트가 처리 챔버에 제공된다. 앞에서 언급된 바와 같이, 주석 및 도펀트는 도 1을 참조하여 설명된 바와 같이 변화할 수 있다.
단계(206)에서, 게르마늄 프리커서, 주석 프리커서, 에천트 및 도펀트가 처리 챔버 내로 동시에 유동될 수 있다. 도 1과 관련하여 설명된 개별 프리커서들 중 임의의 것일 수 있는 게르마늄 프리커서, 주석 프리커서, 에천트 및 도펀트가 처리 챔버에 제공된다. 게르마늄 프리커서 및 주석 프리커서는 기판 표면을 만나기 전에 퇴적되는 것을 방지하기 위해, 처리 챔버 내에 따로따로 도입되어 그 안에서 혼합될 수 있다.
단계(208)에서 GeSn 층이 원하는 두께까지 에피택셜 성장될 수 있다. 층 성장 선택성 및 퇴적률은 게르마늄 프리커서에 대한 에천트의 용적 비율을 조절함으로써 제어될 수 있다. 더 높은 비율은 전체적인 퇴적률을 감소시키지만, 선택성을 향상시킨다. 이러한 용적 유량의 범위는 이용되는 온도, 압력 및 특정 소스들에 의존한다. 범위의 상단에서는 퇴적률이 약 50Å/min인 반면, 범위의 하단에서는 퇴적률이 약 500Å/min이다. 그러나, 범위의 상단에서는 기판의 유전체 영역들 상의 필름 성장이 관측되지 않는 한편, 범위의 하단에서는 반도체 영역들 상에서의 퇴적률이 유전체 영역들 상에서의 퇴적률의 약 50배이다.
GeSn 층의 전도도는 GeSn 매트릭스 내에 포함된 주석의 농도를 변화시킴으로써 낮은 주석 농도에서 제어될 수 있다. 주석 농도는 반응 혼합물 내의 게르마늄 프리커서에 대한 주석 프리커서의 비율을 조절함으로써 제어될 수 있다. 하나 이상의 실시예에서, 처리 챔버에 제공되는 게르마늄 프리커서에 대한 주석 프리커서의 용적 유량의 비율은 약 0.1% 내지 약 2%, 예컨대 약 0.4% 내지 약 1%, 예를 들어 약 0.6%일 것이다. 이러한 비율은 GeSn 층 내에 변화하는 주석 농도의 두께 영역들을 생성하기 위해, 퇴적 동안의 소정 시간들에서 변화될 수 있다. 일부 실시예들에서, 기판으로부터 가장 먼 표면인 GeSn 층의 상부 표면 부근의 영역이 이러한 농도 변화를 보일 수 있다.
도 3은 기판(300)의 반도체 영역들 상에서의 선택적인 퇴적을 포함하여, 위에서 설명된 방법들에 의해 형성된 장치를 도시한다. 기판(300)은 게르마늄 또는 실리콘의 복합물들(composites)과 같은 임의의 이용가능한 반도체 재료로 구성될 수 있다. 기판(300)은 도핑된 실리콘의 영역들 또는 소스/드레인 재료들이 그 위에 형성될 영역들일 수 있는 반도체 영역들(302)과, 표면 상에 형성된 트랜지스터 게이트 구조물들 및 유전체 스페이서들, 격리부들 또는 하드마스크들을 갖는 영역들과 같은 유전체 영역들(304)을 가질 수 있다.
하부 GeSn 층(308) 및 상부 GeSn 층(310)을 포함하는 도핑된 결정질 GeSn 층은 반도체 영역(306)의 상부 표면 상에 형성될 수 있다. 도핑된 GeSn 층은 p 도핑된 실리콘, 실리콘 게르마늄, 또는 게르마늄 층들과 같이 이미 존재하는 소스/드레인 재료들의 상단에 형성될 수 있다. 도핑된 결정질 GeSn 층은 앞에서 개시된 바와 같이 상부 GeSn 층(310)과 하부 GeSn 층(308) 사이에서, 또는 어느 한 층의 상이한 영역들에서 변화하는 농도의 주석을 가질 수 있으며, 앞에서 설명된 바와 같이 도핑될 수 있다. 도펀트는 붕소, 인 또는 비소와 같이, CMOS 피쳐들 내의 소스/드레인 영역들에 대해 이용가능한 도펀트들로부터 선택될 수 있다. GeSn 층들(308 및 310)은 기판 상의 소스/드레인 영역들 상에 또는 채널 영역들 내에 높은 전자 및 홀 이동도의 층들을 형성하기 위해, 유전체 영역들이 아니라 반도체 영역들 상에 선택적으로 퇴적될 수 있다. 게르마늄 층, 유전체 절연체, 또는 금속과 같은 추가의 구조물들을 형성하기 위해, 다른 층들이 GeSn 층의 표면 상에 퇴적될 수 있다.
도핑된 GeSn 층은 반도체 영역들(302) 상에 배치된 하부 GeSn 층(308)과 같은 변화하는 주석 및 도펀트 농도의 구별되는 두께 영역을 가질 수 있다. 하부 GeSn 층(308)은 10nm보다 얇을 수 있고, 기판 계면으로부터 하부 GeSn 층(308)의 상부 표면까지 측정할 때 주석 농도의 기울기를 가질 수 있다. 변화하는 주석 및 도펀트 농도의 구별되는 영역은 GeSn 층의 두께 내의 어디에든 있을 수 있다.
GeSn 층들을 형성하는 방법, 및 결과적인 실시예들이 여기에 설명된다. 게르마늄 프리커서 및 주석 프리커서가 챔버에 제공되고, GeSn의 에피택셜 층이 기판 상에 형성된다. GeSn 층은 기판의 반도체 영역들 상에 선택적으로 퇴적되고, 주석 및 도펀트 농도가 변화하는 두께 영역들을 포함할 수 있다. GeSn 층은 기판의 표면을 에칭하기 위해, 또는 기판 표면 상의 GeSn 층의 퇴적을 선택적으로 제어하기 위해, 할로겐화물 가스를 교대로 유동시키거나 동시에 유동시킴으로써 선택적으로 퇴적될 수 있다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 부가적인 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 안출될 수 있다.

Claims (15)

  1. 도핑된 GeSn 층들을 기판 상에 선택적으로 형성하는 방법으로서,
    기판을 처리 챔버 내에 위치시키는 단계 - 상기 기판은 유전체 표면 및 비-유전체 표면 둘 다를 포함함 -;
    게르마늄 수소화물 프리커서(germanium hydride precursor), 주석 프리커서(tin precursor), 및 도펀트(dopant)를 상기 처리 챔버 내로 공동 유동(co-flowing)시키는 단계 - 상기 게르마늄 수소화물 프리커서는 일반식 GenH(2n+2)(n은 1보다 큼)를 갖고, 원하는 층 두께에 도달할 때까지 GeSn 층을 에피택셜 성장시킴 -;
    할로겐화물 가스를 포함하는 에천트(etchant)를 상기 처리 챔버 내로 유동시키는 단계; 및
    원하는 스택 두께의 GeSn 스택이 상기 비-유전체 표면들 상에 선택적으로 성장될 때까지, 상기 공동 유동시키는 단계 및 상기 에천트를 유동시키는 단계를 반복하는 단계
    를 순서대로 포함하는 방법.
  2. 제1항에 있어서, 상기 GeSn 스택은 적어도 제1 GeSn 층 및 제2 GeSn 층을 포함하고, 상기 제1 GeSn 층은 상기 제2 GeSn 층 내의 주석, 도펀트 또는 둘 다의 농도보다 높은 주석, 도펀트 또는 둘 다의 농도를 갖는, 방법.
  3. 제1항에 있어서, 상기 GeSn 층들 각각은 0.5 원자% 내지 12 원자%의 농도로 주석을 함유하는, 방법.
  4. 제1항에 있어서, 상기 도펀트는 디보란(diborane)(B2H6), 포스핀(phosphine)(PH3), 또는 아르신(arsine)(AsH3) 중 하나 이상을 포함하는, 방법.
  5. 제1항에 있어서, 온도는 300℃ 내지 450℃로 유지되는, 방법.
  6. 제1항에 있어서, 상기 에천트를 유동시키는 단계는 제2 도펀트 및 제2 주석 프리커서를 유동시키는 단계를 더 포함하는, 방법.
  7. 도핑된 GeSn 층들을 기판 상에 선택적으로 형성하는 방법으로서,
    기판을 처리 챔버 내에 위치시키는 단계;
    게르마늄 수소화물 프리커서, 주석 프리커서, 및 도펀트를 상기 처리 챔버 내로 공동 유동시키는 단계 - 상기 게르마늄 수소화물 프리커서는 일반식 GenH(2n+2)(n은 1보다 큼)를 갖고, 원하는 층 두께에 도달할 때까지, 상기 게르마늄 수소화물 프리커서, 상기 주석 프리커서, 및 상기 도펀트를 이용하여, GeSn 층을 에피택셜 성장시킴 -; 및
    할로겐화물 가스를 포함하는 에천트를 상기 처리 챔버 내로 유동시키는 단계
    를 순서대로 포함하는 방법.
  8. 제7항에 있어서, 농도 기울기(concentration gradient)가 상기 GeSn 층에 생성되도록 상기 주석 프리커서 및 상기 도펀트의 흐름(flow)을 변화시키는 단계를 더 포함하는, 방법.
  9. 제7항에 있어서, 상기 GeSn 층은 0.5 원자% 내지 12 원자%의 농도로 주석을 함유하는, 방법.
  10. 제7항에 있어서, 상기 도펀트는 디보란(B2H6), 포스핀(PH3), 또는 아르신(AsH3) 중 하나 이상을 포함하는, 방법.
  11. 제7항에 있어서, 온도는 300℃ 내지 450℃로 유지되는, 방법.
  12. 반도체 기판으로서,
    유전체 영역 및 반도체 영역 둘 다를 갖는 상부 표면; 및
    상기 반도체 영역들 상에 퇴적된 도핑된 게르마늄 주석 층 - 상기 도핑된 게르마늄 주석 층은 상기 층 내에서 변화하는 주석, 도펀트, 또는 둘 다의 농도를 갖고, 상기 농도는 상기 도핑된 게르마늄 주석 층의 적어도 일부분에 기울기를 생성함 -
    을 포함하는 기판.
  13. 제12항에 있어서, 주석 농도 변화는 상기 기판과 상기 게르마늄 주석 층 간의 계면 부근의 두께 영역(thickness region)에 제한되는, 기판.
  14. 제12항에 있어서, 주석 농도 변화는 상기 기판과 상기 게르마늄 주석 층 간의 계면에 가장 가까운 두께 영역 및 상기 계면으로부터 가장 먼 두께 영역에 제한되는, 기판.
  15. 제12항에 있어서, 상기 도펀트는 붕소, 인, 또는 비소 중 하나 이상을 포함하는, 기판.
KR1020147029204A 2012-04-02 2013-03-25 에피택셜 도핑된 게르마늄 주석 합금 형성 방법 KR102073170B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261619268P 2012-04-02 2012-04-02
US61/619,268 2012-04-02
US13/784,403 US9082684B2 (en) 2012-04-02 2013-03-04 Method of epitaxial doped germanium tin alloy formation
US13/784,403 2013-03-04
PCT/US2013/033743 WO2013151822A1 (en) 2012-04-02 2013-03-25 Method of epitaxial doped germanium tin alloy formation

Publications (2)

Publication Number Publication Date
KR20140141664A KR20140141664A (ko) 2014-12-10
KR102073170B1 true KR102073170B1 (ko) 2020-02-05

Family

ID=49233778

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147029204A KR102073170B1 (ko) 2012-04-02 2013-03-25 에피택셜 도핑된 게르마늄 주석 합금 형성 방법

Country Status (5)

Country Link
US (1) US9082684B2 (ko)
KR (1) KR102073170B1 (ko)
CN (1) CN104185895B (ko)
TW (1) TWI567218B (ko)
WO (1) WO2013151822A1 (ko)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2477211B1 (en) * 2011-01-17 2019-03-06 IMEC vzw Method for selective deposition of a semiconductor material
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9006088B2 (en) * 2013-04-01 2015-04-14 Tsinghua University Method for forming semiconductor gate structure and semiconductor gate structure
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) * 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9330907B2 (en) 2013-10-10 2016-05-03 The Board Of Trustees Of The Leland Stanford Junior University Material quality, suspended material structures on lattice-mismatched substrates
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) * 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI751158B (zh) * 2016-07-06 2022-01-01 荷蘭商Asm智慧財產控股公司 包括鍺-錫膜之結構與裝置及該膜之形成方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018052478A2 (en) 2016-09-19 2018-03-22 Applied Materials, Inc. Method of doped germanium formation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6847202B2 (ja) * 2017-03-31 2021-03-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) * 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) * 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP3486950B1 (en) * 2017-11-15 2020-05-27 IMEC vzw Method for fabricating a horizontal gate-all-around field effect transistor
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220080543A (ko) 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134895A1 (en) 2003-06-13 2006-06-22 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Method for preparing ge1-x-ysnxey (e=p, as, sb) semiconductors and related si-ge-sn-e and si-ge-e analogs
JP2007294778A (ja) 2006-04-27 2007-11-08 Japan Science & Technology Agency GeSn半導体デバイスの製造方法
US20120025212A1 (en) 2008-09-16 2012-02-02 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University GeSn Infrared Photodetectors

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5548128A (en) 1994-12-14 1996-08-20 The United States Of America As Represented By The Secretary Of The Air Force Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates
US6037614A (en) 1997-03-07 2000-03-14 California Institute Of Technology Methods for manufacturing group IV element alloy semiconductor materials and devices that include such materials
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20080171425A1 (en) 2006-12-13 2008-07-17 Dmitry Poplavskyy Methods of forming an epitaxial layer on a group iv semiconductor substrate
US7416605B2 (en) 2007-01-08 2008-08-26 Freescale Semiconductor, Inc. Anneal of epitaxial layer in a semiconductor device
DE102009006884B4 (de) 2009-01-30 2011-06-30 Advanced Micro Devices, Inc., Calif. Verfahren zur Herstellung eines Transistorbauelementes mit In-Situ erzeugten Drain- und Source-Gebieten mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil und entsprechendes Transistorbauelement
CN101928990A (zh) * 2009-06-26 2010-12-29 中国科学院半导体研究所 GeSn合金的外延生长方法
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
CN101962802A (zh) * 2010-07-14 2011-02-02 中国科学院半导体研究所 在Si衬底上分子束外延生长GeSn合金的方法
EP2477211B1 (en) * 2011-01-17 2019-03-06 IMEC vzw Method for selective deposition of a semiconductor material
JP5922219B2 (ja) * 2011-03-31 2016-05-24 アイメックImec 単結晶スズ含有半導体材料を成長させる方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060134895A1 (en) 2003-06-13 2006-06-22 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Method for preparing ge1-x-ysnxey (e=p, as, sb) semiconductors and related si-ge-sn-e and si-ge-e analogs
JP2007294778A (ja) 2006-04-27 2007-11-08 Japan Science & Technology Agency GeSn半導体デバイスの製造方法
US20120025212A1 (en) 2008-09-16 2012-02-02 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University GeSn Infrared Photodetectors

Also Published As

Publication number Publication date
WO2013151822A1 (en) 2013-10-10
US20130256838A1 (en) 2013-10-03
TW201343949A (zh) 2013-11-01
KR20140141664A (ko) 2014-12-10
US9082684B2 (en) 2015-07-14
CN104185895A (zh) 2014-12-03
TWI567218B (zh) 2017-01-21
CN104185895B (zh) 2017-04-12

Similar Documents

Publication Publication Date Title
KR102073170B1 (ko) 에피택셜 도핑된 게르마늄 주석 합금 형성 방법
US11637014B2 (en) Methods for selective deposition of doped semiconductor material
TWI692545B (zh) 形成高p型摻雜鍺錫膜的方法以及包含該等膜的結構和裝置
US11594600B2 (en) Structures with doped semiconductor layers and methods and systems for forming same
KR102656770B1 (ko) 도핑된 게르마늄 주석 반도체 증착 방법 및 관련된 반도체 소자 구조
KR102648942B1 (ko) 실리콘 함유 에피택셜층을 형성하기 위한 방법 및 관련 반도체 소자 구조체
TW202127510A (zh) 用於使用犧牲蓋層選擇性沉積之方法
KR101728377B1 (ko) 도핑된 반도체 막들 및 프로세싱
KR20210010820A (ko) 실리콘 게르마늄 구조를 형성하는 방법
TWI442448B (zh) 使用選擇性沉積製程製備mosfet元件的方法
KR20190009699A (ko) 4족 반도체 증착 방법 및 관련된 반도체 소자 구조체
TWI419204B (zh) 選擇性沈積
TWI400745B (zh) 選擇性磊晶製程控制
US8759200B2 (en) Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US20080026149A1 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
JP2009540565A (ja) 半導体膜の選択的なエピタキシャル形成
TW201426818A (zh) 形成三維結構高摻雜含矽材料之選擇性同形覆蓋磊晶方法
WO2008016650A2 (en) Methods of forming carbon-containing silicon epitaxial layers
JP2006216955A (ja) 電気的に活性なドープト結晶性Si含有膜の堆積方法
CN110707006A (zh) 锑掺杂的硅和硅锗膜的原位制备的方法
CN116261770A (zh) 选择性低温外延沉积处理
WO2024091413A1 (en) Low temperature co-flow epitaxial deposition process
TW202414540A (zh) 形成p型摻雜矽鍺層之方法、使用此方法形成源極區及汲極區中之一或多者的方法、包含使用此方法形成源極區及汲極區中之一或多者的結構

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant