KR101923339B1 - 웨이퍼의 처리 방법 - Google Patents

웨이퍼의 처리 방법 Download PDF

Info

Publication number
KR101923339B1
KR101923339B1 KR1020177030093A KR20177030093A KR101923339B1 KR 101923339 B1 KR101923339 B1 KR 101923339B1 KR 1020177030093 A KR1020177030093 A KR 1020177030093A KR 20177030093 A KR20177030093 A KR 20177030093A KR 101923339 B1 KR101923339 B1 KR 101923339B1
Authority
KR
South Korea
Prior art keywords
aspect ratio
features
high aspect
wafer
etching
Prior art date
Application number
KR1020177030093A
Other languages
English (en)
Other versions
KR20170119748A (ko
Inventor
카트리나 미하일리첸코
데니스 쇼민
치안 푸
글렌 더블유 게일
선지앙 리우
마크 에이치 윌콕슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170119748A publication Critical patent/KR20170119748A/ko
Application granted granted Critical
Publication of KR101923339B1 publication Critical patent/KR101923339B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

반도체 디바이스들을 제조하는데 사용되는 웨이퍼의 처리 방법이 제공된다. 본 방법은 웨이퍼 상에 고종횡비 피쳐들을 형성하는 단계, 다음으로 습식 처리하는 단계 및 건조하는 단계를 포함한다. 건조하는 단계 동안, 패턴 붕괴가 일어날 수 있다. 이 패턴 붕괴는 웨이퍼의 추가 처리를 허용하기 위해 리페어될 수 있다. 일부의 경우에서, 패턴 붕괴는 식각을 통해 리페어될 수 있고, 여기서 식각은 패턴 붕괴 동안 형성될 수 있는 결합들을 끊는다.

Description

웨이퍼의 처리 방법{METHOD OF PROCESSING A WAFER}
본 발명은 반도체 디바이스들에 관한 것이다. 더 상세하게는, 본 발명은, 웨이퍼 상의 고종횡비 나노구조들에 대해 습식 화학 처리 공정들이 사용되는 반도체 디바이스들의 제조에 관한 것이다.
반도체 디바이스들의 제조 동안, 피쳐 (feature) 들이 실리콘 웨이퍼들 상의 (도체들 및/또는 유전 절연체들) 재료들의 스택에 식각된다. 또한 제조 동안, 웨이퍼들은 습식 처리되고 다음으로 건조 공정을 거친다. 습식 처리는 습식 세정을 포함할 수도 있다. 건조는 증발 건조, IPA 보조 건조, 스핀 건조 등을 포함할 수 있다.
본 발명의 요약
상술한 것을 달성하기 위하여 그리고 본 발명의 목적에 따라 반도체 디바이스들을 제조하는데 사용되는 웨이퍼의 제조 방법이 제공된다. 일반적으로 본 방법은: 1) 실리콘 웨이퍼 상의 층에 다수의 고종횡비 피쳐들을 형성하는 단계; 2) 웨이퍼의 습식 처리를 수행하는 단계; 3) 후속하여 웨이퍼를 건조하는 단계; 및 4) 다수의 고종횡비 피쳐들 중에 패턴 붕괴를 리페어 (repair) 하는 단계를 포함하는 것을 특징으로 할 수 있다. 다수의 고종횡비 피쳐들을 형성하는 단계는 실리콘계 층 (silicon based layer) 위에 포토레지스트 패턴화된 마스크를 형성하는 단계 및 실리콘계 층으로 고종횡비 피쳐들을 식각하는 단계를 포함할 수 있다. 또한, 다수의 고종횡비 피쳐들을 형성하는 단계는 포토레지스트 패턴화된 마스크를 박리 (stripping) 하는 단계를 더 포함할 수 있다. 다수의 고종횡비 피쳐들은 비제한적으로 TEOS와 같은 옥사이드 층의 상부에 상부 SiN 층을 포함할 수 있다.
구체적인 실시형태들에서, 다수의 고종횡비 피쳐들 중 적어도 일부의 종횡비가 10 대 1 이상일 수 있다. 피쳐들의 폭과 같은 피쳐들의 특성 치수 (characteristic dimension) 는 40 nm (나노미터) 미만일 수 있다. 다른 실시형태들에서, 특성 치수는 30 nm 미만일 수 있다. 다수의 고종횡비 피쳐들은 건식 식각 공정을 이용하거나 또는 습식 식각 공정을 이용하여 형성될 수 있다. 또한, 패턴 붕괴의 리페어는, 건식 식각 공정이 실리콘계 층의 STI 식각을 포함하는 건식 식각 공정을 이용하여 수행될 수 있다. 또한, 패턴 붕괴의 리페어는 습식 식각 공정을 이용하여 수행될 수 있다. 습식 식각은 HF 식각을 포함할 수 있다.
또 다른 실시형태들에서, 리페어는 건조 동안 인접 피쳐들 간에 형성된 결합들을 끊을 수 있다. 다수의 고종횡비 피쳐들은 상부 SiN 층을 포함하고, 여기서 결합들은 SiN 층에 형성된다. 웨이퍼의 건조는 제어 건조, 비제어 건조 또는 이들의 조합을 포함할 수 있다. 가령, 웨이퍼의 건조는 유체의 능동적 석션 (active suction) 이 웨이퍼에 적용되는 제어 건조를 포함할 수 있다.
다른 실시형태들에서, 웨이퍼의 습식 처리는 습식 세정 공정을 포함할 수 있다. 습식 세정 공정은 HMO 식각 후에 수행되는 하드 마스크 개방 (세정) 을 포함할 수 있다. 또한, 다수의 고종횡비 피쳐들은 HMO 식각을 이용하여 형성될 수 있다. 습식 처리의 종료 후에 소정 기간 내에 리페어가 수행되는 것을 보장하기 위하여 습식 처리의 종료와 패턴 붕괴의 리페어 사이에 대기 시간 제어 (queue time control) 가 적용될 수 있다. 또한, 패턴 붕괴의 리페어는, 웨이퍼의 건조 후에 웨이퍼 상에서 수행될 추가 식각과 결합될 수 있다.
또 다른 양태는 반도체 디바이스들을 제조하는데 사용되는 포토레지스트 마스크의 처리 방법을 제공한다. 일반적으로 본 방법은: a) 포토레지스트 층을 형성하는 단계; b) 다수의 고종횡비 피쳐들을 갖는 포토레지스트 층 패턴을 현상하기 위하여 포토레지스트 층의 습식 처리를 수행하는 단계; c) 후속하여 패턴 붕괴를 일으키는 포토레지스트 마스크를 건조하는 단계; 및 d) 다수의 고종횡비 피쳐들 중에 패턴 붕괴를 리페어하는 단계를 포함하는 것을 특징으로 할 수 있다.
또 다른 양태는 반도체 디바이스들을 제조하는데 사용되는 (지지되거나 또는 지지되지 않은) 커패시터 구조들의 제조 방법을 제공한다. 일반적으로 본 방법은: a) 옥사이드 막에 고종횡비 콘택트 홀들을 형성하는 단계; b) TiN 층을 성막하는 단계; c) 후속하여 독립 고종횡비의 실린더형 구조들을 남기는 습식 식각 화학물로 옥사이드 층을 제거하는 단계; d) 실린더 리닝, 즉 패턴 붕괴를 일으키는 고종횡비 실린더형 구조들을 건조하는 단계; 및 e) 플라즈마 식각을 수행하는 것에 의해 고종횡비 실린더 구조들 중의 패턴 붕괴를 리페어하는 단계를 포함하는 것을 특징으로 할 수 있다.
이하, 본 발명의 이들 그리고 다른 특징들이, 다음의 도면들과 함께 본 발명의 상세한 설명에서 더 상세히 설명될 것이다.
본 발명은 첨부 도면들의 도에서 한정이 아닌 예로서 예시되고, 여기서 같은 도면 부호는 유사한 엘리먼트들을 지칭하며, 여기서:
도 1a 및 도 1b는 본 발명의 실시형태를 위한 다수의 상이한 처리 단계들 후에 웨이퍼 상의 피쳐들의 단면도들이다.
도 2는 본 발명의 실시형태의 흐름도이다.
도 3은 본 발명의 실시형태에서 사용될 수도 있는 공정 챔버의 개략도이다.
도 4a 및 도 4b는 제어기를 구현하는데 적합한, 컴퓨터 시스템을 예시한다.
이제, 첨부 도면들에서 예시된 바처럼 본 발명의 몇개의 바람직한 실시형태들을 참조하여 본 발명을 상세히 설명한다. 다음의 설명에서, 많은 특정 상세들은 본 발명의 철저한 이해를 제공하기 위하여 제시된다. 하지만, 본 발명은 이들 특정 상세들의 일부 또는 전부가 없이 실시될 수도 있음은 당업자에게 자명할 것이다. 다른 경우들에서, 잘 알려진 공정 단계들 및/또는 구조들이 본 발명을 불필요하게 모호하게 하지 않기 위하여 상세히 설명되지 않았다.
통상적인 반도체 디바이스 제조 공정에서, 웨이퍼는, 예를 들면, 실리콘계 재료의 층들을 성막하는 단계, 포토레지스트 마스크들을 성막하는 단계, 식각하는 단계, 습식 세정하는 단계 및 건조하는 단계를 포함한 다양한 공정들을 겪는다. 제조 공정에 대하여, 실리콘을 포함하는 층들을 기술하기 위하여 실리콘계 층들이 사용될 수 있다. 사용되는 실리콘계 재료의 예들은 실리콘 디옥사이드 및 실리콘 나이트라이드를 포함한다. 웨이퍼 기판 상에 성막된 실리콘계 재료들의 층들 내에, 나노구조들과 같은 극소형 피쳐들을 생성하기 위해 식각 공정이 사용될 수 있다. 극소형 피쳐들은 또한 포토레지스트 마스크들의 처리 동안 생성될 수 있다.
적절한 제조 단계들을 이용하여, 웨이퍼 상의 피쳐들의 밀도를 향상시키기 위하여 피쳐들의 크기가 감소될 수 있다. 피쳐 크기들이 감소함에 따라, 피쳐들의 종횡비는, 종종 10:1 내지 12:1 이상의 범위에서 증가할 수 있다. 이것은, 웨이퍼들이 습식 화학 처리, 이를테면 습식 세정 다음 건조될 때, 피쳐들이 붕괴하는 문제를 야기할 수 있다. 이 문제는 실리콘계 재료들의 처리에 한정된 것이 아니라 포토레지스트 마스크들이 처리될 때 또한 일어날 수 있다. 피쳐 붕괴가 일어나는 종횡비는 구조가 구성되는 재료 특성에 의존할 수 있다. 또한, 피쳐 붕괴가 일어나는지 여부는 수행되는 습식 처리 후속 채용된 건조 방법의 유형에 의존할 수 있다.
예로서, 피쳐 붕괴는 종종 습식 세정 및 후속 건조 동안 일어난다. 유체가 피쳐들간의 공간들에 진입하고 표면 장력을 수반하는 모세관력이 정교한 나노구조들을 변경 및/또는 손상시킬만큼 충분히 클 수 있다. 건조 액체로부터 생기는 생성된 힘들은 인접 피쳐들의 표면들을 접촉되게 끌어당길 수 있다. 이들 힘들은 개별적으로 피쳐들의 붕괴 또는 브리징 (bridging) 을 통한 피쳐들의 변경을 야기할 수 있다. 적어도 2개의 인접 피쳐들이 서로에 대해 붕괴할 때 브리징이 일어나고 함께 유착된다. 인접 구조들의 측벽들이 함께 유착될 수 있거나 또는 잔류 재료가 구조들 사이에 축적되어, 그들을 함께 접합되게할 수도 있다. 피쳐 붕괴는 반도체 제조에 있어 중요한 문제가 될 수 있고 생산되는 회로에 결함을 야기할 수 있다.
피쳐 붕괴를 감소시키는 몇몇 방법들이 탐구되었다. 예들은, 액체 이소프로필 알코올과 같은 저 표면 장력 액체를 사용한 린싱, 초임계 이산화탄소 건조, 상승된 온도에서의 린스 액체를 이용한 건조를 포함한다. 이들 기법들의 일부는 제한된 성공을 얻는다; 하지만, 그러한 기법들은 비용이 많이 들고 종종 정교한 셋업 (setup) 을 필요로 한다. 예를 들면, 초임계 이산화탄소는 임계점을 넘는 고압을 필요로 한다.
과거에는, 표준 작업 (standard practice) 은 습식 처리 및 건조 후에 일어날 수 있는 피쳐 붕괴를 리페어하지 않는 것이 었다. 건조 후에 피쳐 붕괴를 야기하는 습식 처리 단계들이 이용될 때, 접근법은, 건조후 패턴 붕괴가 관찰되지 않도록 선행 처리 단계들을 변화시키는 것이다. 이들 단계들 중 일부는, 위에서 기술된 바처럼, 이를테면 초임계 CO2의 사용은 아주 비용이 많이들 수 있다. 그럼에도 불구하고, 통상적인 접근법은 리페어하기보다는 피쳐 붕괴를 방지하는 것이었다. 다음의 도면들을 참조하여 더 상세히 설명되는 바처럼, 몇몇 실례들에서, 습식 처리 및 건조가 피쳐 붕괴를 발생시킨 후, 추가 처리로 피쳐 붕괴를 리페어하는 것이 가능할 수 있다.
도 1a은 본 발명의 실시형태를 위한 다수의 상이한 처리 단계들 후에 피쳐 구조들의 단면도이다. 여러 처리 단계들 후의 3개의 패턴의 피쳐들 (100a, 100b 및 100c) 이 도시된다. 하나 이상의 초기 처리 단계들 후에, 패턴 (100a) 상의 피쳐들 (102a, 102b 및 102c) 이 기판 (101) 상에 생성될 수 있다. 피쳐들은 32 nm 정도이거나 그 미만일 수 있다. 비록 같게 도시되었지만, 피쳐들 간의 간격은 상이한 인접 피쳐들 간에 다를 수 있다. 따라서, 가령, 피쳐들 (102a 및 102b) 간의 간격은 피쳐들 (102b 및 102c) 간의 간격과 상이할 수 있다. 아래에서 논의되는 바처럼, 피쳐들 간의 간격은 패턴 붕괴를 발생시키는데 있어서 한 요인일 수 있다.
패턴 (100a) 에서 피쳐들 (이를테면, 102a, 102b 및 102c) 는 상이한 재료 조성물들을 갖는 다수의 층들을 포함할 수 있다. 예를 들면, 도 1b에 도시된 바처럼, 3개의 층들을 갖는 피쳐 (102a 내지 102c) 가 예시된다. 일 실시형태에서, 상부층은 SiN (Silicon Nitride) 을 포함할 수 있고, 제 2 층은 TEOS (Tetraethyl Orthosilicate) 를 포함할 수 있고 기판 (101) 에 가장 가까운 제 3 층은 SiN을 포함할 수 있다. 특정 실시형태들에서, SiN이 옥사이드 층 위에 성막될 수 있다. 따라서, 구조는 3개의 층들을 포함할 필요는 없다. SiN-TEOS 및 SiN을 포함하는 피쳐들을 갖는 패턴 (이를테면, 100a) 이 HMO (Hard Mask Open) 식각 후에 생성될 수 있다. 일반적으로 패턴 (100a) 은 건식 식각, 습식 식각 또는 이들의 조합을 이용하여 형성될 수 있다. 다른 실시형태들에서, 피쳐들 (102a, 102b 및 102c) 은 단일 층, 이를테면 포토레지스트 재료의 단일 층을 포함할 수 있고, 여기서 피쳐들 (102a, 102b 및 102c) 이 포토레지스트 층에 형성된 포토레지스트 패턴을 현상한 후에 형성된다.
일부 단계에서, 패턴 (100a) 이 형성된 후에, 습식 처리 및 건조 (103) 가 패턴 (100a) 에 적용될 수 있다. 습식 처리는 습식 식각 단계, 세정 단계 또는 이들의 조합일 수 있다. 습식 처리 다음에 건조가 이어질 수 있다. 건조 공정은, 실내 조건 (room condition) 에서 습식 처리로부터 제거된 후에 자연적으로 일어나는 증발과 같은, 비제어 건조 및, 표면 장력을 조절하기 위하여 석션, 웨이퍼 스피닝 및 다른 유체 및 증기들을 사용하여 건조 공정이 제어되는 제어 건조를 수반할 수 있다.
패턴 붕괴 (pattern collapse) 는 습식 처리 및 건조 (이를테면, 103) 후에 인접 피쳐들 간의 의도되지 않은 접촉을 지칭할 수 있다. 특히, 접촉은 건조 동안 일어나고 건조가 완료된 후에 남을 수 있다. 예로서, 패턴 (100b) 은 인접 피쳐들 (104a 및 104b) 간의 접촉을 나타낸다. 접촉 아래에, 패턴의 하부 (underlying) 피쳐들은 파괴되지 않고, 피쳐들은 변형되었다, 즉 그들은 서로를 향해 굽혀져 접촉을 형성한다. 다양한 실시형태들에서, 아래에서 더 논의되는 바처럼, 특정 조건들 하에서, 이 변형은 상당한 정도로 반전 (reverse) 될 수 있다는 점에서 탄성인 것으로 관찰되었다.
피쳐들 (이를테면 104a 및 104b) 이 다수의 층들을 포함할 때, 인접 피쳐들간의 접촉은 층들 중 하나, 이를테면 최상부 층에 주로 한정될 수 있다. 가령, SiN-TEOS-SiN 구조의 예에서, 접촉은 피쳐들 (104a 및 104b) 의 최상부 SiN 층에서 주로 관찰될 수도 있다. 각 붕괴 발생에 대해 서로 접촉하는 라인들의 부분 및 2개의 인접 라인들에 대한 접촉 면적 양쪽 모두는 하나 이상의 층들의 각각에 대한 재료 강도, 다양한 피쳐들의 두께 및 종횡비 및 채용된 건조 공정의 역학 (dynamics) 에 의존할 수 있다. 인접 피쳐들 간의 접촉량은 샘플 전체에 기초하여 측정될 수 있다. 따라서, 인접 피쳐들 간의 접촉량은 샘플에서의 모든 라인들간의 전체 접촉을 지칭할 수 있다.
103에서, 습식 처리 및 후속 건조 동안, 피쳐들간의 공간에서의 표면 장력은 피쳐들을 함께 끌어당기는 경향이 있는 힘들을 야기할 수 있고, 패턴 붕괴를 야기할 수 있다. 표면 장력은 간격에 비례하므로, 인접 피쳐들 간의 간격 변화는, 인접 라인들에 작용하는 동등하지 않은 표면 장력 관련 힘 (unequal surface tension related force) 들을 야기할 수 있다. 또한, 간격 변화는 인접 피쳐들간에 액체 레벨들에서의 변화를 야기할 수 있다. 간격 변화 및 동등하지 않은 액체 레벨들은 비제어 및 제어 건조 양쪽 모두로 동등하지 않은 힘들을 생성할 수 있다.
피쳐들간의 공간에서의 액체 레벨 및 간격 그 자체는 그 공간에 형성된 액체 매니스커스 (liquid meniscus) 의 곡률 반경에 영향을 미친다. 곡률 반경 변화들은 액체에서의 표면 장력의 결과로서 인접 피쳐들에 가해지는 힘들을 변경한다. 이들 동등하지 않은 힘들은 피쳐들에서의 굽힘을 생성할 수 있는데 이는 또한 간격 변화를 발생시켜 문제를 악화시킨다. 또한, 건조 속도 및 습식-건식-인터페이스의 균일성과 같은 건조 파라미터들은, 능동적 건조가 사용될 때, 피쳐들 간의 칼럼들에서 액체 레벨들 및 증발률에 영향을 미치고, 이는 다시 힘 불균형을 야기할 수 있다. 마지막으로, 다양한 피쳐들의 크기 종횡비 및 형상과 같은 패턴 지오메트리 (geometry) 는 패턴 붕괴에 이를 수 있는 힘 불균형에 영향을 미칠 수 있다.
일 실시형태에서, 103에서의 세정 및 능동 건조와 같은 습식 처리는 Fremont, California 의 LAM Research Corporation™ 에 의해 개발된 Confined Chemical Cleaning (C3) 기술을 이용하여 수행될 수 있다. Confined Chemical Cleaning에서, 웨이퍼는 균형을 이룬 액체 흐름 및 진공 석션에 의해 포함된 유체의 매니스커스를 통과한다. 통상적인 시퀀스는 화학 처리 다음에 탈이온수 린스를 포함한다. 특정 실시형태들에서, 건조 인터페이스는 DIW (Deionized Water) 및 IPA (Isopropyl alcohol) 를 사용하여 형성될 수 있고 여기서 건조 동안 표면 장력을 제어하기 위하여 석션 경계에서 IPA 증기가 적용된다.
패턴 붕괴후에, 이를테면 패턴 (100b) 에서, 리페어 단계는 패턴 (100b) 에 적용되어 패턴 (100a) 의 습식 처리 전에 생성된 패턴 (100a) 에 보다 가까운 패턴 (이를테면 100c) 을 생성한다. 일 실시형태에서, 리페어 단계는 패턴 (100b) 와 같은, 패턴 붕괴를 나타내는 패턴을 식각하는 것을 포함할 수 있다. 식각은 건식 또는 습식 식각을 수반할 수 있다. 건식 식각은 도 4a를 참조하여 설명된 플라즈마 처리 챔버를 수반하는 플라즈마 식각을 수반할 수 있다. 가령, 상부층이 SiN을 포함할 때, 건식 식각이 이 층의 일부를 트리밍 (trimming) 하기 위해 사용될 수 있다. 습식 식각의 예는 옥사이드 층과 같은 층에 HF (Hydrogen Fluoride) 의 적용일 수 있다. 습식 또는 건식 식각이 사용되는지는 인접 피쳐들 간의 접촉이 일어난 층 또는 층들의 조성에 의존할 수 있다.
재료를 제거하는 것에 의해, 이를테면 식각에 의해, 인접 피쳐들 간의 접촉 표면들에서의 결합이 패턴 붕괴를 나타내는 피쳐들에서 끊어질 수 있다고 생각된다. 결합들이 리페어 단계에서 끊어지고 패턴 붕괴에 수반된 피쳐들의 변형이 충분히 탄성인 경우, 패턴 (100b) 에서 서로를 향해 굽혀진 것으로 도시된 피쳐들 (이를테면 104a 및 104b) 는, 리페어 후에, 패턴 (100c) 에 도시된 바와 같은 피쳐들 (106a 및 106b) 로 회복될 수 있다. 패턴 (100c) 에서의 피쳐들 (이를테면 106a 및 106b) 은 패턴 (100a) 에 대해 도시된 바처럼 그들의 원래 구조에 비교할만하다. 100c에 비해 패턴 (100a) 에서의 피쳐들은 반드시 동일할 필요는 없다. 가령, 식각이 리페어 단계 (105) 에서 적용될 때, 패턴 붕괴를 나타낸 피쳐들 (이를테면 106a 및 106b) 의 상부 부분과 패턴 붕괴에서 수반되지 않은 피쳐들의 상부 부분이 제거될 수 있다. 그럼에도 불구하고, 리페어는 105에서의 리페어 후에 웨이퍼의 계속되는 처리를 허용하기에 충분할 수 있다.
몇몇 실시형태들에서, 리페어 단계 (105) 는 시간 의존적일 수 있다. 가령, 소정 기간후에, 피쳐 (100b) 에서 피쳐들 (104a 및 104) 의 변형에서 예시된 바와 같은 패턴 붕괴는 웨이퍼의 계속되는 처리를 허용할 만큼 충분히 가역적 (reversible) 이지 않을 수도 있다. 가령, 하나 이상의 재료 층들로 구성되는 소정 피쳐들에 대해, 붕괴된 인접 피쳐들 간의 결합 세기가 시간에 따라, 이를테면 접촉을 통한 재료의 확산을 통해 증가할 수 있고, 인접 피쳐들 간의 접촉 면적은 증가할 수 있거나 변형이 가역적인 탄성 모드에서 비가역적인 소성 모드로 천이할 수 있다고 생각된다. 이들 효과들의 각각은 피쳐들이 구성되는 재료들과 붕괴의 성질 및 정도에 의존할 수 있다.
예로서, 플라즈마 식각에 의한 SiN 트림 (trim) 이 패턴 붕괴를 나타내는 웨이퍼 상에서 리페어 단계 (105) 로서 수행되었다. 트림, 이를테면 SiN 트림은 하부층을 현저히 식각하지 않으면서 피쳐의 외부 층을 주로 식각하는 식각 공정으로서 기술될 수 있다. ½ 시간의 습식 처리 내에 리페어 단계 (105) 를 적용하는 것과 같이, 제 1 기간 내에 트림이 적용되었던 경우, 얻어지는 구조는 패턴 붕괴가 없다는 것이 관찰되었다. 리페어 단계가 2시간 내에 수행되었을 때, 리페어 단계 후에 단발적인 경우들의 패턴 붕괴가 발견되었다. 7시간 후에, 패턴 붕괴는 리페어 단계의 20 내지 30%의 라인들에서 관찰되었다. 24시간 후에, 패턴 붕괴는 40%를 넘는 라인들에서 관찰되었다.
패턴 붕괴를 반전시키는 리페어 단계의 능력의 감소는 사용된 재료, 수행된 습식 처리 및 수행된 건조에 의존할 수 있다. 따라서, 이들 시간들은 다를 수 있다. 일부 경우에 있어서, 패턴 붕괴를 반전시키는 능력은, 상이한 재료 및 공정들이 채용되었을 때 24 시간보다 긴 시간 동안 성공적이었다.
도 2는 본 발명의 실시형태의 흐름도이다. 205에서, 다수의 피쳐들이 웨이퍼 상에 형성된다. 이들 피쳐들은 다수의 처리 단계들을 이용하여 형성되었을 수 있다. 일 실시형태에서, 형성된 피쳐들은 40 nm 미만의 라인 폭 및 40 nm 미만의 공간 폭과 같은 치수를 가질 수 있다. 210에서, 습식 공정이 웨이퍼 상에서 수행될 수 있다. 습식 처리는 세정 단계일 수 있다. 습식 처리 후에, 220에서, 건조가 웨이퍼 상에서 수행될 수 있다. 건조는 제어 또는 비제어 방식으로 수행될 수 있다. 230에서, 리페어 단계는 건조 후에 관찰가능한 패턴 붕괴를 반전시키기 위해 수행될 수 있다. 리페어 단계는 습식 식각 또는 건식 식각일 수 있다. 리페어 단계 (230) 후에, 추가 처리가 웨이퍼 상에서 수행될 수 있다.
단계 (220) 와 단계 (230) 사이에, 대기 시간 제어가 이용될 수 있다. 이전에 설명된 바처럼, 건조 후에, 리페어 단계는 제한된 시기 기간 동안만 효과적일 수도 있다. 따라서, 대기 시간 제어는 리페어 단계가 일정 기간 내에 수행되는 것을 보장하기 위해서 채용될 수 있다.
일 실시형태에서, 205에서, 복수의 피쳐들이 HMO (Hard mask open) 식각 다음에 HMO 세정을 이용하여 제조될 수 있다. HMO 세정은 DIW를 사용한 Confined Chemical Cleaning™ (Lam Research, Fremont CA) 과 같은 세정 용액을 이용하여 수행될 수 있다. 리페어 단계는 건조로부터의 손상을 리페어하기 위하여 적용될 수 있다. 리페어 단계는 STI (Shallow Trench Isolation) 식각과 같은 식각을 포함할 수 있다. 추가적인 처리, 이를테면 STI 식각 및 STI 세정이 리페어 단계 후에 적용될 수 있다. 특히, STI 세정은 HF를 사용한 Confined Chemical Cleaning™ 를 이용하여 수행될 수 있다. 일 실시형태에서, STI 식각은 Lam Research (Fremont, CA) 에 의해 제조된 Kiyo™ 식각 제품을 이용하여 수행될 수 있다.
일 실시형태에서, 리페어 단계 및 추가 처리 단계들이 결합될 수 있다. 가령, 리페어 단계는 수행이 예정된 STI 식각과 같은 추가 식각 단계와 결합될 수 있다. 따라서, STI 식각의 부분은 패턴 붕괴 리페어를 수행하고 STI 식각의 또 다른 부분은 웨이퍼 상에 필요한 추가 처리를 수행하게 된다. 또한, 패턴 붕괴가 가역적인 동안의 기간 내에 식각이 수행되는 것을 보장하기 위하여 습식 처리후에 대기 시간 제어가 채용될 수 있다.
또 다른 실시형태에서, STI 식각이 205에서 수행되고 다음에 STI 세정이 이어질 수 있다. 다음으로, 리페어 단계로서, HF 식각을 포함하는 습식 식각이 수행될 수 있다. 옥사이드 구조를 습식 식각하기 위하여 HF 식각이 채용될 수 있다. 또 다른 실시형태들에서, 다수의 습식 처리 단계들을 처리하는 동안, 다음으로 건조 및 리페어 단계들이 수행될 수 있다. 가령, 제 1 식각 다음으로 세정 및 건조 그리고 다음에 리페어가 수행될 수 있다. 다음에, 제 2 식각 다음으로 세정 및 건조 그리고 리페어 단계가 수행될 수 있다.
또 다른 실시형태에서, 패턴 붕괴 리페어는 반도체 디바이스들에서 사용된 커패시터 구조들을 제조하는 방법의 부분으로서 사용될 수 있다. 커패시터 구조들은 지지되거나 또는 지지되지 않을 수 있다. 일반적으로 본 방법은: a) 옥사이드 막에 고종횡비 콘택트 홀들을 형성하는 단계; b) TiN 층과 같은 층을 성막하는 단계; c) 후속하여 실린더형 구조들과 같은 독립 고종횡비의 구조들을 남기는 습식 식각 화학물로 옥사이드 층을 제거하는 단계; d) 고종횡비 독립 구조들을 건조하는 단계; 및 e) 플라즈마 식각을 수행하는 것에 의해 다수의 고종횡비 독립 구조들 중의 패턴 붕괴를 리페어하는 단계를 포함하는 것을 특징으로 할 수 있다. 이 예에서, 건조 후에 고종횡비의 독립 구조의 일부가 기울어지고 서로 접촉할 수 있다, 즉 패턴의 일부가 붕괴될 수 있다. 이 패턴 붕괴는 플라즈마 식각을 이용하여 리페어될 수 있다.
도 4는 이 예에서 피쳐들의 식각 또는 붕괴된 피쳐들의 리페어에 사용될 수도 있는 플라즈마 처리 챔버 (400) 의 개략도이다. 플라즈마 처리 챔버 (400) 는 한정 링 (402), 상부 전극 (404), 하부 전극 (408), 가스 소스 (410) 및 배기 펌프 (420) 를 포함한다. 가스 소스 (410) 는 다수의 가스 소스들을 포함할 수도 있다. 플라즈마 처리 챔버 (400) 내에서, 기판 (101) 이 하부 전극 (408) 상에 배치된다. 하부 전극 (408) 은 기판 (101) 을 유지하는데 적합한 기판 척킹 기구 (예를 들면, 정전기, 기계 클램핑 또는 그밖에 이와 유사한 것) 를 포함한다. 반응기 상부 (428) 는 하부 전극 (408) 에 직접 대향하게 배치된 상부 전극 (404) 을 포함한다. 상부 전극 (404), 하부 전극 (408) 및 한정링 (402) 들은 한정 플라즈마 체적 (440) 을 정의한다. 가스가 가스 소스 (410) 에 의해 한정된 플라즈마 체적 (440) 으로 공급되고 한정된 플라즈마 체적 (440) 으로부터 한정링 (402) 과 배기 포트를 통하여 배기 펌프 (420) 에 의해 배기된다. RF 소스 (448) 는 하부 전극 (408) 에 전기적으로 접속된다. 상부 전극 (404) 은 접지된다. 챔버 벽 (452) 들은 한정링 (402) 들, 상부 전극 (404) 및 하부 전극 (408) 을 둘러싼다. RF 소스 (448) 는 27 MHz 전력 소스 및 2 MHz 전력 소스 및 60MHz 전력 소스를 포함할 수도 있다. Fremont, California 의 LAM Research Corporation™ 에 의해 만들어진 Exelan 2300™ 이 본 발명의 이 예에서 사용되었다. 상부 전극 (404) 에 접속된 RF 소스를 갖는 것과 같은, 전극에 RF 전력을 접속시키는 상이한 조합들이 다른 실시형태들에서 가능하다.
일 실시형태에서, 통합 머신이 세정 및 식각 공정들에 사용될 수 있다. 통합 머신은 더 양호한 대기 시간 제어를 허용할 수도 있다. 통합 머신은 필요한 대기 시간이 짧은 경우에 이로울 수도 있다. 머신은 처리되는 로트 (lot) 들과 연관된 대기 시간들을 검출하는 소프트웨어로 프로그램될 수 있다. 소프트웨어는 대기 시간 제한으로서, 그 대기 시간 제한 후에는 패턴 붕괴 리페어가 더이상 실행가능한 것으로 고려되지 않는, 대기 시간 제한과 같은 지정 대기 시간 제한들과 연관된 각 로트에 대해 대기 시간들을 비교할 수 있다. 소프트웨어는 대기 시간이 위반된 로트를 플래그 (flag) 하도록 구성될 수 있다.
또한, 다른 실시형태들에서, 패턴 붕괴 리페어는 대기 시간 의존적일 수 있다. 예를 들면, 더긴 대기 시간은 짧은 대기 시간에 비해 패턴 붕괴를 리페어하는데 더 긴 트림 시간을 필요로 할 수 있다. 통합 머신은 특정 로트에 대해 검출된 대기 시간에 기초하여 트림 시간을 조정하도록 구성될 수 있다. 이 타입의 방법론, 즉, 대기 시간에 기초하여 식각 시간을 결정하는 것은 또한 비통합 머신에 적용될 수 있다.
도 4a 및 도 4b는 본 발명의 실시형태들에서 사용된 제어기 (435) 를 구현하는데 적합한, 컴퓨터 시스템 (500) 을 예시한다. 도 4a는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 및 소형 휴대 디바이스에서부터 대형 슈퍼 컴퓨터에 이르기까지의 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (500) 은 모니터 (502), 디스플레이 (504), 하우징 (506), 디스크 드라이브 (508), 키보드 (510), 및 마우스 (512) 를 포함한다. 디스크 (514) 는 컴퓨터 시스템 (500) 으로 그리고 컴퓨터 시스템 (500) 으로부터 데이터를 전송하는데 사용되는 컴퓨터 판독가능 매체이다.
도 4b는 컴퓨터 시스템 (500) 을 위한 블록도의 예이다. 시스템 버스 (520) 에는 광범위하게 다양한 서브시스템들이 부착된다. 프로세서(들) (522) (또한 중앙 처리 장치 또는 CPU들로도 지칭됨) 가 메모리 (524) 를 비롯한 저장 디바이스들에 연결된다. 메모리 (524) 는 RAM (random access memory) 및 ROM (read-only memory) 를 포함한다. 당해 기술 분야에서 잘알려진 바처럼, ROM은 데이터 및 명령들을 일방향으로 CPU에 전송하도록 작동하고 RAM은 통상적으로 양방향 방식으로 데이터 및 명령들을 전송하는데 사용된다. 이들 타입들의 메모리들 양자 모두는 아래에 설명되는 임의의 적절한 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (526) 는 또한 CPU (522) 에 양방향으로 연결되고; 그것은 추가 데이터 저장 용량을 제공하고 또한 아래에 설명되는 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (526) 는 프로그램들, 데이터 및 그밖에 이와 유사한 것을 저장하는데 사용될 수도 있고, 통상적으로 주 스토리지 보다 더 느린 (하드디스크와 같은) 보조 스토리지 매체이다. 고정 디스크 (526) 내에 보유된 정보는, 적절한 경우들에서, 메모리 (524) 에서의 가상 메모리 (virtual memory) 에서 처럼 표준 방식으로 포함될 수도 있다는 것이 이해될 것이다. 리무버블 디스크 (514) 는 아래에 설명되는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
CPU (522) 는 또한 다양한 입력/출력 디바이스들, 이를테면 디스플레이 (504), 키보드 (510), 마우스 (512) 및 스피커 (530) 들에 연결된다. 일반적으로, 입력/출력 디바이스는: 비디오 디스플레이, 트랙 볼, 키보드, 마이크로폰, 터치 감지 디스플레이, 트랜스듀서 카드 리더, 마그네틱 또는 페이퍼 테이프 리더, 태블릿, 스타일러스, 보이스 또는 핸드라이팅 인식기, 바이오메트릭 리더 또는 다른 컴퓨터들 중 어느 것일 수도 있다. CPU (522) 는 선택적으로 다른 컴퓨터 또는 통신 네트워크에 네트워크 인터페이스 (540) 를 이용하여 연결될 수도 있다. 그러한 네트워크 인터페이스로, CPU는 상술한 방법 단계들을 수행하는 과정에서, 네트워크로부터 정보를 수신할 수도 있거나 정보를 네트워크에 출력할 수도 있다고 생각된다. 또한, 본 발명의 방법 실시형태들은 CPU (522) 상에서만 실행될 수도 있거나 프로세싱의 일부를 공유하는 원격 CPU와 함께 인터넷과 같은 네트워크 상에서 실행될 수도 있다.
본 발명은 몇개의 바람직한 실시형태들을 참조하여 설명되었지만, 본 발명의 범위내에 속하는, 변경, 순열 (permutation), 수정 및 다양한 치환 등가물들이 존재한다. 본 발명의 방법들 및 장치들을 구현하는 많은 대안의 방법들이 존재한다는 것에 또한 유의해야 한다. 따라서, 다음의 첨부된 청구항들은 본 발명의 진정한 사상 및 범위내에 속하는 모든 그러한 변경, 순열, 수정 및 다양한 치환 등가물들을 포함하는 것으로 의도된다.

Claims (18)

  1. 반도체 디바이스들을 제조하는데 사용되는 웨이퍼의 처리 방법으로서,
    상기 웨이퍼 상의 층에 다수의 고종횡비 피쳐들을 형성하는 단계;
    상기 웨이퍼의 습식 처리를 수행하는 단계;
    후속하여, 인접 피처들의 측벽이 함께 유착되는 패턴 붕괴를 일으키는 상기 웨이퍼를 건조하는 단계; 및
    상기 다수의 고종횡비 피쳐들 중의 상기 패턴 붕괴를, 인접 피쳐들 간의 접촉 표면들에서의 결합들을 에칭하여 리페어 (repair) 하는 단계를 포함하고,
    상기 접촉 표면들은 상기 다수의 고종횡비 피처들의 상부 부분에 존재하는, 웨이퍼의 처리 방법.
  2. 제 1 항에 있어서,
    상기 다수의 고종횡비 피쳐들을 형성하는 단계는,
    실리콘계 층 (silicon based layer) 위에 포토레지스트 패턴화된 마스크를 형성하는 단계;
    상기 실리콘계 층으로 상기 고종횡비 피쳐들을 플라즈마 식각하는 단계를 포함하는, 웨이퍼의 처리 방법.
  3. 제 2 항에 있어서,
    상기 다수의 고종횡비 피쳐들을 형성하는 단계는 상기 포토레지스트 패턴화된 마스크를 박리하는 단계를 더 포함하는, 웨이퍼의 처리 방법.
  4. 제 3 항에 있어서,
    상기 다수의 고종횡비 피쳐들 중 적어도 일부의 종횡비가 10 대 1 이상인, 웨이퍼의 처리 방법.
  5. 제 4 항에 있어서,
    상기 다수의 고종횡비 피쳐들 중 일부의 특성 치수가 40 nm 미만인, 웨이퍼의 처리 방법.
  6. 제 4 항에 있어서,
    상기 패턴 붕괴를 리페어하는 단계는 건식 트리밍 공정을 포함하는, 웨이퍼의 처리 방법.
  7. 제 6 항에 있어서,
    상기 패턴 붕괴를 리페어하는 단계는 실리콘계 층의 식각을 더 포함하는, 웨이퍼의 처리 방법.
  8. 제 7 항에 있어서,
    상기 식각은 STI 식각인, 웨이퍼의 처리 방법.
  9. 제 4 항에 있어서,
    상기 패턴 붕괴를 리페어하는 단계는 습식 식각 트리밍 공정을 이용하여 수행되는, 웨이퍼의 처리 방법.
  10. 제 9 항에 있어서,
    상기 습식 식각 트리밍 공정은 HF 식각을 포함하는, 웨이퍼의 처리 방법.
  11. 제 1 항에 있어서,
    상기 다수의 고종횡비 피쳐들은 상부 SiN 층을 포함하고 상기 결합들은 상기 SiN 층에 형성되는, 웨이퍼의 처리 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 웨이퍼의 습식 처리는 습식 세정 공정을 포함하는, 웨이퍼의 처리 방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 습식 처리의 종료 후에 소정 기간 내에 상기 리페어하는 단계가 수행되는 것을 보장하기 위하여 상기 습식 처리의 종료와 상기 패턴 붕괴를 리페어하는 단계 사이에 대기 시간 제어 (queue time control) 를 적용하는 단계를 더 포함하는, 웨이퍼의 처리 방법.
  14. 제 1 항 또는 제 2 항에 있어서,
    상기 다수의 고종횡비 피쳐들은 상부 SiN 층, 중간 TEOS 층 및 하부 SiN 층을 포함하는, 웨이퍼의 처리 방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 웨이퍼 상의 층은 포토레지스트 층이고 상기 습식 처리는 상기 다수의 고종횡비 피쳐들을 포함하는 포토레지스트 층 패턴을 현상하기 위하여 사용되는, 웨이퍼의 처리 방법.
  16. 반도체 디바이스들을 제조하는데 사용되는 웨이퍼의 처리 방법으로서,
    실리콘계 층 (silicon based layer) 위에 포토레지스트 패턴화된 마스크를 형성하는 단계;
    상기 실리콘계 층으로 다수의 고종횡비 피쳐들을 플라즈마 식각하는 단계로서, 상기 다수의 고종횡비 피쳐들의 적어도 일부의 종횡비는 10 대 1 이상이고 상기 다수의 고종횡비 피쳐들의 일부의 폭은 30 nm 미만인, 상기 플라즈마 식각하는 단계;
    상기 웨이퍼의 습식 처리를 수행하는 단계;
    후속하여, 인접 피처들의 측벽이 함께 유착되는 패턴 붕괴를 일으키는 상기 웨이퍼를 건조하는 단계; 및
    트리밍 공정을 이용하여, 인접 피쳐들 간의 접촉 표면들에서의 결합들을 에칭함으로써, 상기 다수의 고종횡비 피쳐들 중의 상기 패턴 붕괴를 리페어 (repair) 하는 단계를 포함하고,
    상기 접촉 표면들은 상기 다수의 고종횡비 피처들의 상부 부분에 존재하는, 웨이퍼의 처리 방법.
  17. 제 16 항에 있어서,
    상기 웨이퍼의 습식 처리는 습식 세정 공정을 포함하는, 웨이퍼의 처리 방법.
  18. 제 16 항 또는 제 17 항에 있어서,
    상기 습식 처리의 종료 후에 소정 기간 내에 상기 리페어하는 단계가 수행되는 것을 보장하기 위하여 상기 습식 처리의 종료와 상기 패턴 붕괴를 리페어하는 단계 사이에 대기 시간 제어 (queue time control) 를 적용하는 단계를 더 포함하는, 웨이퍼의 처리 방법.
KR1020177030093A 2010-01-26 2011-01-05 웨이퍼의 처리 방법 KR101923339B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/694,134 2010-01-26
US12/694,134 US8440573B2 (en) 2010-01-26 2010-01-26 Method and apparatus for pattern collapse free wet processing of semiconductor devices
PCT/US2011/020283 WO2011094038A2 (en) 2010-01-26 2011-01-05 Method and apparatus for pattern collapse free wet processing of semiconductor devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020127019678A Division KR20120112652A (ko) 2010-01-26 2011-01-05 반도체 디바이스들의 패턴 붕괴 없는 습식 처리 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20170119748A KR20170119748A (ko) 2017-10-27
KR101923339B1 true KR101923339B1 (ko) 2018-11-28

Family

ID=44309279

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177030093A KR101923339B1 (ko) 2010-01-26 2011-01-05 웨이퍼의 처리 방법
KR1020127019678A KR20120112652A (ko) 2010-01-26 2011-01-05 반도체 디바이스들의 패턴 붕괴 없는 습식 처리 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127019678A KR20120112652A (ko) 2010-01-26 2011-01-05 반도체 디바이스들의 패턴 붕괴 없는 습식 처리 방법 및 장치

Country Status (6)

Country Link
US (1) US8440573B2 (ko)
KR (2) KR101923339B1 (ko)
CN (1) CN102714155B (ko)
SG (1) SG182453A1 (ko)
TW (1) TWI524410B (ko)
WO (1) WO2011094038A2 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US8440573B2 (en) 2010-01-26 2013-05-14 Lam Research Corporation Method and apparatus for pattern collapse free wet processing of semiconductor devices
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US9449821B2 (en) * 2014-07-17 2016-09-20 Macronix International Co., Ltd. Composite hard mask etching profile for preventing pattern collapse in high-aspect-ratio trenches
JP6466315B2 (ja) * 2015-12-25 2019-02-06 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP6881922B2 (ja) * 2016-09-12 2021-06-02 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
EP3701561A4 (en) 2017-10-23 2021-07-21 Lam Research AG SYSTEMS AND METHODS TO PREVENT THE ADHESION OF STRUCTURES WITH A HIGH ASPECT RATIO AND / OR FOR THE REPAIR OF STRUCTURES WITH A HIGH ASPECT RATIO
WO2020072278A1 (en) 2018-10-03 2020-04-09 Lam Research Ag Gas mixture including hydrogen fluoride, alcohol and an additive for preventing stiction of and/or repairing high aspect ratio structures
CN113394074A (zh) * 2020-03-11 2021-09-14 长鑫存储技术有限公司 半导体结构的处理方法
CN113889404B (zh) * 2020-07-02 2024-07-05 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
KR20220122723A (ko) 2020-07-02 2022-09-02 창신 메모리 테크놀로지즈 아이엔씨 반도체 구조의 처리 방법 및 형성 방법
CN113889405B (zh) 2020-07-02 2024-07-05 长鑫存储技术有限公司 半导体结构的处理方法及形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US20040216772A1 (en) * 2003-04-29 2004-11-04 Chongying Xu Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
JP2005286161A (ja) * 2004-03-30 2005-10-13 Ebara Corp 形状修復方法及び装置、並びにそれらを用いた半導体デバイス製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3253851B2 (ja) 1996-04-18 2002-02-04 株式会社日立製作所 超撥水塗料及びそれを用いた超撥水塗膜
JP3974319B2 (ja) 2000-03-30 2007-09-12 株式会社東芝 エッチング方法
US6605413B1 (en) 2001-03-29 2003-08-12 Advanced Micro Devices, Inc. Chemical treatment to strengthen photoresists to prevent pattern collapse
US6849389B2 (en) 2001-07-12 2005-02-01 International Business Machines Corporation Method to prevent pattern collapse in features etched in sulfur dioxide-containing plasmas
US20040092126A1 (en) * 2002-11-07 2004-05-13 Silicon Integrated Systems Corporation Method for preventing reworked photoresist from collapsing
US7229936B2 (en) 2004-05-03 2007-06-12 International Business Machines Corporation Method to reduce photoresist pattern collapse by controlled surface microroughening
JP2007536730A (ja) * 2004-05-07 2007-12-13 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
US7611825B2 (en) 2004-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography method to prevent photoresist pattern collapse
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
EP1726609A1 (en) 2005-05-25 2006-11-29 DSM IP Assets B.V. Hydrophobic coating
JP5206622B2 (ja) * 2009-08-07 2013-06-12 三菱瓦斯化学株式会社 金属微細構造体のパターン倒壊抑制用処理液及びこれを用いた金属微細構造体の製造方法
JP5629098B2 (ja) 2010-01-20 2014-11-19 東京エレクトロン株式会社 シリコン基板上のパターン修復方法
US8440573B2 (en) 2010-01-26 2013-05-14 Lam Research Corporation Method and apparatus for pattern collapse free wet processing of semiconductor devices
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US20040216772A1 (en) * 2003-04-29 2004-11-04 Chongying Xu Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
JP2005286161A (ja) * 2004-03-30 2005-10-13 Ebara Corp 形状修復方法及び装置、並びにそれらを用いた半導体デバイス製造方法

Also Published As

Publication number Publication date
US20110183522A1 (en) 2011-07-28
CN102714155B (zh) 2016-10-12
WO2011094038A2 (en) 2011-08-04
US8440573B2 (en) 2013-05-14
WO2011094038A3 (en) 2011-12-08
TW201126593A (en) 2011-08-01
KR20120112652A (ko) 2012-10-11
SG182453A1 (en) 2012-08-30
TWI524410B (zh) 2016-03-01
KR20170119748A (ko) 2017-10-27
CN102714155A (zh) 2012-10-03

Similar Documents

Publication Publication Date Title
KR101923339B1 (ko) 웨이퍼의 처리 방법
JP5081917B2 (ja) フッ素除去プロセス
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
US8394722B2 (en) Bi-layer, tri-layer mask CD control
US7491647B2 (en) Etch with striation control
US7772122B2 (en) Sidewall forming processes
US8912633B2 (en) In-situ photoresist strip during plasma etching of active hard mask
US7785484B2 (en) Mask trimming with ARL etch
JP5238556B2 (ja) 基板処理方法
US8470126B2 (en) Wiggling control for pseudo-hardmask
US20080044995A1 (en) Trilayer resist organic layer etch
JP2006237620A (ja) 自立型ナノ構造部を作成する方法およびそのシステム
US20050101126A1 (en) Line edge roughness reduction for trench etch
WO2009026298A1 (en) Mask trimming
US8691701B2 (en) Strip with reduced low-K dielectric damage
US20090291562A1 (en) Helium descumming
CN1320629C (zh) 集成电路器件形成隔离物后修复等离子体损伤的方法
US20090311871A1 (en) Organic arc etch selective for immersion photoresist
SG174500A1 (en) Method for low-k dielectric etch with reduced damage
KR20050073055A (ko) 반도체 소자의 금속 플러그 세정 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant