KR101895410B1 - Apparatus for treating substrate - Google Patents

Apparatus for treating substrate Download PDF

Info

Publication number
KR101895410B1
KR101895410B1 KR1020110088486A KR20110088486A KR101895410B1 KR 101895410 B1 KR101895410 B1 KR 101895410B1 KR 1020110088486 A KR1020110088486 A KR 1020110088486A KR 20110088486 A KR20110088486 A KR 20110088486A KR 101895410 B1 KR101895410 B1 KR 101895410B1
Authority
KR
South Korea
Prior art keywords
chamber
wafer
buffer
module
robot
Prior art date
Application number
KR1020110088486A
Other languages
Korean (ko)
Other versions
KR20130025133A (en
Inventor
김규영
유양열
김대환
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020110088486A priority Critical patent/KR101895410B1/en
Publication of KR20130025133A publication Critical patent/KR20130025133A/en
Application granted granted Critical
Publication of KR101895410B1 publication Critical patent/KR101895410B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 실시예는 기판을 처리하는 공간에 기류를 공급하는 기판처리장치에 관한 것이다. 하우징의 상부에 배치되어 상기 챔버 내에 기류를 제공하는 기류 공급 부재를 포함하되, 상기 기류 공급 부재는 팬 필터 유닛 및 상기 팬 필터 유닛의 하부에 설치되는 타공 플레이트를 포함하되, 상기 타공 플레이트는 상기 팬 필터 유닛과 대향되고, 복수의 하부 홀들이 형성되는 저면 및 상기 저면의 가장자리로부터 상부방향으로 연장되고, 복수의 측부 홀들이 형성되는 측부면을 포함한다. 이로 인해 챔버의 내부에 와류가 발생하는 것을 방지할 수 있다.An embodiment of the present invention relates to a substrate processing apparatus for supplying an airflow to a space for processing a substrate. And an airflow supplying member disposed at an upper portion of the housing to supply an airflow in the chamber, wherein the airflow supplying member includes a fan filter unit and a perforated plate provided below the fan filter unit, A bottom surface opposed to the filter unit and formed with a plurality of lower holes, and a side surface extending upward from an edge of the bottom surface and having a plurality of side holes formed therein. This can prevent the generation of a vortex in the chamber.

Figure R1020110088486
Figure R1020110088486

Description

기판처리장치{Apparatus for treating substrate}[0001] Apparatus for treating substrate [0002]

본 발명은 기판을 처리하는 기판처리장치에 관한 것으로, 보다 상세하게는 기판을 처리하는 공간에 기류를 공급하는 기판처리장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a substrate processing apparatus for processing a substrate, and more particularly, to a substrate processing apparatus for supplying an airflow to a space for processing a substrate.

반도체소자 또는 액정 디스플레이를 제조하기 위해서, 기판에 포토리소그라피, 식각, 애싱, 그리고 세정 등의 다양한 공정들이 수행된다. 반도체 소자 및 액정 디스플레이는 고밀도, 고집적화, 고성능화됨에 따라 회로 패턴의 미세화가 급속히 진행됨으로써, 기판 표면에 잔류하는 파티클(Particle), 유기 오염물, 금속 오염물 등의 오염 물질은 소자의 특성과 생산 수율에 많은 영향을 미치게 된다. 이 때문에 공정이 진행되는 챔버의 내부에는 팬 필터 유닛을 설치하여 청정공기를 다운 플로우 시킨다. 다운플로우되는 청정공기는 공정 진행 시 발생되는 오염물질을 외부로 배기시킨다.To fabricate semiconductor devices or liquid crystal displays, various processes such as photolithography, etching, ashing, and cleaning are performed on the substrate. As semiconductor devices and liquid crystal displays become more dense, highly integrated, and have high performance, circuit patterns are rapidly miniaturized, and contaminants such as particles, organic contaminants, and metal contaminants remaining on the substrate surface . For this reason, a fan filter unit is installed inside the chamber where the process proceeds to down flow the clean air. The clean air that is downflowed exhausts pollutants generated during the process.

도 1은 종래의 기판 처리 장치의 일 예를 개략적으로 나타내는 단면 구성도이다. 도 1을 참조하면, 기판 처리 장치(10)는 공정 처리를 위한 공정 영역(12)을 제공하는 챔버(11), 반도체 기판이 투입 및 반출되는 처리 용기(13), 반도체 기판을 로딩하는 기판 지지 부재(15), 공정 처리를 위해 반도체 기판에 처리액을 공급하는 처리 유체 공급 부재(17), 처리액의 회수를 위해 배기 압력을 제공하는 배기 부재(19) 및 챔버(11) 내의 압력 변화를 최소화하여 내부 차압을 유지시키는 팬 필터 유닛(21)을 포함한다.1 is a cross-sectional view schematically showing an example of a conventional substrate processing apparatus. Referring to Figure 1, a substrate processing apparatus 10 includes a chamber 11 for providing a processing region 12 for processing, a processing vessel 13 for loading and unloading a semiconductor substrate, a substrate support A process fluid supply member 17 for supplying the process liquid to the semiconductor substrate for the process process, an exhaust member 19 for providing the exhaust pressure for recovering the process liquid, and a pressure change in the chamber 11 And a fan filter unit 21 for minimizing the internal differential pressure to maintain the internal differential pressure.

팬 필터 유닛(21)은 처리 용기(13) 상부에 배치되어 챔버(11) 내부로 청정 공기를 송풍한다. 이를 위해, 팬 필터 유닛(21)은 모터에 의해 회전되며 청정 공기를 송풍하는 송풍팬(23)과 그 아래에 배치되어 청정 공기를 여과시키는 필터476)(25)를 포함한다. 종래의 팬 필터 유닛(21)은 처리 용기(13)와 중첩된 영역으로 청정 공기를 송풍하여 수직 기류를 형성한다. 이때, 수직기류의 흐름이 전달되지 않는 챔버(11) 내의 사각 지역에서는 청정 공기의 와류가 발생하여 오염 물질이 챔버(11) 내에 잔류하는 문제점이 있었다.A fan filter unit (21) is disposed above the processing vessel (13) to blow clean air into the chamber (11). To this end, the fan filter unit 21 includes a blowing fan 23, which is rotated by a motor and blows clean air, and a filter 476 (25) disposed under the filter to filter clean air. The conventional fan filter unit 21 blows clean air into the region overlapping with the processing vessel 13 to form a vertical airflow. At this time, there is a problem that clean air is vortexed in a square area in the chamber 11 in which the flow of the vertical airflow is not transmitted, so that the pollutant remains in the chamber 11.

선행기술: 한국공개 특허번호 10-2010-0046798호.Prior Art: Korean Patent Publication No. 10-2010-0046798.

본 발명의 실시예는 챔버의 내부에 와류가 발생하는 것을 방지하고자 한다.Embodiments of the present invention are intended to prevent vortices from occurring inside the chamber.

본 발명의 실시예는 기판을 처리하는 공간에 기류를 공급하는 기판처리장치를 제공한다. 기판 처리 장치는 챔버, 상기 챔버 내에 배치되고, 상부가 개방된 하우징, 상기 하우징 내에 배치되고, 상기 기판이 놓여지는 지지 플레이트, 그리고 상기 하우징의 상부에 배치되어 상기 챔버 내에 기류를 제공하는 기류 공급 부재를 포함하되, 상기 기류 공급 부재는 팬 필터 유닛 및 상기 팬 필터 유닛의 하부에 설치되는 타공 플레이트를 포함하되, 상기 타공 플레이트는 상기 팬 필터 유닛과 대향되고, 복수의 하부 홀들이 형성되는 저면 및 상기 저면의 가장자리로부터 상부방향으로 연장되고, 복수의 측부 홀들이 형성되는 측부면을 포함한다.An embodiment of the present invention provides a substrate processing apparatus for supplying an airflow to a space for processing a substrate. The substrate processing apparatus includes a chamber, a housing disposed in the chamber and having an open upper portion, a support plate on which the substrate is placed, disposed in the housing, and an air flow supply member disposed in the upper portion of the housing, Wherein the air flow supply member includes a fan filter unit and a perforated plate provided at a lower portion of the fan filter unit, wherein the perforated plate is opposed to the fan filter unit, a bottom surface in which a plurality of lower holes are formed, And a side surface extending in an upward direction from an edge of the bottom surface and having a plurality of side holes formed therein.

상기 타공 플레이트와 상기 팬 필터 유닛 사이에는 버퍼 공간이 제공될 수 있다. 상기 측부 홀들은 상기 측부면의 원주방향을 따라 링 형상으로 배열될 수 있다. 상기 측부 홀들은 서로 상이한 높이의 다층으로 제공될 수 있다.A buffer space may be provided between the perforation plate and the fan filter unit. The side holes may be arranged in a ring shape along the circumferential direction of the side surface. The side holes may be provided in multiple layers of different heights.

본 발명의 실시예에 의하면, 챔버의 내부에 와류가 발생하는 것을 방지할 수 있다.According to the embodiment of the present invention, it is possible to prevent vortices from being generated inside the chamber.

도 1은 종래의 기판 처리 장치의 일 예를 개략적으로 나타내는 단면 구성도이다.
도 2는 본 발명의 기판 처리 설비를 상부에서 바라본 도면이다.
도 3은 도 2의 설비를 A-A 방향에서 바라본 도면이다.
도 4는 도 2의 설비를 B-B 방향에서 바라본 도면이다.
도 5는 도 2의 설비를 C-C 방향에서 바라본 도면이다.
도 6은 도 2의 현상 챔버의 내부를 보여주는 평면도이다.
도 7은 도 2의 현상 챔버를 보여주는 단면도이다.
도 8은 도7의 타공 플레이트의 실시예를 보여주는 사시도이다.
도 9는 도7의 타공 플레이트의 다른 실시예를 보여주는 사시도이다.
1 is a cross-sectional view schematically showing an example of a conventional substrate processing apparatus.
2 is a top view of the substrate processing apparatus of the present invention.
Fig. 3 is a view of the equipment of Fig. 2 viewed from the direction AA.
Fig. 4 is a view of the equipment of Fig. 2 viewed from the BB direction. Fig.
Fig. 5 is a view of the equipment of Fig. 2 viewed from the CC direction.
FIG. 6 is a plan view showing the inside of the developing chamber of FIG. 2; FIG.
FIG. 7 is a sectional view showing the developing chamber of FIG. 2; FIG.
FIG. 8 is a perspective view showing an embodiment of the perforated plate of FIG. 7; FIG.
Fig. 9 is a perspective view showing another embodiment of the perforated plate of Fig. 7;

이하, 본 발명의 실시 예를 첨부된 도면을 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다. Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용된다. 특히 본 실시예의 설비는 노광장치에 연결되어 기판에 대해 도포 공정 및 현상 공정을 수행하는 데 사용된다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.The facility of this embodiment is used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the apparatus of this embodiment is connected to an exposure apparatus and is used to perform a coating process and a developing process on a substrate. Hereinafter, a case where a wafer is used as a substrate will be described as an example.

도 2 내지 도 9는 본 발명의 일 실시예에 따른 기판 처리 설비(1)를 개략적으로 보여주는 도면이다. 도 2은 기판 처리 설비(1)를 상부에서 바라본 도면이고, 도 3은 도 2의 설비(1)를 A-A 방향에서 바라본 도면이고, 도 4는 도 2의 설비(1)를 B-B 방향에서 바라본 도면이고, 도 5는 도 2의 설비(1)를 C-C 방향에서 바라본 도면이다. 2 to 9 are schematic views of a substrate processing apparatus 1 according to an embodiment of the present invention. FIG. 2 is a view of the substrate processing apparatus 1 viewed from above, FIG. 3 is a view of the facility 1 of FIG. 2 viewed from the AA direction, FIG. 4 is a view of the facility 1 of FIG. And Fig. 5 is a view of the facility 1 of Fig. 2 viewed from the CC direction.

도 2 내지 도 5를 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 2 to 5, the substrate processing apparatus 1 includes a load port 100, an index module 200, a first buffer module 300, a coating and developing module 400, a second buffer module 500 An exposure pre- and post-processing module 600, and an interface module 700. The load port 100, the index module 200, the first buffer module 300, the application and development module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module 700, Are sequentially arranged in one direction in a single direction.

이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다. Hereinafter, the load port 100, the index module 200, the first buffer module 300, the coating and developing module 400, the second buffer module 500, the pre-exposure processing module 600, 700 are referred to as a first direction 12 and a direction perpendicular to the first direction 12 as viewed from above is referred to as a second direction 14 and a direction in which the first direction 12 and the second And a direction perpendicular to the direction 14 is referred to as a third direction 16.

웨이퍼(W)는 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. The wafer W is moved in a state accommodated in the cassette 20. At this time, the cassette 20 has a structure that can be sealed from the outside. For example, as the cassette 20, a front open unified pod (FOUP) having a door at the front can be used.

이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.Hereinafter, the load port 100, the index module 200, the first buffer module 300, the application and development module 400, the second buffer module 500, the pre-exposure processing module 600, 700 will be described in detail.

로드 포트(100)는 웨이퍼들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공되었다. The load port 100 has a mounting table 120 on which a cassette 20 accommodating wafers W is placed. A plurality of mounts 120 are provided, and the mounts 200 are arranged in a line along the second direction 14. [ In Fig. 1, four placement tables 120 are provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 웨이퍼(W)를 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 웨이퍼(W)를 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the wafer W between the cassette 20 placed on the table 120 of the load port 100 and the first buffer module 300. The index module 200 has a frame 210, an index robot 220, and a guide rail 230. The frame 210 is provided generally in the shape of an inner rectangular parallelepiped and is disposed between the load port 100 and the first buffer module 300. The frame 210 of the index module 200 may be provided at a lower height than the frame 310 of the first buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed within the frame 210. The index robot 220 is a four-axis drive system in which the hand 221 directly handling the wafer W is movable in the first direction 12, the second direction 14 and the third direction 16, . The index robot 220 has a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixed to the arm 222. The arm 222 is provided with a stretchable structure and a rotatable structure. The support base 223 is disposed along the third direction 16 in the longitudinal direction. The arm 222 is coupled to the support 223 to be movable along the support 223. The support 223 is fixedly coupled to the pedestal 224. The guide rails 230 are provided so that their longitudinal direction is arranged along the second direction 14. The pedestal 224 is coupled to the guide rail 230 so as to be linearly movable along the guide rail 230. Further, although not shown, the frame 210 is further provided with a door opener for opening and closing the door of the cassette 20.

제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The first buffer module 300 has a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an inner rectangular parallelepiped and is disposed between the index module 200 and the application and development module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located within the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed in the third direction 16 from below. The second buffer 330 and the cooling chamber 350 are located at a height corresponding to the coating module 401 of the coating and developing module 400 described later and the coating and developing module 400 at a height corresponding to the developing module 402. [ The first buffer robot 360 is spaced apart from the second buffer 330, the cooling chamber 350 and the first buffer 320 by a predetermined distance in the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 웨이퍼들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 웨이퍼(W)가 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 웨이퍼(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. The first buffer 320 and the second buffer 330 temporarily store a plurality of wafers W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed within the housing 331 and are provided spaced apart from each other in the third direction 16. One wafer W is placed on each support 332. The housing 331 is configured such that the index robot 220, the first buffer robot 360 and the development robot 482 of the developing module 402 described later attach the wafer W to the support 332 in the housing 331 (Not shown) in the direction in which the index robot 220 is provided, in the direction in which the first buffer robot 360 is provided, and in the direction in which the developing robot 482 is provided, so that the developing robot 482 can carry it in or out. The first buffer 320 has a structure substantially similar to that of the second buffer 330. The housing 321 of the first buffer 320 has an opening in a direction in which the first buffer robot 360 is provided and in a direction in which the application unit robot 432 located in the application module 401 described later is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to one example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 웨이퍼(W)를 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the wafer W between the first buffer 320 and the second buffer 330. The first buffer robot 360 has a hand 361, an arm 362, and a support base 363. The hand 361 is fixed to the arm 362. The arm 362 is provided in a stretchable configuration so that the hand 361 is movable along the second direction 14. The arm 362 is coupled to the support 363 so as to be linearly movable along the support 363 in the third direction 16. The support base 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support member 363 may be provided longer in the upward or downward direction. The first buffer robot 360 may be provided so that the hand 361 is simply driven in two directions along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 웨이퍼(W)를 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 웨이퍼(W)가 놓이는 상면 및 웨이퍼(W)를 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 웨이퍼(W)를 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다. The cooling chambers 350 cool the wafers W, respectively. The cooling chamber 350 has a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the wafer W is placed and a cooling means 353 for cooling the wafer W. [ As the cooling means 353, various methods such as cooling with cooling water and cooling using a thermoelectric element can be used. In addition, the cooling chamber 350 may be provided with a lift pin assembly (not shown) for positioning the wafer W on the cooling plate 352. The housing 351 is provided with an index robot 220 so that the developing robot 482 provided in the index robot 220 and a developing module 402 described later can carry the wafers W into or out of the cooling plate 352 (Not shown) in the direction provided and the direction in which the developing robot 482 is provided. Further, the cooling chamber 350 may be provided with doors (not shown) for opening and closing the above-described opening.

도포 및 현상 모듈(400)은 노광 공정 전에 웨이퍼(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 웨이퍼(W)를 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.The coating and developing module 400 performs a process of applying a photoresist on the wafer W before the exposure process and a process of developing the wafer W after the exposure process. The application and development module 400 has a generally rectangular parallelepiped shape. The coating and developing module 400 has a coating module 401 and a developing module 402. The application module 401 and the development module 402 are arranged so as to be partitioned into layers with respect to each other. According to one example, the application module 401 is located on top of the development module 402.

도포 모듈(401)은 웨이퍼(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 웨이퍼(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.The application module 401 includes a step of applying a photosensitive liquid such as a photoresist to the wafer W and a heat treatment step such as heating and cooling for the wafer W before and after the resist application step. The application module 401 has a resist application chamber 410, a bake chamber 420, and a transfer chamber 430. The resist application chamber 410, the bake chamber 420, and the transfer chamber 430 are sequentially disposed along the second direction 14. [ The resist application chamber 410 and the bake chamber 420 are positioned apart from each other in the second direction 14 with the transfer chamber 430 interposed therebetween. A plurality of resist coating chambers 410 are provided, and a plurality of resist coating chambers 410 are provided in the first direction 12 and the third direction 16, respectively. In the figure, six resist coating chambers 410 are provided. A plurality of bake chambers 420 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six bake chambers 420 are provided. Alternatively, however, the bake chamber 420 may be provided in a greater number.

반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 챔버들(420), 레지스트 도포 챔버들(400), 제 1 버퍼 모듈(300)의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(520) 간에 웨이퍼(W)를 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.The transfer chamber 430 is positioned in parallel with the first buffer 320 of the first buffer module 300 in the first direction 12. In the transfer chamber 430, a dispenser robot 432 and a guide rail 433 are positioned. The transfer chamber 430 has a generally rectangular shape. The applicator robot 432 is connected to the bake chambers 420, the resist application chambers 400, the first buffer 320 of the first buffer module 300, and the first buffer module 500 of the second buffer module 500 And transfers the wafer W between the cooling chambers 520. The guide rails 433 are arranged so that their longitudinal directions are parallel to the first direction 12. The guide rails 433 guide the applying robot 432 to move linearly in the first direction 12. The applicator robot 432 has a hand 434, an arm 435, a support 436, and a pedestal 437. The hand 434 is fixed to the arm 435. The arm 435 is provided in a stretchable configuration so that the hand 434 is movable in the horizontal direction. The support 436 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 435 is coupled to the support 436 so as to be linearly movable in the third direction 16 along the support 436. The support 436 is fixedly coupled to the pedestal 437 and the pedestal 437 is coupled to the guide rail 433 so as to be movable along the guide rail 433.

레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)를 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 웨이퍼(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(410)에는 포토 레지스트가 도포된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. The resist coating chambers 410 all have the same structure. However, the types of the photoresist used in each of the resist coating chambers 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The resist coating chamber 410 applies a photoresist on the wafer W. [ The resist coating chamber 410 has a housing 411, a support plate 412, and a nozzle 413. The housing 411 has a cup shape with an open top. The support plate 412 is located in the housing 411 and supports the wafer W. [ The support plate 412 is rotatably provided. The nozzle 413 supplies the photoresist onto the wafer W placed on the support plate 412. The nozzle 413 has a circular tube shape and can supply photoresist to the center of the wafer W. [ Alternatively, the nozzle 413 may have a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 413 may be provided as a slit. In addition, the resist coating chamber 410 may further be provided with a nozzle 414 for supplying a cleaning liquid such as deionized water to clean the surface of the wafer W coated with the photoresist.

베이크 챔버(420)는 웨이퍼(W)를 열처리한다. 예컨대, 베이크 챔버들(420)은 포토 레지스트를 도포하기 전에 웨이퍼(W)를 소정의 온도로 가열하여 웨이퍼(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 웨이퍼(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 웨이퍼(W)를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(420)는 냉각 플레이트(421) 또는 가열 플레이트(422)를 가진다. 냉각 플레이트(421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(423)이 제공된다. 또한 가열 플레이트(422)에는 열선 또는 열전 소자와 같은 가열 수단(424)이 제공된다. 냉각 플레이트(421)와 가열 플레이트(422)는 하나의 베이크 챔버(420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(420)들 중 일부는 냉각 플레이트(421)만을 구비하고, 다른 일부는 가열 플레이트(422)만을 구비할 수 있다. The bake chamber 420 heat-treats the wafer W. For example, the bake chambers 420 may be formed by a prebake process in which the wafer W is heated to a predetermined temperature to remove organic matter and moisture on the surface of the wafer W before the photoresist is applied, A soft bake process is performed after coating the wafer W on the wafer W, and a cooling process for cooling the wafer W after each heating process is performed. The bake chamber 420 has a cooling plate 421 or a heating plate 422. The cooling plate 421 is provided with a cooling means 423 such as a cooling water or a thermoelectric element. The heating plate 422 is also provided with a heating means 424, such as a hot wire or a thermoelectric element. The cooling plate 421 and the heating plate 422 may be provided in a single bake chamber 420, respectively. Optionally, some of the bake chambers 420 may include only the cooling plate 421, and the other portions may include only the heating plate 422.

현상 모듈(402)은 웨이퍼(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 웨이퍼(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다.The developing module 402 includes a developing process of supplying a developing solution to obtain a pattern on the wafer W to remove a part of the photoresist and a heat treatment process such as heating and cooling performed on the wafer W before and after the developing process . The development module 402 has a development chamber 460, a bake chamber 470, and a transfer chamber 480. The development chamber 460, the bake chamber 470, and the transfer chamber 480 are sequentially disposed along the second direction 14. The development chamber 460 and the bake chamber 470 are positioned apart from each other in the second direction 14 with the transfer chamber 480 therebetween. A plurality of developing chambers 460 are provided, and a plurality of developing chambers 460 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six development chambers 460 are provided. A plurality of bake chambers 470 are provided in the first direction 12 and the third direction 16, respectively. In the drawing, six bake chambers 470 are provided. Alternatively, however, the bake chamber 470 can be provided in greater numbers.

반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 챔버들(470), 현상 챔버들(460), 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540) 간에 웨이퍼(W)를 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.The transfer chamber 480 is positioned in parallel with the second buffer 330 of the first buffer module 300 in the first direction 12. In the transfer chamber 480, the developing robot 482 and the guide rail 483 are positioned. The delivery chamber 480 has a generally rectangular shape. The development robot 482 is connected to the bake chambers 470 and the development chambers 460 and the second buffer 330 and the cooling chamber 350 of the first buffer module 300 and the second buffer module 500, The wafer W is transferred between the second cooling chambers 540 of the wafer W. The guide rail 483 is arranged such that its longitudinal direction is parallel to the first direction 12. The guide rail 483 guides the developing robot 482 to linearly move in the first direction 12. The developing sub-robot 482 has a hand 484, an arm 485, a supporting stand 486, and a pedestal 487. The hand 484 is fixed to the arm 485. The arm 485 is provided in a stretchable configuration to allow the hand 484 to move in a horizontal direction. The support 486 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 485 is coupled to the support 486 such that it is linearly movable along the support 486 in the third direction 16. The support table 486 is fixedly coupled to the pedestal 487. The pedestal 487 is coupled to the guide rail 483 so as to be movable along the guide rail 483.

현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 웨이퍼(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The development chambers 460 all have the same structure. However, the types of developers used in the respective developing chambers 460 may be different from each other. The development chamber 460 removes a region of the photoresist on the wafer W irradiated with light. At this time, the area of the protective film irradiated with the light is also removed. Depending on the type of selectively used photoresist, only the areas of the photoresist and protective film that are not irradiated with light can be removed.

도 6은 도 2의 현상 챔버의 내부를 보여주는 평면도이다. 도 6을 참조하면, 현상 챔버(460)는 그 내부에 하우징(461), 지지 플레이트(462), 노즐(463), 배기 부재(466), 그리고 기류 공급 부재(490)가 제공된다. 하우징(461)은 하나의 웨이퍼(W)에 대해 공정을 처리하는 공간을 제공한다. 하우징(461)은 현상 챔버(460) 내에 배치된다. 하우징(461)은 복수 개로 제공된다. 하우징(461)들은 제1방향을 따라 일렬로 배치된다. 일 예에 의하면, 하우징(461)은 2 개로 제공될 수 있다. 하우징(461)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 각각의 하우징(461) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 웨이퍼(W) 상으로 현상액을 공급한다. 노즐(463)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 긴 슬릿으로 제공될 수 있다. 노즐(463)의 길이방향은 제2방향을 따라 제공된다. 노즐(463)의 일단은 가이드 레일(465)에 연결되어 직선 이동된다. 가이드 레일(465)은 하우징(461)들의 일측에서 그 길이방향이 제1방향을 따라 제공된다. 노즐(463)은 가이드 레일(465)의 길이방향을 따라 하우징(461)들 간에 이동한다. 선택적으로 노즐(463)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 현상액 공급할 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다. FIG. 6 is a plan view showing the inside of the developing chamber of FIG. 2; FIG. 6, the development chamber 460 is provided therein with a housing 461, a support plate 462, a nozzle 463, an exhaust member 466, and an airflow supply member 490. The housing 461 provides a space for processing the one wafer W. [ The housing 461 is disposed in the developing chamber 460. A plurality of housings 461 are provided. The housings 461 are arranged in a line along the first direction. According to one example, the housing 461 may be provided in two. The housing 461 has a cup shape with an open top. The support plate 462 is located in each housing 461 and supports the wafer W. [ The support plate 462 is rotatably provided. The nozzle 463 supplies the developer onto the wafer W placed on the support plate 462. The nozzle 463 has a length corresponding to the diameter of the wafer W and the discharge port of the nozzle 463 can be provided with a long slit. The longitudinal direction of the nozzle 463 is provided along the second direction. One end of the nozzle 463 is connected to the guide rail 465 and linearly moved. The guide rail 465 is provided along one direction of the housing 461 along its first direction. The nozzle 463 moves between the housings 461 along the longitudinal direction of the guide rail 465. Alternatively, the nozzle 463 may have a circular tube shape and may supply developer to the center of the wafer W. [ Further, the developing chamber 460 may further be provided with a nozzle 464 for supplying a cleaning liquid such as deionized water to clean the surface of the wafer W to which the developer is supplied.

배기 부재(466)(미도시)는 웨이퍼(W) 처리 시 사용된 약액 및 발생된 기체를 배기 또는 흡입한다. 배기 부재(466)는 현상 챔버(460)의 저면에 설치된다. 상부에서 바라볼 때 배기 부재(466)는 하우징(461)의 내부에 설치된다. 배기 부재(466)는 진공 펌프에 의해 제공된 진공압을 이용하여 약액 및 기체를 현상 챔버의 외부로 배출할 수 있다. The exhaust member 466 (not shown) exhausts or sucks the chemical liquid and the generated gas used in the processing of the wafer W. The exhaust member 466 is installed on the bottom surface of the developing chamber 460. The exhaust member 466 is installed inside the housing 461 when viewed from above. The exhaust member 466 can discharge the chemical liquid and the gas out of the developing chamber using the vacuum pressure provided by the vacuum pump.

기류 공급 부재(490)는 배기 부재(466)로 인해 현상 챔버의 내부 압력을 유지하고자 현상 챔버의 내부 압력을 조절한다. 도 7은 도 2의 현상 챔버의 상부 영역을 보여주는 단면도이다. 도 7을 참조하면, 기류 공급 부재(490)는 팬 필터476) 유닛(470) 및 타공 플레이트(480)를 가진다. 팬 필터476) 유닛(470)은 현상 챔버의 내부에 청정공기를 송풍한다. 팬 필터476) 유닛(470)은 송풍팬(472), 필터(476), 지지 부재(474)(474)를 가진다. 송풍팬(472)은 현상 챔버(460)의 상부벽에 설치된다. 송풍팬(472)은 모터에 의해 회전되며, 현상 챔버(460)의 내부에 청정 공기를 하부 방향으로 송풍한다. 송풍팬(472)의 아래에는 필터(476)가 배치된다. 필터476)는 송풍팬(472)으로부터 송풍되는 청정 공기를 여과하여 오염물질을 걸러낸다. 지지 부재(474)는 송풍팬(472)과 필터(476)의 측면을 감싸 송풍팬(472) 및 필터476)를 지지한다. 지지 부재(474), 송풍팬(472), 그리고 필터(476)은 하나의 유닛으로 모듈로 제공될 수 있다.The airflow supply member 490 adjusts the internal pressure of the developing chamber to maintain the internal pressure of the developing chamber by the exhaust member 466. [ Fig. 7 is a cross-sectional view showing the upper region of the developing chamber of Fig. 2; Referring to Fig. 7, the airflow supply member 490 has a fan filter 476) unit 470 and a perforated plate 480. The fan filter 476) unit 470 blows clean air into the interior of the developing chamber. The fan filter 476 unit 470 has a blowing fan 472, a filter 476, and support members 474 and 474. The blowing fan 472 is installed on the upper wall of the developing chamber 460. The blowing fan 472 is rotated by a motor to blow clean air downward into the developing chamber 460. A filter 476 is disposed below the blowing fan 472. Filter 476 filters the clean air blown from the blowing fan 472 to filter out contaminants. The support member 474 supports the side surfaces of the blowing fan 472 and the filter 476 to support the blowing fan 472 and the filter 476. The support member 474, the blowing fan 472, and the filter 476 may be provided as a single module.

타공 플레이트(480)는 팬 필터 유닛(470)으로부터 송풍되는 청정 공기 현상 챔버(460) 내에 와류가 발생하는 사각 지역까지 가이드한다. 도 8은 도7의 타공 플레이트의 실시예를 보여주는 사시도이다. 도 8을 참조하면, 타공 플레이트(480)는 팬 필터 유닛(470)의 하부에 설치된다. 타공 플레이트(480)는 상부가 개방된 원통 형상을 가진다. 타공 플레이트(480)는 필터(476)와 대향되는 저면 및 저면의 가장자리로부터 상부방향으로 연장되고, 현상 챔버(460)의 내측면과 대향되는 측면을 가진다. 상부에서 바라볼 때 타공 플레이트(480)의 저면은 필터(476)와 동일하거나 이보다 큰 크기를 가진다. 타공 플레이트(480)의 측면은 팬 필터 유닛(470)의 지지 부재(474)에 결합된다. 타공 플레이트(480)와 팬 필터 유닛(470) 간에는 버퍼 공간이 제공된다. 타공 플레이트(480)의 저면에는 복수의 하부 홀(482)들이 형성된다. 청정공기는 타공 플레이트(480)의 하부 홀(482)을 통해 수직 기류를 형성한다. 수직 기류는 하부 방향으로 송풍되어 하우징(461)의 내부에 공급된다. 수직 기류는 하우징(461)의 내부에서 발생되는 이물과 함께 배기 부재(466)로 배기된다. 타공 플레이트(480)의 측면에는 복수의 측부 홀(484)들이 형성된다. 측부 홀(484)들은 타공 플레이트(480)의 측면의 원주방향을 따라 링 형상으로 배열된다. 링 형상으로 배열된 측부 홀(484)들은 서로 상이한 높이에 다층으로 제공된다. 청정 공기는 타공 플레이트(480)의 측부 홀(484)을 통해 수평 기류를 형성한다. 수평 기류는 현상 챔버(460) 내에 상부 영역의 가장자리 즉, 현상 챔버(460) 내의 사각지대로 송풍된다. The perforation plate 480 guides to a rectangular area where a vortex occurs in the clean air development chamber 460 blowing from the fan filter unit 470. FIG. 8 is a perspective view showing an embodiment of the perforated plate of FIG. 7; FIG. Referring to FIG. 8, the perforation plate 480 is installed below the fan filter unit 470. The perforated plate 480 has a cylindrical shape with an open top. The perforated plate 480 has a bottom surface facing the filter 476 and a side extending upward from the edge of the bottom surface and facing the inner surface of the development chamber 460. The bottom surface of the perforated plate 480 has the same or larger size than the filter 476 when viewed from above. The side of the perforated plate 480 is coupled to the support member 474 of the fan filter unit 470. A buffer space is provided between the perforator plate 480 and the fan filter unit 470. A plurality of lower holes 482 are formed in the bottom surface of the perforated plate 480. The clean air forms a vertical air flow through the lower hole 482 of the perforated plate 480. The vertical airflow is blown downward and supplied to the inside of the housing 461. The vertical airflow is exhausted to the exhaust member 466 together with the foreign matter generated inside the housing 461. A plurality of side holes 484 are formed in the side surface of the perforated plate 480. The side holes 484 are arranged in a ring shape along the circumferential direction of the side surface of the perforated plate 480. The side holes 484 arranged in a ring shape are provided in multiple layers at different heights. The clean air forms a horizontal airflow through the side holes 484 of the perforated plate 480. The horizontal airflow is blown into the developing chamber 460 at the edge of the upper region, that is, the blind spot in the developing chamber 460.

다시 도7을 참조하면, 현상 챔버(460)의 사각지대에는 와류가 발생하여 현상 챔버(460) 내에 발생되는 오염 물질이 수직 기류가 송풍되는 경로로 유입될 수 있다. 수평 기류는 사각 지대로 송풍되어 와류를 억제하고, 오염물질의 유입을 최소화한다. Referring to FIG. 7 again, a vortex is generated in the blind spot of the developing chamber 460, and contaminants generated in the developing chamber 460 may flow into a path through which the vertical airflow is blown. The horizontal airflow is blown into the blind spot to suppress vortices and minimize the inflow of pollutants.

상술한 본 발명의 실시예에서 타공 플레이트(480)는, 그 측면이 저면에 대해 수직하게 연장되는 형상을 가진 것으로 설명하였다. 그러나 타공 플레이트(480)는 도 9와 같이 그 측면은 상부방향으로 갈수록 지름이 커지는 형상으로 제공될 수 있다.In the embodiment of the present invention described above, the perforated plate 480 is described as having a shape whose side extends perpendicularly to the bottom surface. However, the perforated plate 480 may be provided in such a shape that the side surface of the perforated plate 480 increases in diameter toward the upper direction.

베이크 챔버(470)는 웨이퍼(W)를 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 웨이퍼(W)를 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 웨이퍼(W)를 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 웨이퍼를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다. The bake chamber 470 heat-treats the wafer W. For example, the bake chambers 470 may include a post-bake process for heating the wafer W before the development process is performed, a hard bake process for heating the wafer W after the development process is performed, And a cooling step for cooling the wafer. The bake chamber 470 has a cooling plate 471 or a heating plate 472. The cooling plate 471 is provided with a cooling means 473 such as a cooling water or a thermoelectric element. Or the heating plate 472 is provided with a heating means 474 such as a hot wire or a thermoelectric element. The cooling plate 471 and the heating plate 472 may be provided in one bake chamber 470, respectively. Optionally, some of the bake chambers 470 may have only a cooling plate 471, while the other may have only a heating plate 472. [

상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다. As described above, in the application and development module 400, the application module 401 and the development module 402 are provided to be separated from each other. In addition, the application module 401 and the development module 402 may have the same chamber arrangement as viewed from above.

제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 웨이퍼(W)가 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 웨이퍼(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. The second buffer module 500 is provided as a path through which the wafer W is transferred between the application and development module 400 and the pre- and post-exposure processing module 600. The second buffer module 500 performs a predetermined process on the wafer W such as a cooling process or an edge exposure process. The second buffer module 500 includes a frame 510, a buffer 520, a first cooling chamber 530, a second cooling chamber 540, an edge exposure chamber 550, and a second buffer robot 560 I have. The frame 510 has a rectangular parallelepiped shape. The buffer 520, the first cooling chamber 530, the second cooling chamber 540, the edge exposure chamber 550, and the second buffer robot 560 are located within the frame 510. The buffer 520, the first cooling chamber 530, and the edge exposure chamber 550 are disposed at a height corresponding to the application module 401. The second cooling chamber 540 is disposed at a height corresponding to the development module 402. The buffer 520, the first cooling chamber 530, and the second cooling chamber 540 are sequentially arranged in a row along the third direction 16. The buffer 520 is disposed along the first direction 12 with the transfer chamber 430 of the application module 401. [ The edge exposure chamber 550 is spaced a certain distance in the second direction 14 from the buffer 520 or the first cooling chamber 530.

제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 웨이퍼(W)를 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 웨이퍼(W)를 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 웨이퍼(W)들이 후술하는 전처리 모듈(601)로 운반되기 전에 웨이퍼(W)를 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)이 현상 모듈(402)로 운반되기 전에 웨이퍼들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상 모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다.The second buffer robot 560 carries the wafer W between the buffer 520, the first cooling chamber 530, and the edge exposure chamber 550. A second buffer robot 560 is positioned between the edge exposure chamber 550 and the buffer 520. The second buffer robot 560 may be provided in a structure similar to that of the first buffer robot 360. The first cooling chamber 530 and the edge exposure chamber 550 perform a subsequent process on the wafers W that have been processed in the application module 401. The first cooling chamber 530 cools the wafer W processed in the application module 401. The first cooling chamber 530 has a structure similar to the cooling chamber 350 of the first buffer module 300. The edge exposure chamber 550 exposes its edge to the wafers W that have undergone the cooling process in the first cooling chamber 530. The buffer 520 temporarily stores the wafers W before the wafers W processed in the edge exposure chamber 550 are transferred to the preprocessing module 601 to be described later. The second cooling chamber 540 cools the wafers W before the wafers W processed in the post-processing module 602 described below are conveyed to the developing module 402. The second buffer module 500 may further have a buffer added to the height corresponding to the development module 402. In this case, the wafers W processed in the post-processing module 602 may be temporarily stored in the added buffer and then transferred to the developing module 402. [

노광 전후 처리 모듈(600)은, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 액침 노광시에 웨이퍼(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 웨이퍼(W)를 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다. The pre- and post-exposure processing module 600 can process a process of applying a protective film for protecting the photoresist film applied to the wafer W during liquid immersion exposure when the exposure apparatus 900 performs the liquid immersion exposure process. Further, the pre- and post-exposure processing module 600 may perform a process of cleaning the wafer W after exposure. In addition, when the coating process is performed using the chemically amplified resist, the pre- and post-exposure processing module 600 can process the post-exposure bake process.

노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 웨이퍼(W)를 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 웨이퍼(W)를 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The pre-exposure post-processing module 600 has a pre-processing module 601 and a post-processing module 602. The pre-processing module 601 processes the wafer W before the exposure process, and the post-processing module 602 processes the wafer W after the exposure process. The pre-processing module 601 and the post-processing module 602 are arranged so as to be partitioned into layers with respect to each other. According to one example, the preprocessing module 601 is located on top of the post-processing module 602. The preprocessing module 601 is provided at the same height as the application module 401. The post-processing module 602 is provided at the same height as the developing module 402. The pretreatment module 601 has a protective film application chamber 610, a bake chamber 620, and a transfer chamber 630. The protective film application chamber 610, the transfer chamber 630, and the bake chamber 620 are sequentially disposed along the second direction 14. The protective film application chamber 610 and the bake chamber 620 are positioned apart from each other in the second direction 14 with the transfer chamber 630 therebetween. A plurality of protective film application chambers 610 are provided and are arranged along the third direction 16 to form layers. Alternatively, a plurality of protective film application chambers 610 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of bake chambers 620 are provided and are disposed along the third direction 16 to form layers. Alternatively, a plurality of bake chambers 620 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 웨이퍼(W)를 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다. The transfer chamber 630 is positioned in parallel with the first cooling chamber 530 of the second buffer module 500 in the first direction 12. In the transfer chamber 630, a pre-processing robot 632 is located. The transfer chamber 630 has a generally square or rectangular shape. The preprocessing robot 632 is connected between the protective film application chambers 610, the bake chambers 620, the buffer 520 of the second buffer module 500 and the first buffer 720 of the interface module 700, The wafer W is transferred. The preprocessing robot 632 has a hand 633, an arm 634, and a support 635. The hand 633 is fixed to the arm 634. The arm 634 is provided with a retractable structure and a rotatable structure. The arm 634 is coupled to the support 635 so as to be linearly movable along the support 635 in the third direction 16.

보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 웨이퍼(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 웨이퍼(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 중심 영역으로 보호액을 공급한다. The protective film applying chamber 610 applies a protective film for protecting the resist film on the wafer W during immersion exposure. The protective film application chamber 610 has a housing 611, a support plate 612, and a nozzle 613. The housing 611 has a cup shape with its top opened. The support plate 612 is located in the housing 611 and supports the wafer W. [ The support plate 612 is rotatably provided. The nozzle 613 supplies a protective liquid for forming a protective film onto the wafer W placed on the support plate 612. The nozzle 613 has a circular tube shape and can supply the protective liquid to the center of the wafer W. [ Alternatively, the nozzle 613 may have a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 613 may be provided with a slit. In this case, the support plate 612 may be provided in a fixed state. The protective liquid includes a foamable material. The protective liquid may be a photoresist and a material having a low affinity for water. For example, the protective liquid may contain a fluorine-based solvent. The protective film application chamber 610 supplies the protective liquid to the central region of the wafer W while rotating the wafer W placed on the support plate 612.

베이크 챔버(620)는 보호막이 도포된 웨이퍼(W)를 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다. The bake chamber 620 heat-treats the wafer W coated with the protective film. The bake chamber 620 has a cooling plate 621 or a heating plate 622. The cooling plate 621 is provided with a cooling means 623 such as a cooling water or a thermoelectric element. Or heating plate 622 is provided with a heating means 624, such as a hot wire or a thermoelectric element. The heating plate 622 and the cooling plate 621 may be provided in a single bake chamber 620, respectively. Optionally, some of the bake chambers 620 may have only the heating plate 622, while others may only have the cooling plate 621.

후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The post-processing module 602 has a cleaning chamber 660, a post-exposure bake chamber 670, and a delivery chamber 680. The cleaning chamber 660, the transfer chamber 680, and the post-exposure bake chamber 670 are sequentially disposed along the second direction 14. Accordingly, the cleaning chamber 660 and the post-exposure baking chamber 670 are positioned apart from each other in the second direction 14 with the transfer chamber 680 therebetween. A plurality of cleaning chambers 660 are provided and may be disposed along the third direction 16 to form layers. Alternatively, a plurality of cleaning chambers 660 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of post-exposure bake chambers 670 are provided and may be disposed along the third direction 16 to form layers. Alternatively, a plurality of post-exposure bake chambers 670 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 웨이퍼(W)를 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다. The transfer chamber 680 is positioned in parallel with the second cooling chamber 540 of the second buffer module 500 in the first direction 12 as viewed from above. The transfer chamber 680 has a generally square or rectangular shape. A post processing robot 682 is located in the transfer chamber 680. The post-processing robot 682 is connected to the cleaning chambers 660, post-exposure bake chambers 670, the second cooling chamber 540 of the second buffer module 500, and the second And carries the wafer W between the buffers 730. The postprocessing robot 682 provided in the postprocessing module 602 may be provided with the same structure as the preprocessing robot 632 provided in the preprocessing module 601. [

세정 챔버(660)는 노광 공정 이후에 웨이퍼(W)를 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 웨이퍼(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 웨이퍼(W)가 회전되는 동안 노즐(663)은 웨이퍼(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다. The cleaning chamber 660 cleans the wafer W after the exposure process. The cleaning chamber 660 has a housing 661, a support plate 662, and a nozzle 663. The housing 661 has a cup shape with an open top. The support plate 662 is located in the housing 661 and supports the wafer W. [ The support plate 662 is rotatably provided. The nozzle 663 supplies the cleaning liquid onto the wafer W placed on the support plate 662. As the cleaning liquid, water such as deionized water may be used. The cleaning chamber 660 supplies the cleaning liquid to the central region of the wafer W while rotating the wafer W placed on the support plate 662. The nozzle 663 can linearly or rotationally move from the central region to the edge region of the wafer W while the wafer W is selectively rotated.

노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 웨이퍼(W)를 가열한다. 노광 후 베이크 공정은 웨이퍼(W)를 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다. The post-exposure baking chamber 670 heats the wafer W subjected to the exposure process using deep ultraviolet light. The post-exposure bake step heats the wafer W and amplifies the acid generated in the photoresist by exposure to complete the property change of the photoresist. The post-exposure bake chamber 670 has a heating plate 672. The heating plate 672 is provided with a heating means 674 such as a hot wire or a thermoelectric element. The post-exposure bake chamber 670 may further include a cooling plate 671 therein. The cooling plate 671 is provided with a cooling means 673 such as a cooling water or a thermoelectric element. Further, a bake chamber having only the cooling plate 671 may be further provided.

상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.As described above, the pre-processing module 601 and the post-processing module 602 in the pre-exposure processing module 600 are provided to be completely separated from each other. The transfer chamber 630 of the preprocessing module 601 and the transfer chamber 680 of the postprocessing module 602 are provided in the same size and can be provided so as to completely overlap each other when viewed from above. Further, the protective film application chamber 610 and the cleaning chamber 660 may be provided to have the same size as each other and be provided so as to completely overlap with each other when viewed from above. Further, the bake chamber 620 and the post-exposure bake chamber 670 are provided in the same size, and can be provided so as to completely overlap each other when viewed from above.

인터페이스 모듈(700)은 노광 전후 처리 모듈(600), 및 노광 장치(900) 간에 웨이퍼(W)를 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다. The interface module 700 transfers the wafer W between the exposure pre- and post-processing module 600 and the exposure apparatus 900. The interface module 700 has a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located within the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and are stacked on each other. The first buffer 720 is disposed higher than the second buffer 730. The first buffer 720 is positioned at a height corresponding to the preprocessing module 601 and the second buffer 730 is positioned at a height corresponding to the postprocessing module 602. The first buffer 720 is arranged in a line along the first direction 12 with the transfer chamber 630 of the preprocessing module 601 while the second buffer 730 is arranged in the postprocessing module 602, Are arranged in a line along the first direction 12 with the transfer chamber 630 of the transfer chamber 630. [

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 웨이퍼(W)를 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다.The interface robot 740 is spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 carries the wafer W between the first buffer 720, the second buffer 730 and the exposure apparatus 900. The interface robot 740 has a structure substantially similar to that of the second buffer robot 560.

제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 웨이퍼(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 웨이퍼(W)들이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 웨이퍼(W)가 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(4531)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the wafers W processed in the preprocessing module 601 before they are transferred to the exposure apparatus 900. [ The second buffer 730 temporarily stores the processed wafers W in the exposure apparatus 900 before they are transferred to the post-processing module 602. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed within the housing 721 and are provided spaced apart from each other in the third direction 16. One wafer W is placed on each support 722. The housing 721 is movable in the direction in which the interface robot 740 is provided and in the direction in which the interface robot 740 and the preprocessing robot 632 transfer the wafer W to and from the support table 722, 632 are provided with openings (not shown) in the direction in which they are provided. The second buffer 730 has a structure substantially similar to that of the first buffer 720. However, the housing 4531 of the second buffer 730 has an opening (not shown) in the direction in which the interface robot 740 is provided and in a direction in which the postprocessing robot 682 is provided. The interface module may be provided with only buffers and robots as described above without providing a chamber to perform a predetermined process on the wafer.

다음에는 상술한 기판 처리 설비(1)를 이용하여 공정을 수행하는 일 예를 설명한다.Next, an example of performing the process using the above-described substrate processing apparatus 1 will be described.

웨이퍼들(W)이 수납된 카세트(20)는 로드 포트(100)의 재치대(120)에 놓인다. 도어 오프너에 의해 카세트(20)의 도어가 개방된다. 인덱스 로봇(220)은 카세트(20)로부터 웨이퍼(W)를 꺼내어 제 2 버퍼(330)로 운반한다. The cassette 20 in which the wafers W are accommodated is placed on the mount 120 of the load port 100. [ The door of the cassette 20 is opened by the door opener. The index robot 220 removes the wafer W from the cassette 20 and transfers it to the second buffer 330.

제 1 버퍼 로봇(360)은 제 2 버퍼(330)에 보관된 웨이퍼(W)를 제 1 버퍼(320)로 운반한다. 도포부 로봇(432)은 제 1 버퍼(320)로부터 웨이퍼(W)를 꺼내어 도포 모듈(401)의 베이크 챔버(420)로 운반한다. 베이크 챔버(420)는 프리 베이크 및 냉각 공정을 순차적으로 수행한다. 도포부 로봇(432)은 베이크 챔버(420)로부터 웨이퍼(W)를 꺼내어 레지스트 도포 챔버(410)로 운반한다. 레지스트 도포 챔버(410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다. The first buffer robot 360 carries the wafers W stored in the second buffer 330 to the first buffer 320. The application robot 432 takes the wafer W from the first buffer 320 and transfers the wafer W to the bake chamber 420 of the application module 401. The bake chamber 420 sequentially performs a pre-bake and a cooling process. The application part robot 432 removes the wafer W from the bake chamber 420 and transfers it to the resist application chamber 410. The resist coating chamber 410 applies a photoresist on the wafer W. [

이후 웨이퍼(W) 상에 포토 레지스트가 도포되면, 도포부 로봇(432)은 웨이퍼(W)를 레지스트 도포 챔버(410)로부터 베이크 챔버(420)로 운반한다. 베이크 챔버(420)는 웨이퍼(W)에 대해 소프트 베이크 공정을 수행한다. Thereafter, when the photoresist is applied onto the wafer W, the application part robot 432 carries the wafer W from the resist application chamber 410 to the bake chamber 420. The bake chamber 420 performs a soft bake process on the wafer W. [

도포부 로봇(432)은 베이크 챔버(420)에서 웨이퍼(W)를 꺼내어 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)로 운반한다. 제 1 냉각 챔버(530)에서 웨이퍼(W)에 대해 냉각 공정이 수행된다. 제 1 냉각 챔버(530)에서 공정이 수행된 웨이퍼(W)는 제 2 버퍼 로봇(560)에 의해 에지 노광 챔버(550)로 운반된다. 에지 노광 챔버(550)는 웨이퍼(W)의 가장자리 영역을 노광하는 공정을 수행한다. 에지 노광 챔버(550)에서 공정이 완료된 웨이퍼(W)는 제 2 버퍼 로봇(560)에 의해 버퍼(520)로 운반된다.The applicator robot 432 takes the wafer W out of the bake chamber 420 and transfers it to the first cooling chamber 530 of the second buffer module 500. A cooling process is performed on the wafer W in the first cooling chamber 530. The wafer W processed in the first cooling chamber 530 is transported to the edge exposure chamber 550 by the second buffer robot 560. The edge exposure chamber 550 performs a process of exposing an edge region of the wafer W. [ The wafer W that has been processed in the edge exposure chamber 550 is transferred to the buffer 520 by the second buffer robot 560.

전처리 로봇(632)은 버퍼(520)로부터 웨이퍼(W)를 꺼내어 전처리 모듈(601)의 보호막 도포 챔버(610)로 운반한다. 보호막 도포 챔버(610)는 웨이퍼(W) 상에 보호막을 도포한다. 이후 전처리 로봇(632)은 웨이퍼(W)를 보호막 도포 챔버(610)로부터 베이크 챔버(620)로 운반한다. 베이크 챔버(620)는 웨이퍼(W)에 대해 가열 및 냉각 등과 같은 열처리를 수행한다. The preprocessing robot 632 takes the wafer W from the buffer 520 and transfers the wafer W to the protective film application chamber 610 of the preprocessing module 601. The protective film applying chamber 610 applies a protective film on the wafer W. Thereafter, the pre-processing robot 632 carries the wafer W from the protective film application chamber 610 to the bake chamber 620. The bake chamber 620 performs heat treatment on the wafer W such as heating and cooling.

전처리 로봇(632)은 베이크 챔버(620)에서 웨이퍼(W)를 꺼내어 인터페이스 모듈(700)의 제 1 버퍼(720)로 운반한다. 인터페이스 로봇(740)은 제 1 버퍼(720)로부터 처리 모듈(800)의 반전 유닛(840)으로 웨이퍼를 운반한다. 반전 유닛(840)은 웨이퍼의 제 1 면(패턴 면)이 아래 방향을 향하도록 웨이퍼를 반전시킨다. 반전된 웨이퍼는 스핀 척(810) 상에 로딩되고, 로딩된 웨이퍼는 핀 부재들(811a, 811b)에 의해 척킹된다.The preprocessing robot 632 takes the wafer W out of the bake chamber 620 and transfers it to the first buffer 720 of the interface module 700. The interface robot 740 carries the wafer from the first buffer 720 to the inversion unit 840 of the processing module 800. The inversion unit 840 inverts the wafer so that the first side (pattern side) of the wafer faces downward. The inverted wafer is loaded on the spin chuck 810, and the loaded wafer is chucked by the pin members 811a and 811b.

스핀 척(810)의 지지판(812) 형성된 분사 홀들(852)을 통해 웨이퍼의 제 1 면으로 질소 가스와 같은 불활성 가스가 분사되고, 이후 분사 홀들(852)을 통해 웨이퍼의 제 1 면으로 탈이온수와 같은 린스액이 분사된다. 린스액은 가스와 함께 분사 홀들(852)을 통해 웨이퍼의 제 1 면에 분사될 수도 있다. 웨이퍼의 제 1 면으로의 가스 및/또는 린스액의 분사시, 스핀 척(810)은 회전될 수 있으며, 이와 달리 회전되지 않을 수도 있다. 그리고, 린스액 분사 유닛(860)은 웨이퍼의 제 2 면에 린스액을 분사한다.An inert gas such as nitrogen gas is injected onto the first surface of the wafer through the injection holes 852 formed in the support plate 812 of the spin chuck 810 and then is injected into the first surface of the wafer through the injection holes 852 with deionized water The rinsing liquid is sprayed. The rinse liquid may be sprayed onto the first side of the wafer through the injection holes 852 with the gas. Upon injection of the gas and / or rinse liquid to the first side of the wafer, the spin chuck 810 may be rotated and otherwise not rotated. Then, the rinse liquid spray unit 860 sprays rinsing liquid onto the second surface of the wafer.

이후 웨이퍼는 인터페이스 로봇(740)에 의해 처리 모듈(800)로부터 제 1 버퍼(720)로 운반된 후, 제 1 버퍼(720)로부터 노광 장치(900)로 운반된다. 노광 장치(900)는 웨이퍼의 제 1 면에 대해 노광 공정, 예를 들어 액침 노광 공정을 수행한다. 노광 장치(900)에서 웨이퍼(W)에 대해 노광 공정이 완료되면, 인터페이스 로봇(740)은 노광 장치(900)에서 웨이퍼(W)를 제 2 버퍼(730)로 운반한다. The wafer is then transferred from the processing module 800 to the first buffer 720 by the interface robot 740 and then transferred from the first buffer 720 to the exposure apparatus 900. The exposure apparatus 900 performs an exposure process, for example, a liquid immersion exposure process, on the first surface of the wafer. The interface robot 740 carries the wafer W from the exposure apparatus 900 to the second buffer 730 when the exposure process for the wafer W in the exposure apparatus 900 is completed.

후처리 로봇(682)은 제 2 버퍼(730)로부터 웨이퍼(W)를 꺼내어 후처리 모듈(602)의 세정 챔버(660)로 운반한다. 세정 챔버(660)는 웨이퍼(W)의 표면에 세정액을 공급하여 세정 공정을 수행한다. 세정액을 이용한 웨이퍼(W)의 세정이 완료되면 후처리 로봇(682)은 곧바로 세정 챔버(660)로부터 웨이퍼(W)를 꺼내어 노광 후 베이크 챔버(670)로 웨이퍼(W)를 운반한다. 노광 후 베이크 챔버(670)의 가열 플레이트(672)에서 웨이퍼(W)의 가열에 의해 웨이퍼(W) 상에 부착된 세정액이 제거되고, 이와 동시에 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화가 완성된다. 후처리 로봇(682)은 노광 후 베이크 챔버(670)로부터 웨이퍼(W)를 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)로 운반한다. 제 2 냉각 챔버(540)에서 웨이퍼(W)의 냉각이 수행된다.The postprocessing robot 682 takes the wafer W from the second buffer 730 and transfers it to the cleaning chamber 660 of the postprocessing module 602. The cleaning chamber 660 supplies a cleaning liquid to the surface of the wafer W to perform a cleaning process. When the cleaning of the wafer W using the cleaning liquid is completed, the postprocessing robot 682 immediately removes the wafer W from the cleaning chamber 660 and transports the wafer W to the post-exposure bake chamber 670. The cleaning liquid adhered on the wafer W is removed by heating the wafer W on the heating plate 672 of the post-exposure bake chamber 670. At the same time, the acid generated in the photoresist is amplified, The property change of the resist is completed. The postprocessing robot 682 carries the wafer W from the post-exposure bake chamber 670 to the second cooling chamber 540 of the second buffer module 500. The cooling of the wafer W in the second cooling chamber 540 is performed.

현상부 로봇(482)은 제 2 냉각 챔버(540)로부터 웨이퍼(W)를 꺼내어 현상 모듈(402)의 베이크 챔버(470)로 운반한다. 베이크 챔버(470)는 포스트 베이크 및 냉각 공정을 순차적으로 수행한다. 현상부 로봇(482)은 베이크 챔버(470)로부터 웨이퍼(W)를 꺼내어 현상 챔버(460)로 운반한다. 현상 챔버(460)는 웨이퍼(W) 상에 현상액을 공급하여 현상 공정을 수행한다. 이후 현상부 로봇(482)은 웨이퍼(W)를 현상 챔버(460)로부터 베이크 챔버(470)로 운반한다. 베이크 챔버(470)는 웨이퍼(W)에 대해 하드 베이크 공정을 수행한다. The developing robot 482 takes the wafer W from the second cooling chamber 540 and transfers it to the bake chamber 470 of the developing module 402. [ The bake chamber 470 sequentially performs post bake and cooling processes. The developing robot 482 takes the wafer W from the bake chamber 470 and transfers it to the developing chamber 460. [ The developing chamber 460 supplies a developing solution on the wafer W to perform a developing process. The developing robot 482 then transfers the wafer W from the developing chamber 460 to the bake chamber 470. [ The bake chamber 470 performs a hard bake process on the wafer W. [

현상부 로봇(482)은 베이크 챔버(470)에서 웨이퍼(W)를 꺼내어 제 1 버퍼 모듈(300)의 냉각 챔버(350)로 운반한다. 냉각 챔버(350)는 웨이퍼(W)를 냉각하는 공정을 수행한다. 인덱스 로봇(360)은 냉각 챔버(350)부터 웨이퍼(W)를 카세트(20)로 운반한다. 이와 달리, 현상부 로봇(482)는 베이크 챔버(470)에서 웨이퍼(W)를 꺼내 제 1 버퍼 모듈(300)의 제 2 버퍼(330)으로 운반하고, 이후 인덱스 로봇(360)에 의해 카세트(20)로 운반될 수 있다.The developing robot 482 removes the wafer W from the bake chamber 470 and transfers the wafer W to the cooling chamber 350 of the first buffer module 300. [ The cooling chamber 350 performs a process of cooling the wafer W. [ The index robot 360 carries the wafers W from the cooling chamber 350 to the cassette 20. The development robot 482 removes the wafer W from the bake chamber 470 and transports the wafer W to the second buffer 330 of the first buffer module 300 and then transfers the wafer W to the cassette 20). ≪ / RTI >

다음은 상술한 기판처리설비(1)의 다양한 변형 예들을 예시한다. The following illustrates various modifications of the substrate processing apparatus 1 described above.

도포 및 현상 모듈(400)은 서로 층으로 구획된 도포 모듈(401)과 현상 모듈(402) 대신 하나의 모듈만을 구비할 수 있다. 이 경우, 하나의 모듈 내에 도포 챔버, 현상 챔버, 베이크 챔버, 그리고 반송 챔버들이 제공될 수 있다. 이 경우, 제 1 버퍼 모듈(300)에 제 1 버퍼(320), 제 1 버퍼 로봇(360)은 제공되지 않을 수 있다.The application and development module 400 may include only one module instead of the application module 401 and the development module 402 that are divided into layers. In this case, the application chamber, the development chamber, the bake chamber, and the transfer chambers may be provided in one module. In this case, the first buffer 320 and the first buffer robot 360 may not be provided in the first buffer module 300.

또한, 제 2 버퍼 모듈(500)은 제공되지 않고, 노광 전후 처리 모듈(600)과 도포 및 현상 모듈(400)이 인접하게 배치될 수 있다.Also, the second buffer module 500 is not provided, and the pre-exposure processing module 600 and the application and development module 400 can be disposed adjacent to each other.

또한, 노광 전후 처리 모듈(600)은 서로 층으로 구획된 전처리 모듈(601)과 후처리 모듈(602) 대신 하나의 모듈만을 구비할 수 있다. 이 경우, 하나의 모듈 내에, 보호막 도포 챔버(610), 베이크 챔버(620), 세정 챔버(660), 노광 후 베이크 챔버(670)가 모두 제공될 수 있다.In addition, the pre- and post-exposure processing module 600 may include only one module instead of the preprocessing module 601 and the post-processing module 602, which are divided into layers. In this case, a protective film application chamber 610, a bake chamber 620, a cleaning chamber 660, and a post-exposure bake chamber 670 may all be provided in one module.

또한, 세정 챔버(660)에는 세정액을 공급하는 노즐 이외에 추가적으로 건조 가스를 공급하는 노즐을 더 제공될 수 있다. 이 경우, 노광 후 베이크 챔버(670)에서 웨이퍼(W)의 가열이 이루어지기 전에 웨이퍼(W) 상에 부착된 세정액을 제거할 수 있다. Further, the cleaning chamber 660 may be further provided with a nozzle for supplying a drying gas in addition to the nozzle for supplying the cleaning liquid. In this case, the cleaning liquid adhering to the wafer W can be removed before heating the wafer W in the post-exposure bake chamber 670. [

또한, 노광 장치(900)가 액침 노광 방식 이외의 방식으로 공정을 수행하는 경우, 노광 전후 처리 모듈(600)은 제공되지 않을 수 있다.Further, when the exposure apparatus 900 performs the process in a manner other than the liquid immersion exposure method, the pre-exposure processing module 600 may not be provided.

또한, 에지 노광 챔버(550)는 인터페이스 모듈(700)에 제공될 수 있다. 또한, 에지 노광 공정은 웨이퍼 상에 보호막을 도포하는 공정 이후에 수행되거나, 노광 공정과 웨이퍼를 세정하는 공정 사이에 수행되거나, 노광 후 베이크 공정과 현상 공정 사이에 수행될 수 있다.In addition, the edge exposure chamber 550 may be provided in the interface module 700. Further, the edge exposure process may be performed after the process of applying the protective film on the wafer, between the exposure process and cleaning the wafer, or between the post-exposure bake process and the development process.

상술한 본 발명의 실시예에서는 현상 챔버(460)의 내부에 2 개의 하우징이 배치되는 것으로 설명하였다. 그러나 현상 챔버(460)에는 1 개 또는 3 개 이상의 하우징(461)이 배치될 수 있다.In the embodiment of the present invention described above, two housings are disposed in the interior of the developing chamber 460. However, one or more housings 461 may be disposed in the developing chamber 460.

또한 본 발명의 실시예에서는 기류 공급 부재(490)가 현상챔버(460)에 설치되는 것으로 설명하였다. 그러나 기류 공급 부재(490)는 포토 리스그래피 공정이 수행되는 레지스트 도포 챔버(410)뿐 아니라 세정 공정, 습식 식각, 그리고 애싱 등 다양한 공정을 수행하는 챔버에 적용될 수 있다.Further, in the embodiment of the present invention, it is described that the airflow supply member 490 is installed in the developing chamber 460. However, the airflow supply member 490 may be applied to a chamber that performs various processes such as a cleaning process, a wet etching process and an ashing process, as well as the resist application chamber 410 where the photolithography process is performed.

470: 팬 필터 유닛 480: 타공 플레이트
482: 하부 홀 484: 측부 홀
490: 기류 공급 부재
470: Fan filter unit 480: Perforated plate
482: Lower hole 484: Side hole
490: Air supply member

Claims (4)

챔버와;
상기 챔버 내에 배치되고, 상부가 개방된 하우징과;
상기 하우징 내에 배치되고, 기판이 놓여지는 지지 플레이트와;
상기 하우징의 상부에 배치되어 상기 챔버 내에 기류를 제공하는 기류 공급 부재를 포함하되;
상기 기류 공급 부재는,
팬 필터 유닛과;
상기 팬 필터 유닛의 하부에 설치되는 타공 플레이트를 포함하되;
상기 타공 플레이트는,
상기 팬 필터 유닛과 대향되고, 복수의 하부 홀들이 형성되는 저면과;
상기 저면의 가장자리로부터 상부방향으로 연장되고, 복수의 측부 홀들이 형성되는 측부면을 포함하며,
상기 타공 플레이트와 상기 팬 필터 유닛 사이에는 버퍼 공간이 제공되고,
상기 측부 홀들은 상기 측부면의 원주방향을 따라 링 형상으로 배열되고,
상기 측부면은 상기 팬 필터 유닛에 결합되고,
상기 팬 필터 유닛과 상기 타공 플레이트에 의해 감싸진 공간이 상기 버퍼 공간으로 제공되는 것을 특징으로 하는 기판처리장치.
A chamber;
A housing disposed within the chamber and having an open top;
A support plate disposed within the housing and on which the substrate is placed;
And an airflow supply member disposed at an upper portion of the housing to provide an airflow in the chamber;
The airflow supply member
A fan filter unit;
And a perforated plate installed at a lower portion of the fan filter unit;
Wherein the perforation plate comprises:
A bottom surface opposed to the fan filter unit and having a plurality of lower holes formed therein;
And a side surface extending in an upper direction from an edge of the bottom surface and having a plurality of side holes formed therein,
A buffer space is provided between the perforation plate and the fan filter unit,
The side holes are arranged in a ring shape along the circumferential direction of the side surface,
The side surface being coupled to the fan filter unit,
Wherein a space surrounded by the fan filter unit and the perforated plate is provided in the buffer space.
삭제delete 삭제delete 제1항에 있어서,
상기 측부 홀들은 서로 상이한 높이의 다층으로 제공되는 것을 특징으로 하는 기판처리장치.
The method according to claim 1,
Wherein the side holes are provided in multiple layers of different heights.
KR1020110088486A 2011-09-01 2011-09-01 Apparatus for treating substrate KR101895410B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110088486A KR101895410B1 (en) 2011-09-01 2011-09-01 Apparatus for treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110088486A KR101895410B1 (en) 2011-09-01 2011-09-01 Apparatus for treating substrate

Publications (2)

Publication Number Publication Date
KR20130025133A KR20130025133A (en) 2013-03-11
KR101895410B1 true KR101895410B1 (en) 2018-09-05

Family

ID=48176908

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110088486A KR101895410B1 (en) 2011-09-01 2011-09-01 Apparatus for treating substrate

Country Status (1)

Country Link
KR (1) KR101895410B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102371453B1 (en) * 2014-11-05 2022-03-08 세메스 주식회사 Apparatus for treating substrate and method for removing static electricity
KR102564512B1 (en) * 2020-12-28 2023-08-07 세메스 주식회사 Apparatuse for precossing substrate and apparatus for processing substrate
KR20220131680A (en) * 2021-03-22 2022-09-29 세메스 주식회사 Apparatus for treating substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003264219A (en) 2002-03-11 2003-09-19 Sony Corp Local cleaning device
KR100712728B1 (en) 2006-03-07 2007-05-04 주식회사 아토 A cleaning device of gas separation type showerhead

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100698504B1 (en) * 2000-08-02 2007-03-21 에이에스엠지니텍코리아 주식회사 Chemical vapor deposition equipment
KR101010312B1 (en) 2008-10-28 2011-01-25 세메스 주식회사 Single type substrate treating apparatus and method for controlling presure of substrate treating apparatus
KR20100059457A (en) * 2008-11-26 2010-06-04 세메스 주식회사 Single type substrate treating apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003264219A (en) 2002-03-11 2003-09-19 Sony Corp Local cleaning device
KR100712728B1 (en) 2006-03-07 2007-05-04 주식회사 아토 A cleaning device of gas separation type showerhead

Also Published As

Publication number Publication date
KR20130025133A (en) 2013-03-11

Similar Documents

Publication Publication Date Title
KR102359530B1 (en) Method and Apparatus for treating substrate, and Method for cleaning cup
KR101736441B1 (en) Apparatus for treating substrate And method for cleaning guide plate
KR101842118B1 (en) Method and Apparatus for treating substrate
KR101689619B1 (en) Apparatus for treating substrate and System for treating substrate with the apparatus
KR101895410B1 (en) Apparatus for treating substrate
KR101654621B1 (en) Apparatus and Method for treating substrate
KR20150076818A (en) Apparatus for treating substrate
KR20180130864A (en) Apparatus and Method for treating a substrate
KR102343636B1 (en) Apparatus for treating substrate
KR101884854B1 (en) Apparatus and Method for treating substrate
KR102000023B1 (en) Substrate treating apparatus
KR20150078629A (en) Apparatus for treating substrate
KR20140102366A (en) Apparatus for transferring substrate
KR101914482B1 (en) Substrate treating apparatus and substrate treating method
KR101935943B1 (en) Substrate treating apparatus and cleaning method for substrate treating apparatus
KR101909184B1 (en) Substrate treating apparatus
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR101721148B1 (en) Nozzle, Apparatus for treating substrate and method for applying chemicals
KR102223764B1 (en) Apparatus and Method for treating substrate
KR101895403B1 (en) Transfer robot and Apparatus for treating substrate with the robot
KR102156897B1 (en) Apparatus and Method for treating substrate
KR20180122518A (en) Apparatus for treating a substrate
KR101985755B1 (en) Apparatus and Method for treating a substrate
KR20180061536A (en) Substrate treating apparatus and substrate treating method
KR102467056B1 (en) Apparatus and Method for treating substrate

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant