KR101895404B1 - 기판 처리 장치 및 방법 - Google Patents

기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR101895404B1
KR101895404B1 KR1020150188460A KR20150188460A KR101895404B1 KR 101895404 B1 KR101895404 B1 KR 101895404B1 KR 1020150188460 A KR1020150188460 A KR 1020150188460A KR 20150188460 A KR20150188460 A KR 20150188460A KR 101895404 B1 KR101895404 B1 KR 101895404B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
duct
individual
chambers
Prior art date
Application number
KR1020150188460A
Other languages
English (en)
Other versions
KR20170078185A (ko
Inventor
김치현
강만규
김기훈
김병옥
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020150188460A priority Critical patent/KR101895404B1/ko
Publication of KR20170078185A publication Critical patent/KR20170078185A/ko
Application granted granted Critical
Publication of KR101895404B1 publication Critical patent/KR101895404B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 기판을 처리하는 장치 및 방법을 제공한다. 기판 처리 장치는 내부에 제1처리 공간을 가지는 제1챔버, 내부에 제2처리 공간을 가지는 제2챔버, 그리고 상기 제1처리 공간 및 상기 제2처리 공간 각각을 배기하는 배기 어셈블리를 포함하되, 상기 배기 어셈블리는 상기 제1챔버 및 상기 제2챔버 각각에 연결되는 상기 통합 배기 덕트, 상기 통합 배기 덕트와 상기 제1챔버를 연결하는 제1개별 덕트, 상기 통합 배기 덕트와 상기 제2챔버를 연결하는 제2개별 덕트, 상기 통합 배기 덕트에 설치되며, 상기 통합 배기 덕트에 음압을 제공하는 감압 부재, 상기 제1개별 덕트의 내부 통로의 개방 면적을 제1면적으로 변경하는 제1조절 부재, 그리고 상기 제2개별 덕트의 내부 통로의 개방 면적을 제2면적으로 조절하는 제2조절 부재를 포함하되, 상기 제1면적 및 상기 제2면적은 서로 상이하게 제공된다. 이로 인해 감압 부재로부터 제공되는 감압력은 복수의 챔버들이 균일하게 전달될 수 있다.

Description

기판 처리 장치 및 방법{Apparatus and Method for treating substrate}
본 발명은 기판을 처리하는 장치 및 방법에 관한 것이다.
반도체 소자를 제조하기 위해서는 세정, 증착, 사진, 식각, 그리고 이온주입 등과 같은 다양한 공정이 수행된다. 다양한 공정들은 순서에 맞춰 진행되며, 기판은 그 순서에 맞게 각각의 챔버에 순차적으로 반송된다. 챔버의 내부에는 처리 공간이 제공되며, 이 처리 공간은 일정한 분위기를 유지하기 위해 배기된다.
일반적으로 처리 공간은 배기 어셈블리에 의해 배기되며, 배기 어셈블리는 동일한 공정을 수행하는 복수 개의 챔버들을 각각 배기한다. 도 1은 일반적인 배기 어셈블리를 보여주는 단면도이다. 도 1을 참조하면, 배기 어셈블리(4)는 배기 덕트(6) 및 감압 부재(8)를 포함한다. 배기 덕트(6)는 챔버들(2)에 각각 병렬 연결되며, 감압 부재(8)는 배기 덕트(6)를 감압한다. 이에 따라 챔버(2)의 내부 분위기는 배기 덕트를 통해 배기된다.
그러나 감압 부재(8)와 각각의 챔버(2) 간에 거리는 모두 상이하게 제공된다. 이에 따라 감압 부재(8)와 멀게 위치되는 챔버(2)일수록 감압력은 낮아진다. 이는 복수의 챔버들(2)이 불균일하게 배기되며, 기판을 처리하는 챔버(2)에 따라 상이한 처리 분위기를 가진다. 또한 챔버(2)의 내부 분위기가 기설정된 분위기에 비해 감압력이 과하거나 도달하지 못하고, 이는 공정 불량을 발생될 수 있다.
한국 공개 특허 2013-0009938
본 발명은 복수의 챔버들의 내부 분위기를 균일하게 배기할 수 있는 장치 및 방법을 제공하고자 한다.
본 발명의 실시예는 기판을 처리하는 장치 및 방법을 제공한다. 기판 처리 장치는 내부에 제1처리 공간을 가지는 제1챔버, 내부에 제2처리 공간을 가지는 제2챔버, 그리고 상기 제1처리 공간 및 상기 제2처리 공간 각각을 배기하는 배기 어셈블리를 포함하되, 상기 배기 어셈블리는 상기 제1챔버 및 상기 제2챔버 각각에 연결되는 상기 통합 배기 덕트, 상기 통합 배기 덕트와 상기 제1챔버를 연결하는 제1개별 덕트, 상기 통합 배기 덕트와 상기 제2챔버를 연결하는 제2개별 덕트, 상기 통합 배기 덕트에 설치되며, 상기 통합 배기 덕트에 음압을 제공하는 감압 부재, 상기 제1개별 덕트의 내부 통로의 개방 면적을 제1면적으로 변경하는 제1조절 부재, 그리고 상기 제2개별 덕트의 내부 통로의 개방 면적을 제2면적으로 조절하는 제2조절 부재를 포함하되, 상기 제1면적 및 상기 제2면적은 서로 상이하게 제공된다.
상기 제2개별 덕트는 상기 제1개별 덕트에 비해 상기 감압 부재에 더 멀게 위치되며, 상기 제2면적은 상기 제1면적에 비해 크게 제공될 수 있다. 상기 제1조절 부재는 상기 제1개별 덕트와 상기 통합 배기 덕트 사이에 위치되고, 상기 제2조절 부재는 상기 제2개별 덕트와 상기 통합 배기 덕트 사이에 위치될 수 있다. 상기 제1조절 부재와 상기 제2조절 부재 각각은 상기 제1개별 덕트와 상기 통합 배기 덕트 간에 틈, 그리고 상기 제2개별 덕트와 상기 통합 배기 덕트 간에 틈을 실링하는 실링 부재로 제공될 수 있다. 상기 제1조절 부재 및 상기 제2조절 부재 각각은 링 형상으로 제공되며, 상기 제2조절 부재의 내경은 상기 제1조절 부재에 비해 큰 내경을 가질 수 있다. 상기 기판 처리 장치는 상기 제1챔버 및 상기 제2챔버를 포함하는 제1그룹 및 제3처리 공간을 가지며 복수 개로 제공되는 제3챔버를 포함하는 제2그룹을 더 포함하되, 상기 제2그룹은 상기 제1그룹의 위에 적층되게 위치되고, 상기 제2챔버는 상기 제1챔버의 위에 적층되게 위치되며, 상기 배기 어셈블리는 상기 통합 배기 덕트로부터 분기되는 분기 배기 덕트, 상기 분기 배기 덕트와 상기 제3챔버들 각각을 연결하는 복수 개의 제3개별 덕트들, 그리고 상기 제3개별 덕트들의 내부 통로의 개방 면적을 제3면적으로 변경하는 제3조절 부재를 더 포함하되, 상기 제3면적은 상기 제1면적 및 상기 제2면적보다 크게 제공된다. 상기 기판 처리 장치는 상기 제1처리 공간 및 상기 제2처리 공간 각각에서 기판을 지지하는 지지 플레이트 및 상기 지지 플레이트에 지지된 기판을 가열 처리하도록 상기 지지 플레이트에 제공되는 히터를 더 포함할 수 있다.
복수 개의 챔버들의 내부 분위기를 배기하는 방법으로는, 상기 챔버들에는 감압 부재가 설치된 통합 배기 덕트가 각각 연결되고, 상기 챔버들 각각은 복수의 개별 덕트들에 의해 상기 통합 배기 덕트에 연결되되, 상기 개별 덕트들의 내부 통로의 개방 면적은 서로 상이하게 제공된다.
상기 챔버들 중 어느 일부는 상기 감압 부재와 멀게 위치될수록 상기 챔버에 연결되는 개별 덕트들의 내부 통로의 개방 면적이 커질 수 있다. 상기 챔버들 중 다른 일부에 연결되는 개별 덕트들의 내부 통로의 개방 면적은 동일하되, 상기 다른 일부는 상기 감압 부재와 기설정된 거리 이상으로 멀게 위치될 수 있다. 상기 처리 공간에는 기판을 가열 처리하는 공정이 수행될 수 있다.
본 발명의 실시예에 의하면. 감압 부재와 챔버 간의 이격된 거리에 따라, 챔버와 배기 덕트를 연결하는 개별 덕트의 개방 면적을 변경한다. 이로 인해 감압 부재로부터 제공되는 감압력은 복수의 챔버들이 균일하게 전달될 수 있다.
또한 본 발명의 실시예에 의하면. 감압 부재와 챔버들 간에 이격된 거리가 기설정된 거리 이상이면, 감압 부재로부터 기설정된 거리 이상으로 이격된 챔버들에는 개별 덕트의 개방 면적을 서로 동일하게 제공한다. 이로 인해 기설정된 거리 이상으로 이격된 각각의 챔버들에 감압력을 균일하게 전달할 수 있다.
도 1은 일반적인 배기 어셈블리를 보여주는 단면도이다.
도 2는 기판 처리 설비를 상부에서 바라본 도면이다.
도 3은 도 2의 설비를 A-A 방향에서 바라본 도면이다.
도 4는 도 2의 설비를 B-B 방향에서 바라본 도면이다.
도 5는 도 2의 설비를 C-C 방향에서 바라본 도면이다.
도 6은 도 2의 가열 유닛을 보여주는 단면도이다.
도 7은 도 2의 배기 어셈블리를 보여주는 단면도이다.
도 8은 도 7의 실링 부재를 보여주는 정면도이다.
도 9는 도 7의 실링 부재의 다른 실시예를 보여주는 단면도이다.
이하, 본 발명의 실시 예를 첨부된 도면을 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.
본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용될 수 있다. 특히 본 실시예의 설비는 노광장치에 연결되어 기판에 대해 도포 공정 및 현상 공정을 수행하는 데 사용될 수 있다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.
도 2 내지 도 9는 본 발명의 일 실시예에 따른 기판 처리 설비를 개략적으로 보여주는 도면이다. 도 2는 기판 처리 설비를 상부에서 바라본 도면이고, 도 3은 도 2의 설비를 A-A 방향에서 바라본 도면이고, 도 4는 도 2의 설비를 B-B 방향에서 바라본 도면이고, 도 5는 도 2의 설비를 C-C 방향에서 바라본 도면이다.
도 2 내지 도 5를 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다.
이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다.
기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다.
이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.
로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 2에서는 4개의 재치대(120)가 제공되었다.
인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.
제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다.
제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다.
제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다.
냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다.
도포 및 현상 모듈(400)은 노광 공정 전에 기판(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 기판(W)을 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401), 현상 모듈(402), 그리고 배기 어셈블리(900)를 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.
도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.
반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 챔버들(420), 레지스트 도포 챔버들(400), 제 1 버퍼 모듈(300)의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(520) 간에 기판(W)을 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.
레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 기판(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(410)에는 포토 레지스트가 도포된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다.
베이크 챔버(420)는 기판(W)을 열처리한다. 베이크 챔버(420)에서는 포토 레지스트를 도포하기 전에 기판(W)의 표면 성질을 변화시키도록 기판을 소정의 온도로 가열하고, 점착제와 같은 처리액막을 형성한다. 또한 포토 레지스트를 기판(W) 상에 도포한 후에 감압 분위기에서 포토 레지스트막을 열처리한다.
베이크 챔버(420)는 냉각 플레이트(422) 및 가열 유닛(421)을 포함한다. 냉각 플레이트(422)는 가열 유닛(421)에 의해 가열 처리된 기판(W)을 냉각 처리한다. 냉각 플레이트(422)는 원형의 판 형상으로 제공된다. 냉각 플레이트(422)의 내부에는 냉각수 또는 열전 소자와 같은 냉각 수단이 제공된다. 예컨대, 냉각 플레이트(422)는 가열된 기판(W)을 상온으로 냉각시킬 수 있다.
가열 유닛(421)은 공정 분위기에서 기판(W)을 가열 처리한다. 공정 분위기는 상압보다 낮은 감압 분위기일 수 있다. 도 6은 도 2의 가열 유닛을 보여주는 단면도이다. 도 6을 참조하면, 가열 유닛(800)은 하우징(810), 지지 플레이트(820), 그리고 히터(830)를 포함한다.
하우징(810)은 냉각 플레이트(422)의 일측에 위치된다. 하우징(810)은 내부에 기판(W)의 가열 처리하는 처리 공간(812)을 제공한다. 처리 공간(812)은 외부와 차단된 공간으로 제공된다. 하우징(810)의 바닥면에는 배기홀(814)이 형성된다. 배기홀(814)에는 배기 어셈블리(900)가 연결된다.
지지 플레이트(820)는 처리 공간에 위치된다. 지지 플레이트(820)는 원형의 판 형상으로 제공된다. 지지 플레이트(820)의 상면은 기판(W)이 안착되는 영역으로 제공된다. 지지 플레이트(820)의 상면에는 복수 개의 핀 홀들(미도시)이 형성된다. 각각의 핀 홀은 지지 플레이트(820)의 원주 방향을 따라 이격되게 위치된다. 핀 홀들은 서로 간에 동일 간격으로 이격되게 위치된다. 각각의 핀 홀에는 리프트핀(미도시)이 제공된다. 리프트핀(미도시)은 상하 방향으로 이동하도록 제공된다. 예컨대, 핀 홀들은 3 개로 제공될 수 있다.
히터(830)는 지지 플레이트(820)에 놓인 기판(W)을 기설정 온도로 가열한다. 히터(830)는 복수 개로 제공되며, 지지 플레이트(820)에서 서로 상이한 영역에 위치된다. 각각의 히터(830)는 동일 평면 상에 위치된다. 각각의 히터(830)는 지지 플레이트(820)의 서로 상이한 영역을 가열한다. 각 히터(830)에 대응되는 지지 플레이트(820)의 영역들은 히팅존들로 제공된다. 예컨대 히팅존들은 15개 일 수 있다. 예컨대, 히터(830)는 열전 소자 또는 열선일 수 있다.
다시 도 2 내지 도 5를 참조하면, 현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다.
반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 챔버들(470), 현상 챔버들(460), 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540) 간에 기판(W)을 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.
현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다.
현상 챔버(460)는 용기(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 용기(461)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 용기(461) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다.
베이크 챔버(470)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 기판(W)을 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 기판(W)을 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 웨이퍼를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다. 현상 모듈(402)의 베이크 챔버(470)는 도포 모듈(401)의 베이크 챔버(420)와 동일한 구성을 가지므로, 이에 대한 상세한 설명은 생략한다.
상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다.
배기 어셈블리(900)는 도포 모듈(401)의 베이크 챔버 및 현상 모듈(402)의 베이크 챔버(420,470)를 각각 배기한다. 배기 어셈블리(900)에 대해 설명하기 앞서, 현상 모듈(402)을 도포 모듈(401)의 아래에 적층된 제1그룹(910)으로 정의하고, 도포 모듈(401)을 현상 모듈(402)의 위에 적층된 제2그룹(920)으로 정의하고, 또한 제1그룹(910)의 적층된 2 개의 베이크 챔버(420)를 제1챔버(912) 및 제2챔버(914)로 정의하고, 제2그룹(920)의 적층된 복수 개의 베이크 챔버(470)를 제3챔버들(922)로 정의한다. 제1챔버(912), 제2챔버(914), 그리고 복수 개의 제3챔버들(922)은 아래에서 위를 향하는 방향으로 순차적으로 적층되게 위치될 수 있다.
도 7은 도 2의 배기 어셈블리를 보여주는 단면도이다. 도 7을 참조하면, 배기 어셈블리(900)는 통합 배기 덕트(930), 분기 배기 덕트(940), 감압 부재(950), 연결 부재(960), 그리고 실링 부재(970)를 포함한다. 통합 배기 덕트(930)는 제1챔버(912) 및 제2챔버(914)에 병렬 연결된다. 감압 부재(950)는 통합 배기 덕트(930)를 감압한다. 감압 부재(950)는 통합 배기 덕트(930)의 끝단에 가깝게 설치된다. 감압 부재(950)는 통합 배기 덕트(930)에서 배기 방향에 대해 분기 배기 덕트(940)의 분기점보다 하류에 위치된다. 분기 배기 덕트(940)는 통합 배기 덕트(930)로부터 분기된다. 분기 배기 덕트(940)는 복수 개의 제3챔버들(922)에 병렬 연결된다. 이에 따라 제1챔버(912) 및 제2챔버(914)는 통합 배기 덕트(930)를 통해 감압되고, 복수 개의 제3챔버들(922)은 분기 배기 덕트(940)를 통해 감압된다. 일 예에 의하면, 감압 부재(950)는 제2그룹(920)에 비해 제1그룹(910)에 가깝게 위치될 수 있다.
연결 부재(960)는 각 챔버(912,914,922)를 통합 배기 덕트(930) 및 분기 배기 덕트(940)에 연결한다. 감압 부재(950)로부터 제공된 감압력은 연결 부재(960)에 의해 각 챔버로 전달된다. 각 챔버(912,914,922)의 내부 분위기는 연결 부재(960)를 통해 통합 배기 덕트(930) 및 분기 배기 덕트(940)로 배기된다. 연결 부재(960)는 제1챔버(912) 및 제2챔버(914)를 통합 배기 덕트(930)에 연결하고, 복수 개의 제3챔버(922) 들을 분기 배기 덕트(940)에 연결한다. 연결 부재(960)는 복수 개의 개별 덕트를 포함한다. 개별 덕트들(962,964,966)은 챔버(912,914,922)의 개수와 일대일 대응되는 개수로 제공된다. 각각의 개별 덕트(962,964,966)는 동일한 형상을 가진다. 각각의 개별 덕트(962,964,966)에는 내부 통로가 형성되며, 챔버(912,914,922)의 내부 분위기는 내부 통로를 통해 각 배기 덕트(930,940)로 배기된다. 일 예에 의하면, 연결 부재(960)는 제1개별 덕트(962), 제2개별 덕트(964), 그리고 제3개별 덕트(966)를 포함한다. 제1개별 덕트(962) 및 제2개별 덕트(964)는 제1모듈을 통합 배기 덕트(930)에 연결한다. 제1개별 덕트(962)는 제1챔버(912)와 통합 배기 덕트(930)를 연결하고, 제2개별 덕트(964)는 제2챔버(914)와 통합 배기 덕트(930)를 연결한다. 제3개별 덕트(966)는 제2그룹(920)을 분기 배기 덕트(940)에 연결한다. 각각의 제3개별 덕트(966)는 제3챔버들(922)을 분기 배기 덕트(940)에 각각 연결한다.
실링 부재(970)는 각 개별 덕트(962,964,966)와 각 배기 덕트(930,940) 간에 틈을 실링한다. 실링 부재(970)는 각 개별 덕트(962,964,966)와 각 배기 덕트(930,940) 사이에 위치된다. 실링 부재(970)는 탄성을 포함하는 재질로 제공될 수 있다.
또한 실링 부재(970)는 각 개별 덕트(962,964,966)의 내부 통로의 개방 면적을 변경한다. 실링 부재(970)는 각 챔버 내에 동일한 감압력이 전달되도록 그 개방 면적을 조절한다. 실링 부재(970)는 제1그룹(910)의 내부 통로와 제2그룹(920)의 내부 통로 간에 개방 면적이 서로 상이하도록 그 개방 면적을 변경한다. 일 예에 의하면, 실링 부재(970)는 제1그룹(910)의 내부 통로 개방 면적보다 제2그룹(920)의 내부 통로 개방 면적이 더 크도록 개방 면적을 변경할 수 있다.
도 8은 도 7의 실링 부재를 보여주는 정면도이다. 도 8을 참조하면, 실링 부재(970)은 복수 개의 조절 부재를 포함한다. 조절 부재는 개별 덕트과 일대일 대응되는 개수로 제공된다. 조절 부재들은 링 형상을 가지도록 제공된다. 각각의 조절 부재는 위치에 따라 내경의 크기가 상이하게 제공될 수 있다. 상술한 개방 면적은 각 조절 부재의 내경의 크기로 제공될 수 있다. 일 예에 의하면, 실링 부재(970)는 제1조절 부재(972), 제2조절 부재(974), 그리고 복수 개의 제3조절 부재(976)를 포함한다. 제1조절 부재(972)는 제1개별 덕트(962)과 통합 배기 덕트(930) 사이에 위치되고, 제2조절 부재(974)는 제2개별 덕트(964)과 통합 배기 덕트(930) 사이에 위치된다. 제1조절 부재(972)는 제1개별 덕트(962)의 개방 면적을 제1면적(D1)으로 변경하고, 제2조절 부재(974)는 제2개별 덕트(964)의 개방 면적을 제2면적(D2)으로 변경한다. 일 예에 의하면, 제2개별 덕트(964)은 감압 부재(950)에 대해 제1개별 덕트(962)보다 멀게 위치될 수 있다. 제2조절 부재(974)는 제1조절 부재(972)보다 큰 내경을 가질 수 있다. 이에 따라 제2면적(D2)은 제1면적(D1)에 비해 크게 제공될 수 있다.
제3조절 부재(976)는 제3개별 덕트들(966)과 분기 배기 덕트(940) 사이에 각각 위치된다. 제3조절 부재(976)는 제3개별 덕트(966)의 개방 면적을 제3면적(D3)으로 변경한다. 일 예에 의하면, 제3조절 부재들(976)은 서로 동일한 형상으로 제공될 수 있다. 이에 따라 복수 개의 제3개별 덕트들(966)은 제3면적(D3)의 개방 면적을 가질 수 있다. 제3면적(D3)은 제2면적(D2)보다 크게 제공될 수 있다. 이는 제3챔버와 감압 부재 간에는 거리는 제1챔버(912)와 감압 부재(950) 간에 거리 또는 제2챔버(914)와 감압 부재(950) 간에 거리에 비해 멀다. 이에 따라 제3챔버들(922)에 전달되는 감압력은 제1챔버(912) 및 제2챔버(912)에 비해 적다. 따라서 제3면적(D3)을 제1면적(D1) 및 제2면적(D2)보다 크게 제공되어 제3챔버(922) 내의 전달되는 감압력을 제1챔버(912) 및 제2챔버(914) 내에 전달되는 감압력과 동일하게 조절할 수 있다.
또한 제3챔버들(922)은 감압 부재(950)에 이격된 거리가 기설정된 거리를 벗어나게 위치된다. 이로 인해 제3챔버들(922)에 전달되는 감압력들의 차이가 매우 적다. 이에 따라 제2그룹(920)의 챔버들(922)에는 동일한 내경을 가지는 제3조절 부재(976)가 제공될 수 있다. 선택적으로, 제3면적(D3)은 제3챔버들(922) 및 제3개별 덕트(966)의 위치에 따라 서로 상이하게 제공될 수 있다.
상술한 배기 어셈블리(900)에 의하면, 제1그룹(910)에 제공된 챔버에는 감압 부재(950)와 이격된 거리에 따라 개방 면적을 크게 제공하고, 제2그룹(920)에 제공된 챔버에는 감압 부재(950)와 이격된 거리와 상관없이 개방 면적이 동일하게 제공한다. 이에 따라 각 챔버에는 동일한 감압력이 전달되며, 그 챔버들의 내부 분위기를 동일하게 유지할 수 있다.
상술한 실시예에는 실링 부재(970)가 개별 덕트(962,964,966)와 배기 덕트(930,940) 사이에 위치되는 것으로 설명하였다. 그러나 도 9와 같이 실링 부재(970)는 개별 덕트(962,964,966) 내에 위치되어 각 개별 덕트(962,964,966)의 내부 통로의 개방 면적을 변경할 수 있다.
다시 도 2 내지 도 5를 참조하면, 제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 기판(W)이 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 기판(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다.
제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 기판(W)을 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 기판(W)을 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 기판들(W)이 후술하는 전처리 모듈(601)로 운반되기 전에 기판(W)을 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)이 현상 모듈(402)로 운반되기 전에 웨이퍼들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상 모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다.
노광 전후 처리 모듈(600)은, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 액침 노광시에 기판(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 기판(W)을 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다.
노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 기판(W)을 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 기판(W)을 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다.
반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 기판(W)을 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다.
보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 기판(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 기판(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 보호액을 공급한다.
베이크 챔버(620)는 보호막이 도포된 기판(W)을 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다.
후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다.
반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 기판(W)을 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다.
세정 챔버(660)는 노광 공정 이후에 기판(W)을 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 기판(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 기판(W)이 회전되는 동안 노즐(663)은 기판(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다.
노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 기판(W)을 가열한다. 노광 후 베이크 공정은 기판(W)을 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다.
상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.
인터페이스 모듈(700)은 노광 전후 처리 모듈(600), 및 노광 장치(900) 간에 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다.
인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다.
제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 기판들(W)이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판들(W)이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)을 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(4531)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.
다음에는 상술한 기판 처리 설비(1)를 이용하여 공정을 수행하는 일 예를 설명한다.
웨이퍼들(W)이 수납된 카세트(20)는 로드 포트(100)의 재치대(120)에 놓인다. 도어 오프너에 의해 카세트(20)의 도어가 개방된다. 인덱스 로봇(220)은 카세트(20)로부터 기판(W)을 꺼내어 제 2 버퍼(330)로 운반한다.
제 1 버퍼 로봇(360)은 제 2 버퍼(330)에 보관된 기판(W)을 제 1 버퍼(320)로 운반한다. 도포부 로봇(432)은 제 1 버퍼(320)로부터 기판(W)을 꺼내어 도포 모듈(401)의 베이크 챔버(420)로 운반한다. 베이크 챔버(420)는 프리 베이크 및 냉각 공정을 순차적으로 수행한다. 도포부 로봇(432)은 베이크 챔버(420)로부터 기판(W)을 꺼내어 레지스트 도포 챔버(410)로 운반한다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 이후 기판(W) 상에 포토 레지스트가 도포되면, 도포부 로봇(432)은 기판(W)을 레지스트 도포 챔버(410)로부터 베이크 챔버(420)로 운반한다. 베이크 챔버(420)는 기판(W)에 대해 소프트 베이크 공정을 수행한다.
도포부 로봇(432)은 베이크 챔버(420)에서 기판(W)을 꺼내어 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)로 운반한다. 제 1 냉각 챔버(530)에서 기판(W)에 대해 냉각 공정이 수행된다. 제 1 냉각 챔버(530)에서 공정이 수행된 기판(W)은 제 2 버퍼 로봇(560)에 의해 에지 노광 챔버(550)로 운반된다. 에지 노광 챔버(550)는 기판(W)의 가장자리 영역을 노광하는 공정을 수행한다. 에지 노광 챔버(550)에서 공정이 완료된 기판(W)은 제 2 버퍼 로봇(560)에 의해 버퍼(520)로 운반된다.
전처리 로봇(632)은 버퍼(520)로부터 기판(W)을 꺼내어 전처리 모듈(601)의 보호막 도포 챔버(610)로 운반한다. 보호막 도포 챔버(610)는 기판(W) 상에 보호막을 도포한다. 이후 전처리 로봇(632)은 기판(W)을 보호막 도포 챔버(610)로부터 베이크 챔버(620)로 운반한다. 베이크 챔버(620)는 기판(W)에 대해 가열 및 냉각 등과 같은 열처리를 수행한다.
전처리 로봇(632)은 베이크 챔버(620)에서 기판(W)을 꺼내어 인터페이스 모듈(700)의 제 1 버퍼(720)로 운반한다. 인터페이스 로봇(740)은 제 1 버퍼(720)로부터 처리 모듈(800)의 반전 유닛(840)으로 웨이퍼를 운반한다. 반전 유닛(840)은 웨이퍼의 제 1 면(패턴 면)이 아래 방향을 향하도록 웨이퍼를 반전시킨다. 반전된 웨이퍼는 스핀 척(810) 상에 로딩되고, 로딩된 웨이퍼는 핀 부재들(811a, 811b)에 의해 척킹된다.
스핀 척(810)의 지지판(812) 형성된 분사 홀들(852)을 통해 웨이퍼의 제 1 면으로 질소 가스와 같은 불활성 가스가 분사되고, 이후 분사 홀들(852)을 통해 웨이퍼의 제 1 면으로 탈이온수와 같은 린스액이 분사된다. 린스액은 가스와 함께 분사 홀들(852)을 통해 웨이퍼의 제 1 면에 분사될 수도 있다. 웨이퍼의 제 1 면으로의 가스 및/또는 린스액의 분사시, 스핀 척(810)은 회전될 수 있으며, 이와 달리 회전되지 않을 수도 있다. 그리고, 린스액 분사 유닛(860)은 웨이퍼의 제 2 면에 린스액을 분사한다.
이후 웨이퍼는 인터페이스 로봇(740)에 의해 처리 모듈(800)로부터 제 1 버퍼(720)로 운반된 후, 제 1 버퍼(720)로부터 노광 장치(900)로 운반된다. 노광 장치(900)는 웨이퍼의 제 1 면에 대해 노광 공정, 예를 들어 액침 노광 공정을 수행한다. 노광 장치(900)에서 기판(W)에 대해 노광 공정이 완료되면, 인터페이스 로봇(740)은 노광 장치(900)에서 기판(W)을 제 2 버퍼(730)로 운반한다.
후처리 로봇(682)은 제 2 버퍼(730)로부터 기판(W)을 꺼내어 후처리 모듈(602)의 세정 챔버(660)로 운반한다. 세정 챔버(660)는 기판(W)의 표면에 세정액을 공급하여 세정 공정을 수행한다. 세정액을 이용한 기판(W)의 세정이 완료되면 후처리 로봇(682)은 곧바로 세정 챔버(660)로부터 기판(W)을 꺼내어 노광 후 베이크 챔버(670)로 기판(W)을 운반한다. 노광 후 베이크 챔버(670)의 가열 플레이트(672)에서 기판(W)의 가열에 의해 기판(W) 상에 부착된 세정액이 제거되고, 이와 동시에 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화가 완성된다. 후처리 로봇(682)은 노광 후 베이크 챔버(670)로부터 기판(W)을 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)로 운반한다. 제 2 냉각 챔버(540)에서 기판(W)의 냉각이 수행된다.
현상부 로봇(482)은 제 2 냉각 챔버(540)로부터 기판(W)을 꺼내어 현상 모듈(402)의 베이크 챔버(470)로 운반한다. 베이크 챔버(470)는 포스트 베이크 및 냉각 공정을 순차적으로 수행한다. 현상부 로봇(482)은 베이크 챔버(470)로부터 기판(W)을 꺼내어 현상 챔버(460)로 운반한다. 현상 챔버(460)는 기판(W) 상에 현상액을 공급하여 현상 공정을 수행한다. 이후 현상부 로봇(482)은 기판(W)을 현상 챔버(460)로부터 베이크 챔버(470)로 운반한다. 베이크 챔버(470)는 기판(W)에 대해 하드 베이크 공정을 수행한다.
현상부 로봇(482)은 베이크 챔버(470)에서 기판(W)을 꺼내어 제 1 버퍼 모듈(300)의 냉각 챔버(350)로 운반한다. 냉각 챔버(350)는 기판(W)을 냉각하는 공정을 수행한다. 인덱스 로봇(360)은 냉각 챔버(350)부터 기판(W)을 카세트(20)로 운반한다. 이와 달리, 현상부 로봇(482)는 베이크 챔버(470)에서 기판(W)을 꺼내 제 1 버퍼 모듈(300)의 제 2 버퍼(330)으로 운반하고, 이후 인덱스 로봇(360)에 의해 카세트(20)로 운반될 수 있다.
900: 배기 어셈블리 930: 통합 배기 덕트
950: 감압 부재 962: 제1개별 덕트
964: 제2개별 덕트 972: 제1조절 부재
974: 제2조절 부재

Claims (11)

  1. 내부에 제1처리 공간을 가지는 제1챔버 및 내부에 제2처리 공간을 가지는 제2챔버를 포함하는 제1그룹과;
    제3처리 공간을 가지며 복수 개로 제공되는 제3챔버를 포함하는 제2그룹과;
    상기 제1처리 공간, 상기 제2처리 공간, 그리고 상기 제3처리 공간 각각을 배기하는 배기 어셈블리를 포함하되,
    상기 제2그룹은 상기 제1그룹의 위에 적층되게 위치되고,
    상기 제2챔버는 상기 제1챔버의 위에 적층되게 위치되며,
    상기 배기 어셈블리는,
    상기 제1챔버 및 상기 제2챔버 각각에 연결되는 통합 배기 덕트와;
    상기 통합 배기 덕트와 상기 제1챔버를 연결하는 제1개별 덕트와;
    상기 통합 배기 덕트와 상기 제2챔버를 연결하는 제2개별 덕트와;
    상기 통합 배기 덕트에 설치되며, 상기 통합 배기 덕트에 음압을 제공하는 감압 부재와;
    상기 제1개별 덕트의 내부 통로의 개방 면적을 제1면적으로 변경하는 제1조절 부재와;
    상기 제2개별 덕트의 내부 통로의 개방 면적을 제2면적으로 조절하는 제2조절 부재와;
    상기 통합 배기 덕트로부터 분기되는 분기 배기 덕트와;
    상기 분기 배기 덕트와 상기 제3챔버들 각각을 연결하는 복수 개의 제3개별 덕트들과;
    상기 제3개별 덕트들의 내부 통로의 개방 면적을 제3면적으로 변경하는 제3조절 부재를 포함하되,
    상기 제1처리 공간 및 상기 제2처리 공간은 동일한 공정을 수행하는 공간으로 제공되고,
    상기 제1면적 및 상기 제2면적은 서로 상이하게 제공되며,
    상기 제3면적은 상기 제1면적 및 상기 제2면적보다 크게 제공되는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제2개별 덕트는 상기 제1개별 덕트에 비해 상기 감압 부재에 더 멀게 위치되며,
    상기 제2면적은 상기 제1면적에 비해 크게 제공되는 기판 처리 장치.
  3. 제2항에 있어서,
    상기 제1조절 부재는 상기 제1개별 덕트와 상기 통합 배기 덕트 사이에 위치되고,
    상기 제2조절 부재는 상기 제2개별 덕트와 상기 통합 배기 덕트 사이에 위치되는 기판 처리 장치.
  4. 제3항에 있어서,
    상기 제1조절 부재와 상기 제2조절 부재 각각은 상기 제1개별 덕트와 상기 통합 배기 덕트 간에 틈, 그리고 상기 제2개별 덕트와 상기 통합 배기 덕트 간에 틈을 실링하는 실링 부재로 제공되는 기판 처리 장치.
  5. 제4항에 있어서,
    상기 제1조절 부재 및 상기 제2조절 부재 각각은 링 형상으로 제공되며, 상기 제2조절 부재의 내경은 상기 제1조절 부재에 비해 큰 내경을 가지는 기판 처리 장치.
  6. 삭제
  7. 제4항에 있어서,
    상기 기판 처리 장치는,
    상기 제1처리 공간 및 상기 제2처리 공간 각각에서 기판을 지지하는 지지 플레이트와;
    상기 지지 플레이트에 지지된 기판을 가열 처리하도록 상기 지지 플레이트에 제공되는 히터를 더 포함하는 기판 처리 장치.
  8. 복수 개의 챔버들의 내부 분위기를 배기하는 방법에 있어서,
    상기 챔버들에는 감압 부재가 설치된 통합 배기 덕트가 각각 연결되고, 상기 챔버들 각각은 복수의 개별 덕트들에 의해 상기 통합 배기 덕트에 연결되되,
    상기 챔버들 각각에는 내부에 동일한 공정을 수행하는 공간이 형성되고,
    상기 챔버들 중 어느 일부는 상기 감압 부재와 멀게 위치될수록 상기 챔버에 연결되는 개별 덕트들의 내부 통로의 개방 면적이 커지도록 상기 개방 면적이 서로 상이하게 제공되며,
    상기 챔버들 중 다른 일부에 연결되는 개별 덕트들의 내부 통로의 개방 면적은 동일하되,
    상기 다른 일부는 상기 감압 부재와 기설정된 거리 이상으로 멀게 위치되는 기판 처리 방법.
  9. 삭제
  10. 삭제
  11. 제8항에 있어서,
    상기 공간에는 기판을 가열 처리하는 공정이 수행되는 기판 처리 방법.

KR1020150188460A 2015-12-29 2015-12-29 기판 처리 장치 및 방법 KR101895404B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150188460A KR101895404B1 (ko) 2015-12-29 2015-12-29 기판 처리 장치 및 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150188460A KR101895404B1 (ko) 2015-12-29 2015-12-29 기판 처리 장치 및 방법

Publications (2)

Publication Number Publication Date
KR20170078185A KR20170078185A (ko) 2017-07-07
KR101895404B1 true KR101895404B1 (ko) 2018-09-05

Family

ID=59353610

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150188460A KR101895404B1 (ko) 2015-12-29 2015-12-29 기판 처리 장치 및 방법

Country Status (1)

Country Link
KR (1) KR101895404B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102234986B1 (ko) * 2019-10-15 2021-04-01 세메스 주식회사 기판 처리 장치 및 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7036642B2 (ja) * 2018-03-23 2022-03-15 株式会社Screenホールディングス 基板処理装置及びその排気方法
KR102673963B1 (ko) * 2019-01-02 2024-06-12 주식회사 케이씨텍 기판 처리 장치

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000003263A (ko) * 1998-06-26 2000-01-15 윤종용 반도체 베이크 설비
KR20070038257A (ko) * 2005-10-05 2007-04-10 삼성전자주식회사 반도체 제조 설비의 배기 장치
JP5477955B2 (ja) 2010-02-25 2014-04-23 株式会社日立国際電気 熱処理装置および半導体装置の製造方法
CN103370768B (zh) * 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
KR101267884B1 (ko) * 2011-07-29 2013-05-28 세메스 주식회사 기판 처리 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102234986B1 (ko) * 2019-10-15 2021-04-01 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
KR20170078185A (ko) 2017-07-07

Similar Documents

Publication Publication Date Title
KR101605721B1 (ko) 베이크 장치 및 기판 처리 장치
KR101958636B1 (ko) 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
KR101895404B1 (ko) 기판 처리 장치 및 방법
KR102397846B1 (ko) 기판 처리 장치
KR101935945B1 (ko) 기판 처리 장치
KR20190004494A (ko) 기판 처리 장치
KR20160017780A (ko) 기판 처리 장치 및 기판 처리 방법
KR20180001690A (ko) 베이크 장치 및 이를 이용한 기판 처리 방법
KR101935940B1 (ko) 기판 처리 장치 및 방법
KR20160072545A (ko) 기판 처리 장치
KR20190080326A (ko) 기판 처리 장치 및 방법
KR101870655B1 (ko) 기판 처리 장치
KR101909481B1 (ko) 베이크 유닛, 이를 가지는 기판 처리 장치 및 방법
KR101914483B1 (ko) 기판 가열 장치
KR101909183B1 (ko) 기판 처리 장치
KR102534608B1 (ko) 기판 처리 장치 및 배기 방법
KR101885567B1 (ko) 기판 처리 장치
KR101768518B1 (ko) 반송 챔버, 기판 처리 설비, 그리고 기판 반송 방법
KR20210023510A (ko) 기판 처리 장치 및 방법
KR101776018B1 (ko) 기판 가열 방법 및 기판 처리 장치
KR101885101B1 (ko) 기판 처리 장치
KR101870651B1 (ko) 기판 처리 장치
KR20180076410A (ko) 기판 처리 장치
KR102156897B1 (ko) 기판처리장치 및 방법
KR20180124199A (ko) 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant