KR101741272B1 - Methods and arrangements for in-situ process monitoring and control for plasma processing tools - Google Patents

Methods and arrangements for in-situ process monitoring and control for plasma processing tools Download PDF

Info

Publication number
KR101741272B1
KR101741272B1 KR1020117031574A KR20117031574A KR101741272B1 KR 101741272 B1 KR101741272 B1 KR 101741272B1 KR 1020117031574 A KR1020117031574 A KR 1020117031574A KR 20117031574 A KR20117031574 A KR 20117031574A KR 101741272 B1 KR101741272 B1 KR 101741272B1
Authority
KR
South Korea
Prior art keywords
sensors
recipe
data
virtual
control
Prior art date
Application number
KR1020117031574A
Other languages
Korean (ko)
Other versions
KR20120037420A (en
Inventor
비자야쿠마르 씨 베누고팔
닐 마틴 폴 벤자민
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/555,674 external-priority patent/US8983631B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120037420A publication Critical patent/KR20120037420A/en
Application granted granted Critical
Publication of KR101741272B1 publication Critical patent/KR101741272B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Combined Controls Of Internal Combustion Engines (AREA)
  • Arrangements For Transmission Of Measured Signals (AREA)
  • Plasma Technology (AREA)
  • Complex Calculations (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)
  • Indication And Recording Devices For Special Purposes And Tariff Metering Devices (AREA)

Abstract

레시피의 실행 동안 자동 인-시츄 프로세스 제어 방식을 구현하는 장치가 제공된다. 장치는 레시피 실행 동안 세트 포인트들의 모니터링을 용이하게 하기 위해 제1 세트의 센서 데이터를 적어도 수집하도록 구성된 제어-루프 센서들을 포함하며, 제어-루프 센서들은 프로세스 제어 루프의 일부이다. 장치는 또한 프로세스 제어 루프의 일부가 아닌 제2 세트의 센서 데이터을 적어도 수집하도록 구성된 독립 센서들을 포함한다. 장치는 또한 제1 세트의 센서 데이터 및 제 2 세트의 센서 데이터 중 적어도 하나를 적어도 수신하도록 구성된 허브를 포함한다. 장치는 또한 허브에 통신가능하게 커플링되어 제 1 세트의 센서 데이터 및 제 2 세트의 센서 데이터 중 적어도 하나의 분석을 수행하도록 구성된 분석 컴퓨터를 포함한다.An apparatus for implementing an automatic in-situ process control scheme during execution of a recipe is provided. The apparatus includes control-loop sensors configured to at least collect a first set of sensor data to facilitate monitoring of set points during recipe execution, wherein the control-loop sensors are part of a process control loop. The apparatus also includes independent sensors configured to at least collect a second set of sensor data that is not part of the process control loop. The apparatus also includes a hub configured to at least receive at least one of a first set of sensor data and a second set of sensor data. The apparatus also includes an analysis computer communicatively coupled to the hub for performing an analysis of at least one of the first set of sensor data and the second set of sensor data.

Figure R1020117031574
Figure R1020117031574

Description

플라즈마 프로세싱 툴을 위한 인-시츄 프로세스 모니터링 및 제어를 위한 방법 및 장치{METHODS AND ARRANGEMENTS FOR IN-SITU PROCESS MONITORING AND CONTROL FOR PLASMA PROCESSING TOOLS}[0001] METHODS AND ARRANGEMENTS FOR IN-SITU PROCESS MONITORING AND CONTROL FOR PLASMA PROCESSING TOOLS [0002]

경쟁 시장에서, 반도체 디바이스 제조자들은 경쟁력을 유지하기 위해 낭비를 최소화하고 지속적으로 고품질 반도체 디바이스를 제조할 필요가 있다. 이에 따라, 프로세싱 환경의 엄격한 제어가 기판 프로세싱 동안 최적의 결과들을 달성하는 것이 바람직하다. 따라서, 제조 회사들은 기판 프로세싱을 개선하기 위한 방법 및/또는 장치를 식별하는데 시간과 자원을 바쳤다. In a competitive market, semiconductor device manufacturers need to minimize waste and maintain consistently high-quality semiconductor devices to remain competitive. Accordingly, it is desirable that strict control of the processing environment achieve optimal results during substrate processing. Thus, manufacturers have devoted time and resources to identifying methods and / or devices for improving substrate processing.

프로세싱 환경의 엄격한 제어를 제공하기 위해, 프로세싱 환경의 특성화가 요구될 수도 있다. 프로세싱 챔버의 프로세싱 환경을 특성화하는데 필요한 데이터를 제공하기 위해, 센서들이 사용되어 레시피의 실행 동안 프로세싱 데이터를 캡쳐할 수도 있다. 데이터가 분석되고 프로세싱 환경이 이에 따라 조정될 (예를 들어, "레시피를 튜닝할") 수도 있다. In order to provide tight control of the processing environment, characterization of the processing environment may be required. To provide the data necessary to characterize the processing environment of the processing chamber, sensors may be used to capture the processing data during execution of the recipe. The data may be analyzed and the processing environment adjusted accordingly (e.g., "tuning the recipe").

통상적으로, 분석은 단일의 기판 또는 기판 로트가 프로세싱된 후에 수행된다. 측정은 통상 하나 이상의 메트롤로지 툴들 (metrology tools) 에 의해 오프라인으로 수행된다. 방법은 통상 측정을 행하고 및/또는 측정 데이터를 분석하는 시간과 기술을 요구한다. 문제가 식별되는 경우, 문제의 원인을 결정하기 위해 프로세싱 데이터와 측정 데이터를 상호 참조하는데 추가적인 시간이 요구될 수도 있다. 통상, 분석은 복잡하고 전문적인 인간 해석을 요구할 수도 있다. 또한, 분석은 통상 적어도 하나, 및 가능한 경우 수개의 기판들이 프로세싱될 때까지는 수행되지 않는다. 분석이 인-시츄 및 실시간으로 수행되지 않기 때문에, 손상 및/또는 바람직하지 않은 효과들이 이미 기판(들) 및/또는 프로세싱 챔버/챔버 부품들에 발생했을 수도 있다.Typically, the analysis is performed after a single substrate or substrate lot has been processed. Measurements are typically performed off-line by one or more metrology tools. The method requires time and skill to perform normal measurements and / or analyze the measurement data. If a problem is identified, additional time may be required to cross reference the measurement data with the processing data to determine the cause of the problem. In general, analysis may require complex and specialized human interpretations. Also, the analysis is typically not performed until at least one, and possibly several, substrates have been processed. Damage and / or undesirable effects may have already occurred in the substrate (s) and / or processing chamber / chamber components because the analysis is not performed in-situ and in real time.

일부 프라즈마 프로세싱 툴들에서, 센서들은 프로세스 제어 루프의 일부로서 통합될 수도 있다. 따라서, 센서들은 프로세싱 데이터를 수집할 뿐아니라 모니터링 툴로서 사용될 수도 있다. 일 예에서, 압력 마노미터가 압력 데이터를 수집하기 위해 사용될 수도 있다. 그러나, 압력 마노미터에 의해 수집된 데이터는 예를 들어 레시피의 실행 동안 압력 설정 포인트를 조정하기 위해 프로세싱 모듈 제어기에 의해 사용될 수도 있다. In some plasma processing tools, sensors may be integrated as part of a process control loop. Thus, the sensors may be used as a monitoring tool as well as collecting processing data. In one example, a pressure manometer may be used to collect pressure data. However, the data collected by the pressure manometer may be used, for example, by the processing module controller to adjust the pressure set point during the execution of the recipe.

논의를 용이하게 하기 위해, 도 1 은 프로세싱 챔버의 간단한 블록도를 도시한다. 그 블록도는 프로세싱 챔버의 정확한 표현인 것을 의미하지 않는다. 대신에, 그 블록도는 프로세스 레시피의 실행을 용이하게 하기 위해 어떻게 일 세트의 센서들이 프로세싱 챔버 내에서 구현될 수도 있는지를 설명하기 위한 것이다.To facilitate discussion, FIG. 1 illustrates a simplified block diagram of a processing chamber. The block diagram does not imply an accurate representation of the processing chamber. Instead, the block diagram is intended to illustrate how a set of sensors may be implemented within the processing chamber to facilitate the execution of the process recipe.

예를 들어, 기판 로트가 프로세싱 챔버 (100) 내에서 프로세싱되는 상황을 고려하자. 프로세싱 이전에, (하나 이상의 메트롤로지 툴일 수도 있는) 메트롤로지 툴 (102) 이 프로세싱 전 측정들을 수행하기 위해 사용될 수도 있다. 메트롤로지 툴 (102) 로부터의 프로세싱 전 측정 데이터는 제조 설비 호스트 제어기 (106) 에 링크 (104) 를 통해 업로드될 수도 있다. For example, consider the situation in which a substrate lot is processed in the processing chamber 100. Prior to processing, the metrology tool 102 (which may be one or more metrology tools) may be used to perform measurements before processing. The pre-processing measurement data from the metrology tool 102 may be uploaded via the link 104 to the manufacturing facility host controller 106.

기판 로트를 프로세싱하기 시작하기 위하여, 사용자는 제조 설비 호스트 제어기 (106) 를 사용하여 실행을 위해 레시피를 선택할 수도 있다. 일부의 예들에서, 측정 데이터는 제조 설비 호스트 제어기 (106) 에 의해 사용되어 인커밍 재료 차이들을 보상하기 위해 레시피 세트 포인트들을 조정할 수도 있다. 일 예에서, 기판의 프로세싱 전 측정 데이터는 기판의 물리적 특성이 레시피에 의해 기대되는 것과 상이하다는 것을 나타내 수도 있다. 결과적으로, 레시피 세트 포인트들은 기판에서의 알려진 차이들을 설명하도록 조정될 수도 있다. To begin processing the substrate lot, the user may use the manufacturing facility host controller 106 to select a recipe for execution. In some instances, the measurement data may be used by the manufacturing facility host controller 106 to adjust recipe set points to compensate for incoming material differences. In one example, the pre-processing measurement data of the substrate may indicate that the physical properties of the substrate are different from those expected by the recipe. As a result, recipe set points may be adjusted to account for known differences in the substrate.

일단 레시피가 선택되고 레시피가 사전 계측 데이터에 기초하여 조정되었다면, 제조 설비 호스트 제어기 (106) 는 레시피를 링크 (110) 를 통해 프로세스 모듈 (Process Module: PM) 제어기 (108) 로 전송할 수도 있다. 기판 (112) 이 프로세싱 챔버 (100) 로 로드될 수도 있다. 기판 (112) 은 (정전 척과 같은) 하부 전극 (114) 과 상부 전극 (116) 사이에 위치될 수도 있다. 프로세싱 동안, 플라즈마 (118) 가 기판 (112) 을 프로세스 (예를 들어, 에칭) 하기 위해 형성될 수도 있다. Once the recipe is selected and the recipe is adjusted based on the pre-measured data, the manufacturing facility host controller 106 may send the recipe to the process module (PM) controller 108 over the link 110. The substrate 112 may be loaded into the processing chamber 100. The substrate 112 may be positioned between the lower electrode 114 (such as an electrostatic chuck) and the upper electrode 116. During processing, a plasma 118 may be formed to process (e.g., etch) the substrate 112.

프로세싱 동안, 복수의 센서들이 프로세싱 챔버 (100), 플라즈마 (118), 및/또는 기판 (112) 의 상태를 모니터하기 위해 사용될 수도 있다. 센서들의 예들은 가스 흐름 제어기 (120), 온도 센서들 (122 및 124), 압력 센서 (126), 일 세트의 매치 박스 제어기 (128), 라디오 주파수 (RF) 제어기 (130), 밸브 제어기 (132), 터보 펌프 제어기 (134) 등을 포함할 수도 있지만, 이들에 제한되지 않는다. 일 예에서, 압력 센서 (126) 가 프로세싱 챔버 (100) 내의 압력 데이터를 캡쳐하고 있을 수도 있다. 다른 예에서, RF 발생기 제어기 (130) 및/또는 일 세트의 매치 박스 제어기 (128) 가 반사 전력, 임피던스, 고조파 등에 대한 데이터를 수집하고 있을 수도 있다.During processing, a plurality of sensors may be used to monitor the state of the processing chamber 100, the plasma 118, and / or the substrate 112. Examples of sensors include a gas flow controller 120, temperature sensors 122 and 124, a pressure sensor 126, a set of matchbox controllers 128, a radio frequency (RF) controller 130, a valve controller 132 , A turbo pump controller 134, and the like. In one example, the pressure sensor 126 may be capturing pressure data within the processing chamber 100. In another example, RF generator controller 130 and / or a set of matchbox controllers 128 may be collecting data about reflected power, impedance, harmonics, and so on.

센서들의 각각에 의해 수집된 데이터는 분석을 위해 제어 데이터 허브 (136) 로 (140, 142, 144, 146, 148, 150 및 152 와 같은) 통신 라인들을 따라 포워드될 수도 있다. 임의의 하나의 레시피 세트 포인트가 분석에 기초하여 조정될 필요가 있는 경우, 제어 데이터 허브 (136) 는 결과를 (링크 (138) 를 통해) 프로세스 모듈 제어기 (108) 로 전송할 수도 있고, 프로세스 모듈 제어기 (108) 는 이에 따라 레시피 세트 포인트를 조정할 수도 있다. 일 예에서, 레시피에 따른 원하는 압력 세트 포인트는 30 밀리토르로 세트될 수도 있다. 그러나, 압력 센서 (126) 에 따르면, 압력 측정은 실제로 26 밀리토르이다. 결과적으로, 프로세스 모듈 제어기 (108) 는 압력을 원하는 레시피 세트 포인트로 되돌리기 위해 압력 제어 액츄에이터를 조정할 수도 있다. The data collected by each of the sensors may be forwarded along the communication lines (such as 140, 142, 144, 146, 148, 150 and 152) to the control data hub 136 for analysis. If any one recipe set point needs to be adjusted based on the analysis, the control data hub 136 may send the results (via the link 138) to the process module controller 108 and the process module controller 108 may adjust the recipe set point accordingly. In one example, the desired pressure set point according to the recipe may be set to 30 milliTorr. However, according to the pressure sensor 126, the pressure measurement is actually 26 milliTorr. As a result, the process module controller 108 may adjust the pressure control actuator to return the pressure to the desired recipe set point.

단변량 (uni-variate) 직교 제어 방식은 레시피 세트 포인트들 및 센서들 사이에 구현된 프로세스 제어 관계에 전형적이다. 즉, 레시피 세트 포인트는 단일의 파라미터에 유일하게 응답하는 것으로 고려되는 단일의 센서로부터 수집된 데이터와 연관될 수도 있다. 임의의 다른 센서로부터 수집된 데이터는 통상 특정의 레시피 세트 포인트가 후속되어야 하는지를 결정하는데 고려되지 않는다. A uni-variate orthogonal control scheme is typical for process control relationships implemented between recipe set points and sensors. That is, the recipe set point may be associated with data collected from a single sensor that is considered to be uniquely responsive to a single parameter. Data collected from any other sensor is typically not considered in determining whether a particular recipe set point should follow.

상기 예에서, 챔버 압력은 압력 센서 (126) 에 의해 제공된 데이터에 기초하여 조정된다. 조정을 행함에 있어서, 프로세스 모듈 제어기 (108) 는 압력 센서 (126) 가 정확한 데이터를 제공하고 있다는 것과, 압력 센서 (126) 가 드리프트 및/또는 부품 마모를 겪고 있지 않다는 것을 가정하고 있을 수도 있다. 그러나, 압력 센서 (126) 가 실제로 드리프트한 경우, 챔버 조건을 원하는 상태로 되돌리려는 시도에서의 프로세스 모듈 제어기 (108) 에 의한 압력의 증가는 기판 (112) 상에 바람직하지 않은 결과를 초래할 수도 있고, 비정상적인 상태들이 (센서들 자신을 포함하여) 챔버 벽들 및 그 컴포넌트들에 관계될 수도 있다.In this example, the chamber pressure is adjusted based on the data provided by the pressure sensor 126. In making the adjustments, the process module controller 108 may assume that the pressure sensor 126 is providing accurate data and that the pressure sensor 126 is not experiencing drift and / or component wear. However, if the pressure sensor 126 actually drifts, an increase in pressure by the process module controller 108 in an attempt to return the chamber conditions to a desired state may result in undesirable effects on the substrate 112 , Abnormal conditions may be associated with the chamber walls and their components (including the sensors themselves).

본 발명이 첨부한 도면을 참조하여 제한이 아니라 예시로서 설명되며, 동일한 참조 부호는 동일한 구성 요소를 나타낸다.
도 1 은 프로세싱 챔버의 간단한 블록도를 도시한다.
도 2 는 본 발명의 일 실시형태에서, 인-시츄 제어 프로세스 장치를 갖는 프로세싱 챔버의 간단한 블록도를 도시한다.
도 3 은 본 발명의 일 실시형태에서, 센서들 사이의 계층적 관계를 도시한다.
도 4 는 본 발명의 일 실시형태에서, 가상 메트롤로지를 수행하는 인-시츄 제어 프로세스 방법의 일 구현을 도시하는 간단한 흐름도를 도시한다.
도 5 는 본 발명의 일 실시형태에서, 실시간 제어 능력을 제공하는 인-시츄 제어 프로세스의 구현을 도시하는 간단한 흐름도를 도시한다.
The present invention will now be described, by way of example only, with reference to the accompanying drawings, in which like references indicate similar elements.
Figure 1 shows a simplified block diagram of a processing chamber.
Figure 2 shows a simple block diagram of a processing chamber with an in-situ control process apparatus, in an embodiment of the invention.
Figure 3 shows a hierarchical relationship between sensors in an embodiment of the present invention.
4 illustrates a simplified flow diagram illustrating one implementation of an in-situ control process method for performing a virtual metrology, in an embodiment of the present invention.
Figure 5 illustrates a simplified flow diagram illustrating an implementation of an in-situ control process that provides real-time control capabilities, in an embodiment of the invention.

본 발명이 이제 첨부한 도면에 도시된 몇가지 실시형태들을 참조하여 상세히 설명된다. 다음의 설명에서, 다수의 특정의 상세는 본 발명의 철저한 이해를 제공하기 위해 진술된다. 그러나, 당업자에게는 본 발명이 이들 특정의 상세의 일부 또는 전부가 없이도 실시될 수도 있다는 것이 명백하다. 다른 예에서는, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 설명되지 않았다.The present invention will now be described in detail with reference to several embodiments shown in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well-known process steps and / or structures have not been described in detail so as not to unnecessarily obscure the present invention.

방법과 기법을 포함하여, 다양한 실시형태들이 이하에 설명된다. 본 발명은 발명의 기법에 대한 실시형태들을 수행하는 컴퓨터 판독가능 명령들이 저장된 컴퓨터 판독가능 매체를 포함하는 제조품들을 커버한다. 컴퓨터 판독가능 매체는 예를 들어 반도체, 자기, 광자기, 광학, 또는 컴퓨터 판독가능 코드를 저장하는 컴퓨터 판독가능 매체의 다른 형태들을 포함할 수도 있다. 또, 본 발명은 또한 본 발명의 실시형태들을 실시하는 장치들을 커버할 수도 있다. 그러한 장치는 본 발명의 실시형태들에 속하는 태스크들을 수행하는, 전용의 및/또는 프로그램가능한 회로들을 포함할 수도 있다. 그러한 장치의 예들은 적절히 프로그램되는 경우 범용 컴퓨터 및/또는 전용의 컴퓨팅 디바이스를 포함하고 본 발명의 실시형태들에 속하는 다양한 태스크들에 적응된 컴퓨터/컴퓨팅 디바이스 및 전용의/프로그램가능한 회로들의 조합을 포함할 수도 있다. Various embodiments, including methods and techniques, are described below. The present invention covers articles of manufacture that include a computer-readable medium having computer-readable instructions for carrying out embodiments of the inventive technique. The computer readable medium may include, for example, semiconductor, magnetic, magnetooptical, optical, or other forms of computer readable media for storing computer readable code. The present invention may also cover devices that implement embodiments of the present invention. Such an arrangement may include dedicated and / or programmable circuits that perform tasks pertaining to embodiments of the present invention. Examples of such devices include, when properly programmed, a combination of dedicated computing / computing devices and dedicated / programmable circuits, including general purpose computers and / or dedicated computing devices and adapted to various tasks pertaining to embodiments of the present invention You may.

상술된 바와 같이, 프로세싱 환경의 엄격한 제어는 일관성 있는 결과로 기판 프로세싱을 수행하기 위해 바람직하다. 그러나, 통상 단변량 센서 데이터에 기초하는 레시피 조정은 센서들이 부정확하고, 다수의 파라미터들에 민감하고, 시간의 경과에 따라 드리프트하고, 및/또는 결함을 갖게 된다면 때때로 오류가 발생할 수 있다. As described above, strict control of the processing environment is desirable for performing substrate processing with consistent results. However, recipe adjustments, which are typically based on univariate sensor data, can sometimes fail if sensors are inaccurate, susceptible to multiple parameters, drift over time, and / or have defects.

당업자는 일부 파라미터들이 다른 것들보다 기판의 특성화에 있어서 더욱 중요할 수도 있다는 것을 알고 있다. 일 예에서, 프로세싱 파라미터로서의 전자 밀도를 제어하는 능력은 덜 직접적인 압력 레벨을 제어하는 능력보다 기판 프로세싱 결과들에 대한 더 엄격한 제어를 제공할 수도 있다. 그러나, 모든 파라미터들이 단일의 센서에 의해 용이하게 직접적으로 측정되지는 않을 수도 있다. 또한, 모든 파라미터들이 단일의 직적적인 물리적 액츄에이터/제어기에 의해 제어되지는 않을 수도 있다. 예를 들어, 압력 레벨은 압력 마노미터에 의해 측정될 수도 있다. 따라서, 압력 측정이 압력이 원하는 압력으로부터 벗어났다는 것을 나타내는 경우, 압력 제어기가 챔버 내의 압력을 보상하기 위해 조정하도록 사용될 수도 있다. 그러나, 전자 밀도는 단일의 센서에 의해 직접적으로 측정가능하지 않을 수도 있는 파라미터이다. 대신에, 전자 밀도가 하나 이상의 센서들로부터 복수의 프로세싱 데이터 포인트들로부터 도출될 필요가 있을 수도 있기 때문에, 전자 밀도를 결정하기 위해, 복잡한 계산이 수행될 필요가 있을 수도 있다. 또한, 간단한 직접적인 물리적 액츄에이터가 기판 프로세싱 동안 전자 밀도를 제어하기 위해 이용가능하지 않을 수도 있다. Those skilled in the art know that some parameters may be more important in characterizing the substrate than others. In one example, the ability to control the electron density as a processing parameter may provide more rigorous control over substrate processing results than the ability to control a less direct pressure level. However, not all parameters may be readily measured directly by a single sensor. Also, not all parameters may be controlled by a single direct physical actuator / controller. For example, the pressure level may be measured by a pressure manometer. Thus, if the pressure measurement indicates that the pressure deviates from the desired pressure, the pressure controller may be used to adjust to compensate for the pressure in the chamber. However, the electron density is a parameter that may not be directly measurable by a single sensor. Instead, a complex computation may need to be performed to determine the electron density, since the electron density may need to be derived from a plurality of processing data points from one or more sensors. Also, a simple direct physical actuator may not be available to control electron density during substrate processing.

본 발명의 일 양태에서, 발명자들은 독립적인 데이터 스트림 (직접 프로세스 제어 루프와 독립적인 하나 이상의 센서들로부터 획득되는 것) 을 사용함으로써, 레시피 튜닝이 수행되기 전후에 검증이 제공될 수도 있다는 것을 인식했다. 또한, 발명자들은 다변량 비직교 분석을 수행함으로써, 직접적으로 측정되지 않을 수도 있는 파라미터들이 알고리즘/모델 기반 계산들을 사용하여 도출되고 레시피 조정을 수행하기 위해 사용될 수도 있다는 것을 인식했다. In one aspect of the invention, the inventors have recognized that by using an independent data stream (obtained from one or more sensors that are independent of the direct process control loop), verification may be provided before and after recipe tuning is performed . In addition, the inventors have realized that by performing multivariate non-orthogonal analysis, parameters that may not be directly measured may be derived using algorithm / model based calculations and used to perform recipe adjustment.

본 발명의 실시형태들에 따르면, 인-시츄 프로세스 제어를 가능하게 하는 방법 및 장치가 제공된다. 본 발명의 실시형태들은 독립적인 데이터 스트림을 제공하는 장치를 포함한다. 독립적인 데이터 스트림은 제어-루프 센서들 및/또는 독립 센서들로부터 수집된 데이터를 포함할 수도 있다. 본 발명의 실시형태들은 또한 고장 검출, 고장 분류, 및/또는 레시피 튜닝을 수행하기 위해 가상의 센서들 및/또는 가상의 액츄에이터들을 제공하는 자동 다변량 비직교 제어 방식을 포함한다. According to embodiments of the present invention, a method and apparatus are provided for enabling in-situ process control. Embodiments of the present invention include an apparatus for providing an independent data stream. Independent data streams may include data collected from control-loop sensors and / or independent sensors. Embodiments of the present invention also include an automated multivariable non-orthogonal control scheme that provides virtual sensors and / or virtual actuators to perform fault detection, fault classification, and / or recipe tuning.

여기서 설명되는 바와 같이, 제어-루프 센서들은 또한 프로세스 제어 루프의 일부인 센서들을 지칭한다. 즉, 제어-루프 센서들로부터의 데이터는 레시피 실행 동안 레시피 세트 포인트들을 모니터하는데 사용된다. 종래 기술에서, 제어-루프 센서들로부터 수집된 데이터는 통상 레시피 세트 포인트들에 대한 조정을 행하는데 사용된다. As described herein, control-loop sensors also refer to sensors that are part of a process control loop. That is, the data from the control-loop sensors is used to monitor recipe set points during recipe execution. In the prior art, the data collected from the control-loop sensors is typically used to make adjustments to recipe set points.

여기서 설명되는 바와 같이, 독립 센서들은 일반적으로 이제까지 종래의 프로세스 제어 루프의 일부가 아닌 센서들을 지칭한다. 본 발명의 일 실시형태에서, 독립 센서들은 챔버 마다 매칭되고 교정된다. 다른 실시형태에서, 독립 센서들은 중복적인 센서들일 수도 있다. 일 예로서, 독립 센서는 프로세스 제어 루프에 사용될 수도 있는 압력 마노미터와 동일한 모델 또는 유형일 수도 있다. 그러나, 독립적인 압력 마노미터는 프로세스 제어 루프에 독립이다. 일 실시형태에서, 중복적인 독립 센서는 독립적이지만 중복적인 측정을 행하는 것을 기대하며 제어-루프 센서 근처에 위치될 수도 있다.As described herein, independent sensors generally refer to sensors that are not ever part of a conventional process control loop. In one embodiment of the invention, the independent sensors are matched and calibrated for each chamber. In another embodiment, the independent sensors may be redundant sensors. As an example, the independent sensor may be the same model or type as the pressure manometer that may be used in the process control loop. However, the independent pressure manometer is independent of the process control loop. In one embodiment, the redundant independent sensors are independent but may be located near the control-loop sensor, expecting to perform redundant measurements.

여기서 설명되는 바와 같이, 가상 센서는 하드웨어 컴포넌트가 아닌 소프트웨어 구현 센서를 지칭한다. 일 실시형태에서, 가상 센서는 복합 센서 또는 다수의 센서들의 유도체 (derivative) 이고 통상 직접 측정되지 않는 파라미터들에 대한 가상의 센서 측정들을 제공할 수도 있다. 일 실시형태에서, 가상 파라미터는 복수의 데이터 소스들로부터 계산 및/또는 추론될 수도 있다. 따라서, 가상 센서들을 사용하면, 단일의 센서에 의해 물리적으로 측정되지 않을 수도 있는 파라미터들이 유도될 수도 있다. 가상 파라미터들의 예들은 예를 들어, 이온 플럭스, 이온 에너지, 전자 밀도, 에칭 레이트 대 증착 레이트 비 등을 포함하지만 이들에 제한되지 않는다.As described herein, a virtual sensor refers to a software implemented sensor, not a hardware component. In an embodiment, the virtual sensor may be a composite sensor or a derivative of a plurality of sensors and may provide virtual sensor measurements for parameters that are not normally directly measured. In an embodiment, the virtual parameters may be computed and / or deduced from a plurality of data sources. Thus, using virtual sensors, parameters that may not be physically measured by a single sensor may be derived. Examples of virtual parameters include, but are not limited to, for example, ion flux, ion energy, electron density, etch rate to deposition rate ratio, and the like.

여기서 설명되는 바와 같이, 가상 액츄에이터는 단일의 물리적 액츄에이터에 의해 직접 측정가능하거나 제어가능하지 않은 파라미터들의 제어를 구현하기 위해 사용될 수도 있는 소프트웨어 구현 제어기들을 지칭한다. 물리적 액츄에이터 (예를 들어, 이온 플럭스 제어기) 는 예를 들어 파라미터가 물리적 센서를 사용하여 직접 측정되지 않을 수도 있기 때문에 파라미터 (예를 들어, 이온 플럭스) 에 대해 존재하지 않을 수도 있고, 상이한 데이터 소스들로부터 계산될, 예를 들어 간접적으로 도출될 필요가 있을 수도 있다.As discussed herein, a virtual actuator refers to software implementation controllers that may be used to implement control of parameters that are directly measurable by a single physical actuator or that are not controllable. The physical actuator (e.g., ion flux controller) may not be present for a parameter (e.g., ion flux), for example because the parameter may not be directly measured using a physical sensor, May need to be computed, for example, indirectly.

본 발명의 일 실시형태에서, 인-시츄 프로세스 제어 체제를 위한 방법 및 장치가 제공된다. 통상, 제어-루프 센서들이 사용되어 프로세싱 데이터를 캡쳐하고 프로세싱 모듈 제어기로 피드백을 제공하여 레시피 세트 포인트들을 필요에 따라 조정한다. 일반적으로, 단변량 직교 제어 방식이 사용된다. 즉, 레시피 세트 포인트와 센서 사이에 일대일 관계가 존재한다. 다른 센서들로부터의 데이터는 통상 세트 포인트들을 조정하는데 사용되지 않는다. 그러나, 제어-루프 센서들로부터의 데이터는 관심있는 챔버/플라즈마/기판 파라미터들을 확인하는데 불충분할 수도 있다. 결과적으로, 제어-루프 센서들로부터의 데이터에 엄격하게 기초하여 레시피 세트 포인트들을 조정하는 것은 부정적인 결과들 (예를 들어, 빈약한 프로세싱 결과, 또는 심지어 기판에 대한 손상, 챔버 벽에 대한 손상, 챔버 컴포넌트들에 대한 손상 등) 을 가질 수도 있다. In an embodiment of the present invention, a method and apparatus are provided for an in-situ process control system. Typically, control-loop sensors are used to capture the processing data and provide feedback to the processing module controller to adjust recipe set points as needed. In general, a univariate orthogonal control scheme is used. That is, there is a one-to-one relationship between the recipe set point and the sensor. The data from the other sensors is not normally used to adjust the set points. However, the data from the control-loop sensors may be insufficient to identify the chamber / plasma / substrate parameters of interest. As a result, adjusting recipe set points based strictly on data from the control-loop sensors can result in negative results (e.g., poor processing results, or even damage to the substrate, damage to the chamber walls, Damage to components, etc.).

일 실시형태에서, 독립적인 데이터 스트림은 챔버/플라즈마/기판 상태들에 속하는 소정의 조건들을 결정하기 위해 제공된다. 일 실시형태에서, 독립적인 데이터 스트림은 또한 독립 센서들로부터만 수집된 데이터를 포함할 수도 있다. 상술된 바와 같이, 독립 센서들은 전통적인 프로세스 제어 루프의 일부가 아닌 센서들이다. 일 실시형태에서, 독립 센서들은 유니버셜 표준으로 매칭 및 교정된다. 즉, 독립 센서들은 챔버의 특정의 특징들을 캡쳐하기 위해 사용될 수도 있다. In one embodiment, an independent data stream is provided to determine certain conditions belonging to the chamber / plasma / substrate states. In an embodiment, the independent data stream may also include data collected only from independent sensors. As noted above, the independent sensors are sensors that are not part of a traditional process control loop. In one embodiment, the independent sensors are matched and calibrated to a universal standard. That is, independent sensors may be used to capture certain characteristics of the chamber.

일 실시형태에서, 독립적인 데이터 스트림은 제어-루프 센서들 및/또는 독립 센서들로부터 수집된 데이터를 포함할 수도 있다. 일 예에서, 압력 세트 포인트를 세팅하기 위해, 예를 들어 압력 마노미터로부터의 압력 데이터만이 사용될 수도 있더라도, 압력 레벨에 속하는 데이터가 다양한 제어-루프 센서들에 의해 수집될 수도 있다. 따라서, 제어-루프 센서들에 의해 수집된 데이터는 이러한 실시형태에서 단일의 제어-루프 센서에 의해 제공된 데이터를 확인하기 위해 독립적인 데이터 스트림의 일부로서 사용 (될 것을 요구하지는 않지만) 될 수도 있다. In an embodiment, the independent data stream may include data collected from the control-loop sensors and / or independent sensors. In one example, although only pressure data from a pressure manometer, for example, may be used to set the pressure set point, data pertaining to the pressure level may be collected by the various control-loop sensors. Thus, the data collected by the control-loop sensors may be used (though not required) as part of an independent data stream to identify data provided by a single control-loop sensor in this embodiment.

일 실시형태에서, 독립적인 데이터 스트림은 챔버/플라즈마/기판 상태들에 속하는 소정의 조건들을 결정하기 위한 가상의 센서들을 확립하기 위해 분석될 수도 있다. 상술된 바와 같이, 일부 챔버/플라즈마/기판 상태들은 직접 측정되지 않을 수도 있다. 대신에, 이들 챔버/플라즈마/기판 상태들을 특성화할 수도 있는 파라미터들을 도출하기 위해 복잡한 계산들이 수행될 필요가 있을 수도 있다. 일 실시형태에서, 발명자들은 가상 메트롤로지를 용이하게 하는 센서들 간에 계층적 관계 존재한다는 것을 인식했다. 일 예에서, 독립적인 데이터 스트림을 현상학적 모델 (phenomenological model) 에 적용함으로써, 이온 플럭스 분포, 전자 밀도, 에칭 레이트, 중성 밀도 등과 같은 가상 센서들이 유도될 수도 있다. In one embodiment, the independent data stream may be analyzed to establish virtual sensors for determining certain conditions belonging to the chamber / plasma / substrate conditions. As noted above, some chamber / plasma / substrate conditions may not be directly measured. Instead, complex calculations may need to be performed to derive parameters that may characterize these chamber / plasma / substrate states. In one embodiment, the inventors have recognized that there is a hierarchical relationship between sensors that facilitate virtual metrology. In one example, virtual sensors such as ion flux distribution, electron density, etch rate, neutral density, etc. may be derived by applying an independent data stream to a phenomenological model.

일 실시형태에서, 독립적인 데이터 스트림은 단독으로 또는 제어-루프 센서들로부터의 데이터 스트림과 함께 분석되어 센서에 의해 직접 측정가능하지 않을 수도 있는 레시피 파라미터를 조정하기 위해 가상의 센서 데이터를 생성할 수도 있다. 일단 가상의 센서들이 생성되면, 프로세스 제어는 정의될 수 있는 가상 센서 세트 포인트들에 기초할 수도 있다. 레시피 실행 동안, 가상 센서들에 의해 제공된 센서 데이터는 가상 센서 세트 포인트들에 대해 비교될 수도 있고 차이가 계산될 수도 있다. 그 후, 가상의 액츄에이터가 사용되어 하나 이상의 물리적 액츄에이터들을 제어하여 이들 가상의 세트 포인트들을 조정한다. In an embodiment, the independent data streams may be generated alone or in conjunction with the data stream from the control-loop sensors to generate virtual sensor data to adjust recipe parameters that may not be directly measurable by the sensor have. Once virtual sensors are created, process control may be based on virtual sensor set points that can be defined. During recipe execution, the sensor data provided by the virtual sensors may be compared against the virtual sensor set points and a difference calculated. A virtual actuator is then used to control one or more physical actuators to adjust these virtual set points.

본 발명의 특징 및 이점은 후속하는 도면 및 설명을 참조하여 더욱 잘 이해될 수도 있다.The features and advantages of the present invention may be better understood with reference to the following drawings and description.

도 2 는 본 발명의 일 실시형태에서, 인-시츄 제어 프로세스 장치를 갖는 프로세싱 챔버의 간단한 블록도를 도시한다. 본 발명은 도시된 장치 및/또는 컴포넌트들에 제한되지 않는다. 대신에, 블록도는 일 예로서의 본 발명의 하나의 실시형태에 대한 논의를 용이하게 하는 것을 의미한다. Figure 2 shows a simple block diagram of a processing chamber with an in-situ control process apparatus, in an embodiment of the invention. The invention is not limited to the illustrated apparatus and / or components. Instead, a block diagram is meant to facilitate discussion of one embodiment of the invention as an example.

예를 들어, 기판 로트가 프로세싱 챔버 (200) 내에서 프로세싱되는 상황을 고려하자. 기판이 프로세싱될 수도 있기 전에, 프로세싱 전 측정 데이터 (외부 데이터) 가 일 세트의 메트롤로지 툴들 (202) 에 의해 취해질 수도 있다. 메트롤로지 툴 (202) 로 부터의 측정 데이터는 링크 (204) 를 통해 제조 설비 호스트 제어기 (206) 로 업로드될 수도 있다. 프로세싱 전 측정 데이터는 본 발명을 구현하는데 요구되지 않는다. 그러나, 일 실시형태에서, 프로세싱 챔버 (200) 는 원한다면 메트롤로지 데이터를 기판 프로세싱에 통합하기 위해 메트롤로지 툴 (202) 과 제조 설비 호스트 제어기 (206) 사이에 통신 링크 (204) 를 제공할 수도 있다. 그렇게 함으로써, 들어오는 기판들의 변동에 대해 보상하고 나가는 제품의 바람직하지 않은 변동을 감소시키는 기초를 제공한다. For example, consider the situation in which a substrate lot is processed in the processing chamber 200. Before the substrate may be processed, pre-processing measurement data (external data) may be taken by a set of metrology tools 202. Measurement data from the metrology tool 202 may be uploaded to the manufacturing facility host controller 206 via the link 204. The pre-processing measurement data is not required to implement the present invention. However, in one embodiment, the processing chamber 200 may provide a communication link 204 between the metrology tool 202 and the manufacturing facility host controller 206 to incorporate metrology data into the substrate processing, if desired It is possible. In so doing, it provides a basis for compensating for variations in incoming substrates and reducing undesirable variations in outgoing products.

프로세싱을 개시하기 위해, 레시피가 제조 설비 호스트 제어기 (206) 에 의해 선택될 수도 있다. 프로세싱 전 측정 데이터가 이용가능한 경우, 예를 들어 기판들 중의 들어오는 물리적 변동들을 설명하기 위해 레시피에 대한 조정들이 행해질 수도 있다. 일단 완료하면, 제조 설비 호스트 제어기 (206) 는 레시피를 링크 (210) 를 통해 프로세스 모듈 (PM) 제어기 (208) 로 전송할 수도 있다. 링크 (210) 는 제조 설비 호스트 제어기 (206) 와 프로세스 모듈 제어기 (208) 간의 데이터 교환을 용이하게 하는 양방향 링크이다.To initiate processing, a recipe may be selected by the manufacturing facility host controller 206. If pre-processing measurement data is available, adjustments to the recipe may be made, for example, to account for incoming physical variations in the substrates. Once completed, the manufacturing facility host controller 206 may send the recipe via the link 210 to the process module (PM) controller 208. Link 210 is a bidirectional link that facilitates data exchange between manufacturing facility host controller 206 and process module controller 208.

기판 (212) 은 프로세싱 챔버 (200) 내로 로드될 수도 있다. 기판 (212) 은 (정전 척과 같은) 하부 전극 (214) 과 상부 전극 (216) 사이에 위치될 수도 있다. 프로세싱 동안, 플라즈마 (218) 가 기판 (212) 을 프로세스 (예를 들어, 에칭) 하기 위해 형성될 수도 있다. The substrate 212 may be loaded into the processing chamber 200. The substrate 212 may be positioned between the lower electrode 214 (such as an electrostatic chuck) and the upper electrode 216. During processing, a plasma 218 may be formed to process (e.g., etch) the substrate 212.

복수의 센서들이 레시피 실행 동안 프로세싱 챔버 (200), 플라즈마 (218), 및/또는 기판 (212) 에 속하는 다양한 파라미터들을 모니터하기 위해 사용될 수도 있다. 센서들의 예들은 가스 흐름 제어기 (220), 온도 센서들 (222 및 224), 압력 센서 (226), 일 세트의 매치 박스 제어기 (228), 라디오 주파수 (RF) 제어기 (230), 밸브 제어기 (232), 터보 펌프 제어기 (234) 등을 포함할 수도 있지만, 이들에 제한되지 않는다. 일 예에서, 온도 센서 (222) 는 프로세싱 챔버 (200) 내의 온도 데이터를 수집하고 있을 수도 있다. 다른 예에서, 터보 펌프 제어기 (234) 는 펌프의 속도 및 흐름 레이트에 대한 데이터를 수집하고 있을 수도 있다.A plurality of sensors may be used to monitor various parameters belonging to the processing chamber 200, the plasma 218, and / or the substrate 212 during recipe execution. Examples of sensors include a gas flow controller 220, temperature sensors 222 and 224, a pressure sensor 226, a set of matchbox controllers 228, a radio frequency (RF) controller 230, a valve controller 232 ), A turbo pump controller 234, and the like. In one example, the temperature sensor 222 may be collecting temperature data in the processing chamber 200. In another example, the turbo pump controller 234 may be collecting data on the speed and flow rate of the pump.

논의의 편의를 위해, 상술된 센서들은 함께 그룹핑되어 이하에 제어-루프 센서들로서 알려진다. 여기에 설명된 바와 같이, 제어-루프 센서들은 프로세스 제어 루프의 일부이고 전통적으로 레시피 실행 동안 레시피 세트 포인트들을 모니터하기 위해 사용된 센서들을 지칭한다. For convenience of discussion, the sensors described above are grouped together and are known below as control-loop sensors. As described herein, control-loop sensors are part of a process control loop and refer to sensors that are traditionally used to monitor recipe set points during recipe execution.

프로세스 제어 루프의 일부인 제어-루프 센서들에 부가하여, 독립 센서들 (예를 들어, 260, 262 및 264) 이 또한 제공될 수도 있다. 일 실시형태에서, 독립 센서들은 전통적으로 프로세스 제어 루프의 일부가 아니다. 독립 센서들의 수는 다양할 수도 있다. 본 발명의 일 실시형태에서, 독립 센서들은 절대 표준들에 대해 및 그들 사이에 매칭 및 교정되어 챔버 마다 일관성 있는 결과들을 제공할 수도 있다. In addition to the control-loop sensors that are part of the process control loop, independent sensors (e.g., 260, 262, and 264) may also be provided. In one embodiment, the independent sensors are traditionally not part of the process control loop. The number of independent sensors may vary. In one embodiment of the present invention, the independent sensors may be matched and calibrated for absolute standards and between them to provide consistent results for each chamber.

본 발명의 일 실시형태에서, 독립 센서들이 선택 및 제공되어 적어도 데이터의 부분적인 중첩이 일부 또는 전체 데이터 아이텀들에 대해 제공된다. 즉, 특정의 가상 센서 파라미터에 대한 데이터는 하나 이상의 센서에 의해 캡쳐될 수도 있다. 일 예에서, 독립 센서 (262) 는 (압력 종속 데이터를 포함하는) 데이터를 수집하도록 구성될 수도 있다. 수집된 데이터는 예를 들어 압력 센서 (226) 에 의해 수집된 압력 데이터와 중첩할 수도 있다.In one embodiment of the invention, independent sensors are selected and provided so that at least a partial overlap of data is provided for some or all of the data identities. That is, data for a particular virtual sensor parameter may be captured by one or more sensors. In one example, the independent sensor 262 may be configured to collect data (including pressure dependent data). The collected data may overlap, for example, with pressure data collected by the pressure sensor 226.

일 실시형태에서, 독립 센서들은 중복적인 센서들일 수도 있다. 예를 들어, 독립 센서는 프로세스 제어 루프에서 사용될 수도 있는 압력 마노미터와 동일한 모델일 수도 있다. 그러나, 독립 센서 마노미터는 전통적인 프로세스 제어 루프와 독립이다. In one embodiment, the independent sensors may be redundant sensors. For example, the independent sensor may be the same model as the pressure manometer that may be used in the process control loop. However, the independent sensor manometer is independent of the traditional process control loop.

일 실시형태에서, 독립 센서들은 제어-루프 센서들과 직접 중첩을 갖지 않는 센서들로 이루어질 수도 있다. 일 예에서, 전압/전류 프로브는 가상 센서 측정을 도출하기 위해 압력 센서와 함께 사용된 독립 센서들 중 하나로서 사용될 수도 있다. In one embodiment, the independent sensors may be comprised of sensors that do not have direct superposition with the control-loop sensors. In one example, the voltage / current probe may be used as one of the independent sensors used with the pressure sensor to derive the virtual sensor measurement.

제어-루프 센서들에 의해 수집된 데이터는 (종래 기술과 유사한) 분석을 위해 제어 데이터 허브 (236) 로 (240, 242, 244, 246, 248, 250 및 252 와 같은) 통신 라인들을 따라 포워드될 수도 있다. 또한, 독립 센서들 (260, 262 및 264) 로부터의 데이터는 또한 측정 센서 데이터 허브 (280) 로 통신 라인들 (270, 272 및 274) 을 따라 포워드될 수도 있다. 일 실시형태에서, 제어-루프 센서들에 의해 수집된 소정의 데이터는 제어 데이터 허브 (236) 로부터 측정 센서 데이터 허브 (280) 로 통신 링크 (254) 를 통해 포워드될 수도 있다. 다른 실시형태에서, 제어-루프 센서들에 의해 수집된 모든 데이터는 제어 데이터 허브 (236) 를 통해 측정 센서 데이터 허브 (280) 로 포워드될 수도 있다. The data collected by the control-loop sensors is forwarded along the communication lines (such as 240, 242, 244, 246, 248, 250 and 252) to the control data hub 236 for analysis It is possible. Data from the independent sensors 260, 262, and 264 may also be forwarded along the communication lines 270, 272, and 274 to the measurement sensor data hub 280. In one embodiment, certain data collected by the control-loop sensors may be forwarded from the control data hub 236 to the measurement sensor data hub 280 via the communication link 254. [ In another embodiment, all of the data collected by the control-loop sensors may be forwarded to the measurement sensor data hub 280 via the control data hub 236.

데이터를 수집하고 (디지털 포맷 변환과 같은) 일부 사전 프로세싱 태스크들을 선택적으로 수행한 후, 데이터는 통신 라인 (284) 을 통해 별도의 전용 컴퓨터 (282) 내에 구현될 수도 있는 분석 프로세서로 포워드될 수도 있다. 일 실시형태에서, 제어-루프 센서들에 의해 수집된 데이터는 또한 통신 라인 (256) 을 통해 제어 데이터 허브 (236) 로부터 분석 컴퓨터 (282) 로 포워드될 수도 있다.After collecting the data and selectively performing some pre-processing tasks (such as digital format conversion), the data may be forwarded to the analysis processor, which may be implemented in a separate dedicated computer 282 via communication line 284 . In one embodiment, the data collected by the control-loop sensors may also be forwarded from the control data hub 236 to the analysis computer 282 via the communication line 256.

상술한 것으로부터 알 수 있는 바와 같이, 높은 볼륨의 데이터가 제어-루프 센서들 및 독립 센서들에 의해 수집될 수도 있다. 일 실시형태에서, 독립 센서들에 의해 수집된 데이터는 고도 세분화 데이터일 수도 있다. 일 실시형태에서, 분석 컴퓨터 (282) 는 큰 볼륨의 데이터를 다루도록 구성될 수도 있는 고속 프로세싱 모듈일 수도 있다. 데이터는 먼저 제조 설비 호스트 제어기 또는 심지어 프로세스 모듈 제어기를 통과하지 않고 센서들로부터 직접 전송될 수도 있다. Huang 등에 의해 2009년 9월 8일자로 출원된 출원 번호 제 12/555,674 호는 분석 컴퓨터 (282) 를 구현하는데 적합한 예시의 분석 컴퓨터를 기재하고 있다.As can be seen from the foregoing, a high volume of data may be collected by the control-loop sensors and the independent sensors. In one embodiment, the data collected by the independent sensors may be highly refined data. In one embodiment, analysis computer 282 may be a high-speed processing module that may be configured to handle large volumes of data. The data may first be transmitted directly from the sensors without first passing through the manufacturing facility host controller or even the process module controller. Application No. 12 / 555,674, filed September 8, 2009 by Huang et al., Describes an exemplary analysis computer suitable for implementing analysis computer 282.

일 실시형태에서, 센서들로부터 수집된 데이터 이외에, 분석 컴퓨터 (282) 는 또한 통신 링크 (290) 를 통해 메트롤로지 툴 (202) 로부터 메트롤로지 데이터를 수신하고 있을 수도 있다. 일 실시형태에서, 제조 설비 호스트 제어기 (206) 에 제공되었을 수도 있는 메트롤로지 데이터는 또한 분석 컴퓨터 (282) 로 포워드될 수도 있다. 따라서, 분석 컴퓨터 (282) 는 제조 설비 호스트 제어기 (206) 에 의해 이전에 수행되었을 수도 있는 레시피 조정을 다루도록 구성될 수도 있다. In one embodiment, in addition to the data collected from the sensors, the analysis computer 282 may also be receiving metrology data from the metrology tool 202 via the communications link 290. In one embodiment, the metrology data, which may have been provided to the manufacturing facility host controller 206, may also be forwarded to the analysis computer 282. Thus, the analysis computer 282 may be configured to handle recipe adjustments that may have previously been performed by the manufacturing facility host controller 206.

일 실시형태에서, 분석 컴퓨터 (282) 는 독립적인 데이터 스트림을 분석하도록 구성되고, 그 결과가 통신 링크 (286) 을 통해 프로세스 모듈 제어기 (208) 로 전송될 수도 있다. 도 3 은 분석 컴퓨터 (282) 가 분석을 수행하는데 사용할 수도 있는 계층적 관계의 예를 나타낸다. 일 실시형태에서, 고속 통신 링크가 사용되어 프로세스 모듈 제어기 (208) 에 실시간 업데이트들을 제공한다. 분석 컴퓨터 (282) 로부터의 결과들은 가상 센서 세트 포인트 조정들, 고장 검출 및 분류, 및 다중 센서 종점을 포함할 수도 있다. 그 결과들에 따라, 프로세스 모듈 제어기 (208) 는 레시피를 조정하고 및/또는 프로세싱을 정지시킬 수도 있다. In one embodiment, the analysis computer 282 is configured to analyze an independent data stream and the results may be transmitted to the process module controller 208 over the communications link 286. Figure 3 shows an example of a hierarchical relationship that analysis computer 282 may use to perform the analysis. In one embodiment, a high speed communication link is used to provide real-time updates to the process module controller 208. Results from analysis computer 282 may include virtual sensor set point adjustments, fault detection and classification, and multiple sensor endpoints. Depending on the results, the process module controller 208 may adjust the recipe and / or stop the processing.

종래 기술과 달리, 다변량 비직교 제어 방식은 레시피 세트 포인트들과 센서들 간의 관계를 정의하는데 사용될 수도 있다. 다변량 비직교 방식은 2 개의 특징들을 가질 수도 있다: (a) 레시피 세트 포인트들과 가상 센서 파라미터들 사이에 일대일 관계가 존재하지 않음, 및 (b) 다수의 센서들로부터의 파라미터들이 가상 센서 파라미터들을 결정하기 위해 사용됨. 즉, 레시피 세트 포인트는 복수의 센서들로부터 수집된 데이터와 연관될 수도 있다. 종래 기술과 달리, 레시피 세트 포인트들에 대한 조정들은 더 이상 제어-루프 센서들에 의해 수집된 데이터에만 종속하지 않을 수도 있다. 대신에, 독립 센서들에 의해 (및 일 실시형태에서는, 제어-루프 센서들에 의해) 수집된 데이터는 단독으로 또는 제어-루프 센서들과 함께 사용되어 소정의 챔버/플라즈마/기판 상태들을 결정 및 제어할 수도 있다. Unlike the prior art, multivariate non-orthogonal control schemes may be used to define the relationship between recipe set points and sensors. The multivariate nonorthogonal approach may have two features: (a) there is no one-to-one relationship between recipe set points and virtual sensor parameters, and (b) parameters from multiple sensors are associated with virtual sensor parameters Used to determine. That is, a recipe set point may be associated with data collected from a plurality of sensors. Unlike the prior art, adjustments to recipe set points may no longer depend only on the data collected by the control-loop sensors. Instead, the data collected by the independent sensors (and in one embodiment, by the control-loop sensors) may be used alone or in conjunction with the control-loop sensors to determine and determine certain chamber / plasma / Control.

논의를 용이하게 하기 위해, 도 3 은, 본 발명의 실시형태에서, 센서들/액츄에이터들 간의 계측정 관계를 도시한다. 예를 들어, 기판 (212) 이 프로세싱 챔버 (200) 에서 프로세싱되고 있는 상황을 고려하자. 먼저 레시피가 개시되는 경우, 레시피 세트 포인트들이 제공된다. 레시피 세트 포인트들은 전통적으로 제어-루프 센서들로부터의 측정들에 종속한다. 전통적으로, 프로세스 모듈 제어기 (208) 는 제어-루프 센서들로부터의 데이터를 사용하여 기판 또는 기판 로트가 프로세싱된 후 레시피 세트 포인트들을 튜닝할 수도 있다 (블록 302). 논의의 편의를 위해, 블록 (302) 은 벡터 (S) 로서 알려질 수도 있다. To facilitate discussion, FIG. 3 illustrates the metrological relationship between sensors / actuators in an embodiment of the present invention. For example, consider the situation in which the substrate 212 is being processed in the processing chamber 200. First, when a recipe is started, recipe set points are provided. Recipe set points are traditionally subject to measurements from control-loop sensors. Traditionally, the process module controller 208 may use the data from the control-loop sensors to tune recipe set points after the substrate or substrate lot has been processed (block 302). For convenience of discussion, block 302 may be known as vector S.

그러나, 이전에 논의된 바와 같이, 제어-루프 센서들로부터의 데이터는 항상 정확하지는 않을 수도 있고, 특히 레시피 세트 포인트와 제어-루프 센서 사이에 단변량 직교 관계가 존재하는 경우, 이것은 검출가능하지 않을 수도 있다. 따라서, (압력 센서 (226) 와 같은) 제어-루프 센서가 기능 불량을 갖는 경우, 제어-루프 센서에 의해 제공된 데이터에 대한 의존은 열악한 프로세싱 결과 및 심지어 손상된 기판을 초래할 수도 있고, 심지어 챔버 컴포넌트들을 손상시킬 수도 있다. However, as discussed previously, the data from the control-loop sensors may not always be accurate, especially if there is a univariate quadrature relationship between the recipe setpoint and the control-loop sensor, It is possible. Thus, when the control-loop sensor (such as pressure sensor 226) has malfunctions, reliance on the data provided by the control-loop sensor may result in poor processing results and even a damaged substrate, It can also damage it.

예를 들어, 압력 데이터를 확인하기 위해 데이터의 독립적인 소스를 제공하기 위해, 레시피 압력 세트 포인트를 튜닝하기 전에, 추가적인 데이터가 다른 제어-루프 센서들과 독립 센서들을 통해 제공될 수도 있다. 데이터가 레시피의 실행 전 또는 동안 획득될 수도 있지만 특정된 레시피 세트 포인트에 대해 프로세스 제어 루프에 독립일 수도 있다 (블록 304). 논의의 용이성을 위해, 블록 (304) 은 벡터 (V) 로서 알려질 수도 있다. Additional data may be provided via other control-loop sensors and independent sensors before tuning the recipe pressure set point, for example, to provide an independent source of data for identifying the pressure data. Data may be obtained before or during execution of the recipe, but may be independent of the process control loop for a specified recipe set point (block 304). For ease of discussion, block 304 may be known as vector (V).

일 실시형태에서, 실험적 관계 (empirical relationship) (벡터 Q) 가 블록 (302) 와 블록 (304) 사이에 존재할 수도 있다. 제조 허용오차에 기인하여 변화할 수도 있는 개개의 센서 특성들과 특정의 챔버 조건들에 기인하여, 벡터 S (302) 와 벡터 V (304) 사이의 실험적 관계 (벡터 Q) 는 챔버 특정 (chamber specific) 인 경향이 있다.In one embodiment, an empirical relationship (vector Q) may exist between block 302 and block 304. The experimental relationship (vector Q) between vector S 302 and vector V 304, due to individual sensor characteristics and specific chamber conditions that may vary due to manufacturing tolerances, is chamber specific ).

상술된 바와 같이, 블록 (304) 은 블록 (302) 의 제어-루프 센서들에 의해 제공된 데이터를 확인하는데 사용될 수도 있다. 일 예에서, 독립 센서 (264) 는 압력 센서 (226) 에 의해 제공된 데이터를 검증하지 않은 데이터를 제공할 수도 있다. 즉, 독립 센서 (264) 에 의해 제공된 데이터는 압력 센서 (226) 가 달리 나타낼 수 있을지라도 압력이 조정될 필요가 없다는 것을 나타낸다. As described above, block 304 may be used to verify the data provided by the control-loop sensors of block 302. In one example, the independent sensor 264 may provide data that does not verify the data provided by the pressure sensor 226. That is, the data provided by the independent sensor 264 indicates that the pressure does not need to be adjusted even though the pressure sensor 226 may otherwise be represented.

그러나, 단지 (압력 레벨과 같은) 하나의 파라미터 또는 다수의 직접 측정가능한 파라미터들을 분석하는 것만으로는 기판 및/또는 플라즈마를 원하는 상태로 하는데 필요한 모든 데이터를 제공하지 않을 수도 있다. 프로세스를 더 직접적이거나 더 효율적으로 원하는 상태로 하기 위해, 가상 센서들 및/또는 가상 액츄에이터들이 제공될 수도 있다 (블록 306). 논의의 용이성을 위해, 블록 (306) 은 벡터 R 로서 알려질 수도 있다.However, merely analyzing one parameter (such as pressure level) or a number of directly measurable parameters may not provide all the data needed to bring the substrate and / or plasma into a desired state. Virtual sensors and / or virtual actuators may be provided (block 306) in order to bring the process into a more direct or more efficient desired state. For ease of discussion, block 306 may be known as a vector R. [

여기서 논의된 바와 같이, 가상 센서는 단일의 센서에 의해 직접 측정되지 않을 수도 있는 파라미터들을 가상의 방식으로 측정할 수도 있는 복합 센서 또는 다수의 센서들의 유도체를 지칭한다. 대신에, 가상 센서 파라미터들은 복수의 센서들로부터의 데이터로부터 계산 및/또는 추론될 수도 있다. 가상 파라미터들의 예들은 예를 들어 이온 플럭스, 이온 에너지, 전자 밀도, 에칭 레이트 대 증착 레이트 비 등을 포함할 수도 있지만, 이들에 제한되지 않는다. As discussed herein, a virtual sensor refers to a composite sensor or a derivative of a plurality of sensors that may measure in a virtual manner parameters that may not be directly measured by a single sensor. Instead, the virtual sensor parameters may be computed and / or deduced from the data from the plurality of sensors. Examples of virtual parameters may include, but are not limited to, for example, ion flux, ion energy, electron density, etch rate to deposition rate ratio, and the like.

일 실시형태에서, 현상학적 관계 (벡터 M) 가 벡터 R 과 벡터 V 사이에 존재할 수도 있다. 여기서 논의된 바와 같이, 현상학적 관계는 관계가 비선형이거나 고도로 복잡할지라도 파라미터들이 서로 관련되고 서로로부터 도출가능할 수도 있는 관계를 지칭한다. 따라서, 가상 센서들을 확립하기 위해, 레시피의 (근저에 있는 물리학과 같은) 현상학적 행동의 이해가 요구될 수도 있고, 일반적으로 근저에 있는 모델이 타당성을 갖는다면 순수히 통계적 분석을 통해 개선을 산출하는 것으로 기대될 수도 있다. 결과적으로, 벡터 M 은 프로세스 유형에 특정인 경향이 있다. In one embodiment, a phenomenological relationship (vector M) may exist between the vector R and the vector V. As discussed herein, phenomenological relationships refer to relationships in which parameters are related to each other and may be derived from one another, even though the relationship is nonlinear or highly complex. Thus, in order to establish virtual sensors, an understanding of the phenomenological behavior of the recipe (such as the underlying physics) may be required, and in general, if the underlying model is plausible, purely statistical analysis will yield an improvement It may be expected. As a result, the vector M tends to be specific to the process type.

일 예에서, 챔버의 지오메트리, 소모성 부품들의 상태, 가스 흐름 제어기의 정확성, 압력 제어기의 정확성, 기판 및 다른 유사한 데이터는 모두 이온 플럭스 분포에 영향을 줄 수도 있다. 모든 이들 영향들을 고려함으로써 이온 플럭스 분포를 정확히 모델링하는 것은 고도로 복잡하고 오랜 시간이 걸릴 수도 있다. 그러나, 예를 들어 이온 플럭스에 관련한 가상 센서를 도출하기 위해, 하나의 위치에서의 이온 플럭스 측정 및 프로세싱 챔버의 일부 전기적 모델에 따른 RF 전압 및 전류의 측정이 사용될 수도 있는 현상학적 관계가 정의될 수도 있다. In one example, the geometry of the chamber, the state of consumable components, the accuracy of the gas flow controller, the accuracy of the pressure controller, the substrate and other similar data all may influence the ion flux distribution. Accurately modeling the ion flux distribution by considering all these effects can be highly complex and time-consuming. However, for example, to derive a virtual sensor associated with an ion flux, a phenomenological relationship may be defined in which ion flux measurements at one location and measurements of RF voltage and current according to some electrical model of the processing chamber may be used have.

도 3 으로부터 알 수 있는 바와 같이, 신뢰성 있는 방식으로 블록 (302) 로부터 블록 (306) 으로 횡단하는 것은 (블록 304 에 의해 제공된) 독립적인 데이터 스트림을 요구할 수도 있다. 독립적인 데이터 스트림으로부터의 데이터는 블록 (306) 에서 가상 센서들에 대한 측정들을 계산하기 위해 사용될 수도 있다. 즉, 블록 (302) 로부터 블록 (304) 를 통해 블록 (306) 으로 계층적 관계가 횡단하는 경우, 실시간 메트롤로지 능력이 제공될 수도 있다. As can be seen from FIG. 3, traversing from block 302 to block 306 in a reliable manner may require an independent data stream (provided by block 304). Data from an independent data stream may be used to compute measurements for the virtual sensors at block 306. That is, real-time metrology capability may be provided if the hierarchical relationship is traversed from block 302 through block 304 to block 306.

일 실시형태에서, 역 계층적 관계가 실행되는 경우, 실시간 프로세스 제어 능력이 제공될 수도 있다. 즉, 시스템이 블록 (306) 으로부터 블록 (304) 을 통해 블록 (302) 로 횡단하는 경우, 일 세트의 가상 액츄에이터들이 레시피를 튜닝하기 위해 구현될 수도 있다. 일 예에서, 전자 밀도 (가상 센서 값) 는 원하는 범위 밖에 있는 것으로 식별될 수도 있다. 세트 포인트 전자 밀도와 가상 전자 밀도 값 사이의 갭이 계산될 수도 있다. 일 실시형태에서, 제어-루프 센서가 드리프트하지 않은 경우, 계산된 갭이 가상 액츄에이터에 의해 사용되어 프로세스를 원하는 세트 포인트로 튜닝할 수도 있다. 그러나, 제어-루프 센서가 (독립 센서들에 의해 표시되는 바와 같이) 약간 드리프트한 경우, 계산된 갭은 레시피가 튜닝되기 전 드리프트를 설명하기 위해 변경되어야 할 수도 있다. In one embodiment, when an inverse hierarchical relationship is implemented, real-time process control capabilities may be provided. That is, if the system traverses from block 306 to block 302 through block 304, a set of virtual actuators may be implemented to tune the recipe. In one example, the electron density (virtual sensor value) may be identified as being outside the desired range. A gap between the set point electron density and the virtual electron density value may be calculated. In one embodiment, if the control-loop sensor is not drifted, the calculated gap may be used by the virtual actuator to tune the process to the desired setpoint. However, if the control-loop sensor drifts slightly (as indicated by the independent sensors), the calculated gap may have to be changed to account for the drift before the recipe is tuned.

일 실시형태에서, 가상 액츄에이터가 작은 증분들로 구동될 수도 있다. 일 예에서, (상기 예에서) 레시피를 튜닝하기 위해 전체 계산된 갭을 적용하는 대신에, 가상 액츄에이터가 불리하게 문제를 악화시키지 않는 것을 보장하기 위해 먼저 작은 값이 적용될 수도 있다. 예를 들어, 작은 변경 후의 분석이 기판이 원하는 상태로 이동하고 있는 것을 나타내는 경우, 추가의 조정들이 레시피를 튜닝하는 쪽으로 적용될 수도 있다. 스티피스트 디센트 (steepest descent) 기법들과 같은 진보된 비선형 "립 어헤드 (leap ahead)" 조정들이 파라미터 공간이 잘 행동되는 곳에 사용될 수도 있지만, 파라미터 공간이 더욱 복잡하고 잘못 컨디셔닝된 곳에서는 제한된 스텝-바이-스텝 접근법이 더 양호한 결과들을 산출할 수도 있다.In one embodiment, the hypothetical actuator may be driven with small increments. In one example, instead of applying the entire calculated gap to tune the recipe (in the example above), a small value may first be applied to ensure that the virtual actuator does not adversely affect the problem. For example, if the analysis after a small change indicates that the substrate is moving to a desired state, further adjustments may be applied towards tuning the recipe. Advanced nonlinear " leap ahead "adjustments, such as steepest descent techniques, may be used where the parameter space is well behaved, but where the parameter space is more complex and misconditioned, A bi-step approach may yield better results.

도 4 는 본 발명의 일 실시형태에서, 가상 메트롤로지를 수행하는 인-시츄 제어 프로세스 방법의 일 구현을 도시하는 간단한 흐름도를 도시한다. 여기서 논의된 바와 같이, 가상 메트롤로지는 실제의 측정을 수행하지 않고 직접 측정가능하지 않은 것들을 포함하는 측정 데이터를 획득하는 것을 지칭한다. 4 illustrates a simplified flow diagram illustrating one implementation of an in-situ control process method for performing a virtual metrology, in an embodiment of the present invention. As discussed herein, a virtual metrology refers to acquiring measurement data including those that are not directly measurable without performing an actual measurement.

제 1 단계 (402) 에서, 레시피가 프로세스 모듈 제어기로 다운로드된다. 일 예에서, 제조 설비 호스트 제어기 (206) 은 통신 링크 (210) 를 통해 프로세스 모듈 제어기 (208) 로 레시피를 전송할 수도 있다. In a first step 402, the recipe is downloaded to the process module controller. In one example, the manufacturing facility host controller 206 may send the recipe to the process module controller 208 via the communication link 210.

다음의 단계 (404) 에서, 센서 교정 데이터 (벡터 Q) 가 제공된다. 일 실시형태에서, 제어-루프 센서들과 독립 센서들 사이의 실험적 관계가 분석 컴퓨터 (282) 에 제공된다. In the next step 404, sensor calibration data (vector Q) is provided. In one embodiment, an empirical relationship between the control-loop sensors and the independent sensors is provided to the analysis computer 282.

다음의 단계 (406) 에서, 다운로드된 레시피가 실행되고, 레시피는 (블록 302 에 나타낸 바와 같은) 레시피 세트 포인트로 튜닝된다. In the next step 406, the downloaded recipe is executed and the recipe is tuned to a recipe set point (as shown in block 302).

다음의 단계 (408) 에서, 데이터는 센서들에 의한 프로세싱 동안 획득된다. In the next step 408, the data is acquired during processing by the sensors.

다음의 단계 (410) 에서, 시스템은 프로세스가 정지했는지를 결정하기 위해 체크한다. In the next step 410, the system checks to determine if the process has stopped.

프로세스가 정지하지 않았다면, 시스템은 데이터를 획득하기를 계속하기 위해 단계 (408) 로 리턴한다.If the process has not stopped, the system returns to step 408 to continue to acquire the data.

그러나, 프로세스가 정지한 경우, 시스템은 원하는 결과가 달성되는지 결정하기 위해 단계 (412) 로 진행한다. 실제의 측정을 수행하지 않고 이러한 결정을 행하기 위해, 가상 측정들 (벡터 R) 을 계산하기 위해 현상학적 모델 (벡터 M) 이 블록 (304) (벡터 V) 에 적용되는 계층적 관계가 적용될 수도 있다. However, if the process is stopped, the system proceeds to step 412 to determine if the desired result is achieved. To perform this determination without performing the actual measurement, a hierarchical relationship may be applied in which a phenomenological model (Vector M) is applied to block 304 (Vector V) to calculate virtual measurements (Vector R) have.

다음의 단계 (414) 에서, (분석 컴퓨터 (282) 와 같은) 시스템은 미리정의된 임계값에 대해 가상의 "측정들" 을 비교할 수도 있다. 이러한 단계에서, 시스템은 프로세스 결과들이 제어 한계들 내에 있는지를 결정하기 위해 프로세스 결과들을 재검토할 수도 있다. At next step 414, the system (such as analysis computer 282) may compare virtual "measurements" for a predefined threshold. At this stage, the system may review the process results to determine if process results are within control limits.

프로세스 결과들이 제어 한계들 내에 있는 경우, 다음의 단계 (416) 에서, 다른 기판이 프로세싱을 위해 로드되고 시스템은 단계 (406) 로 리턴한다. If the process results are within control limits, then at the next step 416, another substrate is loaded for processing and the system returns to step 406.

그러나, 가상 측정들이 미리정의된 임계값들 밖에 있는 경우, 다음의 단계 (418) 에서, 시스템은 경고 또는 알람을 트리거할 수도 있다 (통상 구별이 행해지며, 경고는 시스템 및 오퍼레이터에게 조정에 대한 필요, 진단적 조사 및 유지 보수의 필요성을 경각시키는 반면, 알람은 계류중인 정정 동작을 처리를 중지하여 기판 및/또는 머신 손상을 방지한다). 일 실시형태에서, 경고 또는 알람을 트리거하는 것은 고장 검출, 고장 분류 및/또는 레시피의 튜닝을 초래할 수도 있다.However, if the virtual measurements are outside the predefined thresholds, then at step 418, the system may trigger a warning or alarm (usually a distinction is made, the warning being made to the system and the operator , While alarming the need for diagnostic investigation and maintenance, the alarm stops processing the pending corrective action to prevent substrate and / or machine damage). In one embodiment, triggering a warning or alarm may result in fault detection, fault classification, and / or tuning of the recipe.

도 4 로부터 알 수 있는 바와 같이, 인-시츄 제어 프로세스는 가상으로 프로세싱 측정을 수행하는 방법을 제공한다. 종래 기술과 달리, 기판은 챔버로부터 제거되고 물리적 메트롤로지 툴을 사용하여 측정될 필요가 없다. 따라서, 이러한 발명적 시스템에 의해 제공된 가상 메트롤로지 능력은 고가의 메트롤로지 도구들의 비용을 감소시킬 수도 있다. 또한, 가상 메트롤로지 능력은 메트롤로지 분석을 수행하는데 요구되는 시간과 자원을 실질적으로 감소시킬 수도 있다. 또한, 인간은 측정 및 분석을 수행할 것이 요구되지 않는다. 대신에, (예를 들어, 분석 컴퓨터를 통해) 시스템은 자동적으로 가상 측정 데이터를 수집 및 계산하도록 구성될 수도 있다. 본 발명의 추가적인 잇점은 프로세스 동안 개재할 수 있는 능력이다. 표준으로부터의 편차들이 레시피 실행 동안 검출될 수 있기 때문에, 웨이퍼가 회복불가능하게 손상되기 전에 프로세스를 계속할지 여부에 관한 결정이 행해질 수 있다. 많은 프로세스들에서, 임계 치수에 가장 영향을 주는 단계들은 통상 마스크 개방 단계들이다. 편차가 마스크 프로세싱 단계 동안 검출되는 경우, 웨이퍼는 여전히 재생을 통해 회복가능하다. As can be seen from Figure 4, the in-situ control process provides a way to perform processing measurements virtually. Unlike the prior art, the substrate is removed from the chamber and need not be measured using a physical metrology tool. Thus, the virtual metrology capabilities provided by this inventive system may reduce the cost of expensive metrology tools. In addition, the virtual metrology capability may substantially reduce the time and resources required to perform the metrology analysis. Also, humans are not required to perform measurements and analyzes. Alternatively, the system may be configured to automatically collect and calculate virtual measurement data (e.g., via an analysis computer). A further advantage of the present invention is the ability to intervene during the process. Since deviations from the standard can be detected during recipe execution, a determination can be made as to whether to continue the process before the wafer is irrecoverably damaged. In many processes, the steps that most affect the critical dimension are usually mask opening steps. If a deviation is detected during the mask processing step, the wafer is still recoverable through regeneration.

도 5 는 본 발명의 일 실시형태에서, 실시간 프로세스 제어 능력을 제공하는 인-시츄 제어 프로세스의 구현을 도시하는 간단한 흐름도를 도시한다.5 illustrates a simplified flow diagram illustrating an implementation of an in-situ control process that provides real-time process control capabilities, in an embodiment of the invention.

제 1 단계 (502) 에서, 레시피가 프로세스 모듈 제어기에 다운로드된다. 일 예에서, 제조 설비 호스트 제어기 (206) 는 레시피를 통신 링크 (210) 를 통해 프로세스 모듈 제어기 (208) 로 전송할 수도 있다. In a first step 502, a recipe is downloaded to the process module controller. In one example, the manufacturing facility host controller 206 may send a recipe to the process module controller 208 via the communication link 210.

다음의 단계 (504) 에서, 센서 교정 데이터 (벡터 Q) 가 제공된다. 일 실시형태에서, 제어-루프 센서들과 독립 센서들 간의 실험적 관계가 분석 컴퓨터 (282) 에 제공될 수도 있다. In the next step 504, sensor calibration data (vector Q) is provided. In one embodiment, an experimental relationship between the control-loop sensors and the independent sensors may be provided to the analysis computer 282.

다음의 단계 (506) 에서, 레시피가 실행되고 레시피는 (블록 302 에서 나타낸 바와 같이) 레시피 세트 포인트로 튜닝된다. In the next step 506, the recipe is executed and the recipe is tuned to the recipe set point (as indicated at block 302).

다음의 단계 (508) 에서, 프로세싱 동안 데이터가 획득된다. 데이터는 상이한 시간 간격들에서 획득될 수도 있다. 일 실시형태에서, 데이터는 예를 들어 약 10 헤르츠의 주파수에서 획득된다. In the next step 508, data is acquired during processing. The data may be obtained at different time intervals. In one embodiment, the data is obtained at a frequency of, for example, about 10 Hertz.

제 1 세트의 데이터 세트가 분석 컴퓨터 (282) 에 의해 획득된 후, 다음의 단계 (510) 에서, 가상 측정들이 획득될 수도 있다. 즉, 가상 측정들 (벡터 R) 을 계산하기 위해 현상학적 모델 (벡터 M) 이 블록 (304) (벡터 V) 에 적용될 수도 있는 계층적 관계가 적용될 수도 있다.After the first set of data is acquired by the analysis computer 282, virtual measurements may be obtained at the next step 510. That is, a hierarchical relationship may be applied in which the phenomenological model (vector M) may be applied to block 304 (vector V) to calculate the virtual measurements (vector R).

다음의 단계 (512) 에서, 시스템은 프로세스가 원하는 상태에 있는지를 결정하기 위해 체크할 수도 있다.At next step 512, the system may check to determine if the process is in the desired state.

프로세스가 원하는 상태에 있는 경우, 다음의 단계 (514) 에서, 시스템은 프로세스가 종료했는지를 결정하기 위해 체크할 수도 있다. If the process is in the desired state, then at a next step 514, the system may check to determine if the process has terminated.

레시피가 여전히 실행되고 있는 경우, 시스템은 다음 세트의 데이터를 획득하기 위해 단계 (508) 로 다시 진행할 수도 있다. If the recipe is still being executed, the system may go back to step 508 to obtain the next set of data.

그러나, 프로세스가 정지한 경우, 다음의 단계 (516) 에서, 시스템은 프로세싱을 정지한다.However, if the process has halted, then at step 516, the system stops processing.

단계 (512) 를 다시 참조하면, 프로세스가 원하는 상태 내에 있지 않은 경우, 다음의 단계 (518) 에서, 시스템은 고장이 검출되었는지를 결정하기 위한 체크를 행할 수도 있다. Referring back to step 512, if the process is not in the desired state, then in a next step 518, the system may make a check to determine if a failure has been detected.

고장이 검출된 경우, 다음의 단계 (520) 에서, 시스템은 알람을 트리거할 수도 있고, 다음의 단계 (522) 에서, 고장이 분류될 수도 있다.If a fault is detected, then in a next step 520, the system may trigger an alarm, and in a next step 522, the fault may be classified.

그러나, 고장이 검출되지 않은 경우, 다음의 단계 (524) 에서, 조정된 레시피 세트 포인트가 계산될 수도 있다. 레시피를 조정하기 위해 적용될 수도 있는 가상 액츄에이터를 결정하기 위해, 계층적 모델이 적용될 수도 있다. 일 예에서, 데이터는 제어-루프 센서들 및 독립 센서들로부터 수집되었다. 또한, 가상 센서들은 독립 데이터 스트림과 제어-루프 센서들 사이에 존재할 수도 있는 현상학적 모델들 및 수집된 데이터에 기초하여 계산되었다. 일단 가상 센서들이 결정된 경우, 가상 센서 측정들은 원하는 값들에 대해 비교될 수도 있다. 차이들은 레시피를 튜닝하기 위해 가상 액츄에이터들에 의해 사용될 수도 있다. However, if no fault is detected, then at step 524 the adjusted recipe set point may be calculated. To determine the virtual actuators that may be applied to adjust the recipe, a hierarchical model may be applied. In one example, data was collected from control-loop sensors and independent sensors. Virtual sensors were also calculated based on phenomenological models and collected data that may exist between independent data streams and control-loop sensors. Once virtual sensors are determined, the virtual sensor measurements may be compared against the desired values. The differences may be used by virtual actuators to tune the recipe.

상술한 바와 같이, 가공하지 않은 차이들은 레시피를 튜닝하기 위해 프로세스 모듈 제어기로 전송될 수도 있는 실제의 값이 아닐 수도 있다. 대신에, 새로운 레시피 세트 포인트를 도출하기 위해 임의의 잠재적인 노이즈 또는 드리프트 (벡터 V) 에 대해 고려할 필요가 있을 수도 있다.As noted above, unprocessed differences may not be actual values that may be sent to the process module controller to tune the recipe. Instead, it may be necessary to consider any potential noise or drift (Vector V) to derive a new recipe set point.

새로운 레시피 세프 포인트가 결정된 후, 다음의 단계 (526) 에서, 시스템은 프로세스 모듈 제어기로 새로운 레시피 세프 포인트를 전송할 수도 있다.After the new recipe fresh point is determined, at the next step 526, the system may send a new recipe fresh point to the process module controller.

다음의 단계 (528) 에서, 레시피는 새로운 레시피 세트 포인트로 튜닝된다.In the next step 528, the recipe is tuned to the new recipe set point.

일단 레시피가 새로운 레시피 세트 포인트로 튜닝되면, 시스템은 새로운 세트의 데이터를 획득하기 위해 단계 (508) 로 리턴할 수도 있다.Once the recipe is tuned to the new recipe set point, the system may return to step 508 to acquire a new set of data.

도 5 로부터 알 수 있는 바와 같이, 레시피 미세-튜닝이 래시피의 실행 동안 (실시간으로) 수행될 수도 있다. 종래 기술과 달리, 레시피의 튜닝은 독립적인 데이터 스트림에 의해 검증될 수도 있다. 또한, 튜닝될 수도 있는 세트 포인트들은 더 이상 직접 측정될 수도 있는 파라미터들에 제한되지 않는다. 대신에, 다수의 파라미터들에 종속할 수도 있는 파라미터들이 계산되고 세트 포인트 목적을 위해 사용될 수도 있다. As can be seen from Fig. 5, recipe fine-tuning may be performed during execution of the recipe (in real time). Unlike the prior art, the tuning of the recipe may be verified by an independent data stream. Also, the set points that may be tuned are not limited to parameters that may be measured directly any more. Instead, parameters that may be dependent on multiple parameters may be calculated and used for set point purposes.

또한, 액츄에이터들은 이용가능한 물리적 액츄에이터들에 제한되지 않는다. 활성화될 때, 차례로 복수의 다른 물리적 액츄에이터들을 활성화하는 가상 액츄에이터가 사용될 수도 있다. 이러한 방식으로, 프로세스 모니터링 및 제어는 본질적으로 단순작업화된다.Further, the actuators are not limited to the available physical actuators. When activated, a virtual actuator that in turn activates a plurality of different physical actuators may be used. In this way, process monitoring and control is inherently simple to work with.

상술한 것으로부터 알 수 있는 바와 같이, 자동 인-시츄 프로세스 제어 방식을 제공하는 방법 및 장치가 제공된다. 인-시츄 프로세스 제어 방식을 사용하면, 원하는 레시피 상태로 각 기판을 프로세싱하는데 실시간 제어가 제공된다. 인-시츄 프로세스 제어는 또한 실시간으로 고장 검출 및 분류를 수행하는 인-시츄 방법을 제공할 수도 있다. 또한, 인-시츄 제어 프로세스는 툴에 프로세싱된 기판의 상태를 결정하기 위한 가상 메트롤로지 능력을 제공할 수도 있다. As can be seen from the above, a method and apparatus are provided for providing an automatic in-situ process control scheme. Using the in-situ process control scheme, real-time control is provided to process each substrate in a desired recipe state. The in-situ process control may also provide an in-situ method of performing fault detection and classification in real time. The in-situ control process may also provide the tool with virtual metrology capabilities for determining the state of the substrate processed.

본 발명이 몇가지 바람직한 실시형태들에 의해 기술되었지만, 본 발명의 범위 내에 있는 변경, 치환, 등가물이 존재한다. 다양한 예들이 여기에 제공되었지만, 이들 예들은 예시적인 것이고 본 발명에 대해 제한하는 것이 아니다.While the invention has been described by means of several preferred embodiments, there are alterations, permutations, and equivalents which fall within the scope of the invention. While various examples are provided herein, these examples are illustrative and not limiting of the present invention.

또한, 발명의 명칭 및 개요는 편의를 위해 여기에 제공되며 청구항들의 범위를 해석하는데 사용되지 않아야 한다. 또한, 요약서는 고도로 축약된 형태로 기록되어 있고 편의를 위해 제공되며, 따라서 청구항들에 표현되어 있는 전체 발명을 해석하거나 제한하는데 사용되지 않아야 한다. 용어 "세트" 는 여기서 사용되는 경우, 그러한 용어는 제로, 하나 또는 하나 이상의 부재를 커버하는 공통으로 이해되는 수학적 의미를 갖는 것으로 의도된다. 본 발명의 방법 및 장치를 구현하는 많은 대안의 방법들이 존재한다. 따라서, 다음의 첨부된 청구항들은 본 발명의 진정한 사상 및 범위에 있는 모든 변경, 치환 및 등가물을 포함하는 것으로 해석된다.Also, the title and description of the invention are provided herein for convenience and should not be used to interpret the scope of the claims. In addition, the abstract should be written in highly abbreviated form and is provided for convenience and should not be used to interpret or limit the entire invention as set forth in the claims. The term "set" when used herein is intended to have a commonly understood mathematical meaning covering zero, one, or more than one member. There are many alternative ways of implementing the methods and apparatus of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and equivalents as fall within the true spirit and scope of the present invention.

Claims (20)

플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 기판에 대한 레시피의 실행 동안 자동 인-시츄 프로세스 제어 방식을 구현하는 장치로서,
상기 레시피의 상기 실행 동안 세트 포인트들의 모니터링을 용이하게 하기 위해 제 1 세트의 센서 데이터를 적어도 수집하도록 구성된 복수의 제어-루프 센서들로서, 상기 복수의 제어-루프 센서들은 프로세스 제어 루프의 일부인, 상기 복수의 제어-루프 센서들;
제 2 세트의 센서 데이터를 적어도 수집하도록 구성된 일 세트의 독립 센서들로서, 상기 일 세트의 독립 센서들은 상기 프로세스 제어 루프의 일부가 아닌, 상기 일 세트의 독립 센서들;
상기 복수의 제어-루프 센서들로부터 상기 제 1 세트의 센서 데이터를 수신하도록 구성된 제1 허브;
상기 일 세트의 독립 센서들로부터 상기 제 2 세트의 센서 데이터를 적어도 수신하도록 구성된 제2 허브로서, 상기 제2 허브는 상기 제1 허브로부터 상기 제 1 세트의 센서 데이터를 수신하도록 구성된, 상기 제2 허브;
상기 제1 허브 및 상기 제2 허브에 통신가능하게 커플링되어, 상기 제 1 세트의 센서 데이터 및 상기 제 2 세트의 센서 데이터 중 적어도 하나의 분석을 수행하도록 구성된 분석 컴퓨터로서, 상기 분석 컴퓨터는 데이터를 분석하기 위한 고속 프로세서를 포함하는, 상기 분석 컴퓨터를 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
An apparatus for implementing an automatic in-situ process control scheme during execution of a recipe for a substrate within a processing chamber of a plasma processing system,
Loop sensors configured to at least collect a first set of sensor data to facilitate monitoring of set points during the execution of the recipe, wherein the plurality of control-loop sensors are part of a process control loop, Control-loop sensors;
A set of independent sensors configured to at least collect a second set of sensor data, the set of independent sensors being a part of the process control loop, the set of independent sensors;
A first hub configured to receive the first set of sensor data from the plurality of control-loop sensors;
A second hub configured to receive the first set of sensor data from the first hub, the second hub configured to receive the first set of sensor data from the first hub, Herb;
An analysis computer communicatively coupled to the first hub and the second hub for performing analysis of at least one of the first set of sensor data and the second set of sensor data, Wherein the analyzing computer comprises a high-speed processor for analyzing the in-situ process control method.
제 1 항에 있어서,
상기 레시피를 적어도 선택하도록 구성된 제조 설비 호스트 제어기;
주어진 세트의 레시피 세트 포인트들에 기초하여 상기 레시피를 적어도 실행하도록 구성된 프로세스 모듈 제어기; 및
상기 제조 설비 호스트 제어기 및 상기 분석 컴퓨터 중 적어도 하나에 측정 데이터를 제공하도록 구성된 일 세트의 메트롤로지 툴들 (metrology tools) 로서, 상기 측정 데이터는 상기 레시피에 통합되는데 이용가능한, 상기 일 세트의 메트롤로지 툴들을 더 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
The method according to claim 1,
A manufacturing facility host controller configured to at least select the recipe;
A process module controller configured to perform at least the recipe based on a set of recipe set points; And
A set of metrology tools configured to provide measurement data to at least one of the manufacturing facility host controller and the analysis computer, the metrology data being available for integration into the recipe, Wherein the apparatus further comprises means for implementing the in-situ process control method.
제 1 항에 있어서,
상기 일 세트의 독립 센서들에 의해 수집된 상기 제 2 세트의 센서 데이터는 상기 복수의 제어-루프 센서들에 의해 이미 수집된 적어도 부분적 세트의 데이터를 포함하도록 구성되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
The method according to claim 1,
Wherein the second set of sensor data collected by the one set of independent sensors is configured to include at least a partial set of data already collected by the plurality of control- Lt; / RTI >
제 1 항에 있어서,
상기 일 세트의 독립 센서들에 의해 수집된 상기 제 2 세트의 센서 데이터는 상기 복수의 제어-루프 센서들에 의해 이미 수집된 데이터를 포함하지 않도록 구성되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
The method according to claim 1,
Wherein the second set of sensor data collected by the one set of independent sensors is configured to not include data already collected by the plurality of control-loop sensors. Device.
제 2 항에 있어서,
상기 분석 컴퓨터는 센서 교정 데이터를 적어도 수신하도록 구성되고, 상기 센서 교정 데이터는 제어-루프 센서들의 세트와 상기 일 세트의 독립 센서들 사이의 실험적 관계 (empirical relationship) 를 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
3. The method of claim 2,
Wherein the analysis computer is configured to receive at least the sensor calibration data and the sensor calibration data includes an automatic in situ process including an empirical relationship between the set of control- A device implementing a control scheme.
제 5 항에 있어서,
상기 센서 교정 데이터는 챔버 특정 (chamber specific) 인, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
6. The method of claim 5,
Wherein the sensor calibration data is chamber specific.
제 5 항에 있어서,
상기 분석 컴퓨터는 상기 제 1 세트의 센서 데이터를 확인하기 위해 상기 제 2 세트의 센서 데이터를 적어도 이용하도록 구성되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
6. The method of claim 5,
Wherein the analysis computer is configured to at least use the second set of sensor data to identify the first set of sensor data.
제 7 항에 있어서,
상기 분석 컴퓨터는 일 세트의 가상 센서들을 적어도 확립하도록 구성되고,
상기 일 세트의 가상 센서들의 각각의 가상 센서는 복수의 센서들로부터 수집된 센서 데이터로부터 결정되고 있는 일 세트의 가상 파라미터들과 연관되고,
상기 복수의 센서들은 상기 일 세트의 독립 센서들 및 상기 세트의 제어-루프 센서들 중 적어도 하나로부터의 센서들을 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
8. The method of claim 7,
Wherein the analysis computer is configured to at least establish a set of virtual sensors,
Wherein each virtual sensor of the set of virtual sensors is associated with a set of virtual parameters being determined from sensor data collected from the plurality of sensors,
Wherein the plurality of sensors comprises sensors from at least one of the set of independent sensors and the set of control-loop sensors.
제 8 항에 있어서,
상기 일 세트의 가상 파라미터들은 이온 플럭스, 이온 에너지, 전자 밀도, 및 증착 레이트에 대한 에칭 레이트 비 중 적어도 하나를 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
9. The method of claim 8,
Wherein the set of virtual parameters comprises at least one of an ion flux, an ion energy, an electron density, and an etch rate ratio for a deposition rate.
제 8 항에 있어서,
상기 분석 컴퓨터는 상기 가상 센서들과 상기 제 2 세트의 센서 데이터 사이의 현상학적 관계 (phenomenological relationship) 를 적어도 확립하도록 구성되고,
상기 현상학적 관계는,
관련된 파라미터들, 및
서로로부터 도출가능한 파라미터들
중 적어도 하나를 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
9. The method of claim 8,
Wherein the analysis computer is configured to at least establish a phenomenological relationship between the virtual sensors and the second set of sensor data,
The phenomenological relationship is that,
Related parameters, and
Parameters derived from each other
Wherein the at least one of the at least one processor and the at least one processor is a processor.
제 10 항에 있어서,
상기 분석 컴퓨터는 실시간 메트롤로지를 제공하기 위해 가상 측정들을 적어도 계산하도록 구성되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
11. The method of claim 10,
Wherein the analysis computer is configured to calculate at least virtual measurements to provide a real-time metrology.
제 11 항에 있어서,
상기 분석 컴퓨터는 일 세트의 가상 센서 값들이 미리정의된 임계값 밖에 있는 경우, 상기 레시피를 튜닝하기 위해 일 세트의 가상 액츄에이터들을 확립함으로써 실시간 프로세스 제어 능력을 적어도 제공하도록 구성되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
12. The method of claim 11,
Wherein the analysis computer is configured to provide at least real time process control capability by establishing a set of virtual actuators to tune the recipe when a set of virtual sensor values is outside a predefined threshold, A device implementing a control scheme.
제 11 항에 있어서,
상기 분석 컴퓨터는 상기 프로세스 모듈 제어기에 상기 분석으로부터의 출력들을 전송하도록 구성되고,
상기 출력들은 일 세트의 가상 센서 세트 포인트 조정들, 고장 검출, 분류, 및 다중-센서 종점 중 적어도 하나를 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
12. The method of claim 11,
Wherein the analysis computer is configured to send outputs from the analysis to the process module controller,
Wherein the outputs comprise at least one of a set of virtual sensor set point adjustments, fault detection, classification, and multi-sensor endpoints.
제 13 항에 있어서,
상기 일 세트의 가상 센서 세트 포인트 조정들은 적어도 하나의 레시피 세트 포인트를 조정하기 위해 이용되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 장치.
14. The method of claim 13,
Wherein the set of virtual sensor set point adjustments is used to adjust at least one recipe set point.
플라즈마 프로세싱 시스템의 프로세싱 챔버 내에서 기판에 대한 레시피의 실행 동안 자동 인-시츄 프로세스 제어 방식을 구현하는 방법으로서,
상기 기판의 기판 프로세싱을 위해 상기 레시피를 검색하는 단계;
분석 컴퓨터로 센서 교정 데이터를 제공하는 단계로서, 상기 센서 교정 데이터는 일 세트의 제어-루프 센서들 및 일 세트의 독립 센서들 사이의 실험적 관계를 포함하는, 상기 센서 교정 데이터를 제공하는 단계;
상기 레시피를 튜닝하기 위해 일 세트의 가상 액츄에이터들을 결정하는 단계;
일 세트의 레시피 세트 포인트들로 상기 레시피를 튜닝하는 단계;
상기 레시피를 실행하는 단계;
상기 일 세트의 제어-루프 센서들로부터의 제 1 세트의 센서 데이터 및 상기 일 세트의 독립 센서들로부터의 제 2 세트의 센서 데이터를 수신하는 단계;
일 세트의 가상 측정들을 계산하기 위해 상기 제 1 세트의 센서 데이터 및 상기 제 2 세트의 센서 데이터 중 적어도 하나를 분석하는 단계;
미리정의된 임계값과 상기 일 세트의 가상 측정들을 비교하는 단계; 및
상기 일 세트의 가상 측정들이 상기 미리정의된 임계값 밖에 있는 경우, 경고 및 알람 중 적어도 하나를 발생시키는 단계를 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 방법.
A method of implementing an automated in-situ process control scheme during execution of a recipe for a substrate within a processing chamber of a plasma processing system,
Retrieving the recipe for substrate processing of the substrate;
Providing sensor calibration data to an analysis computer, the sensor calibration data comprising: providing the sensor calibration data comprising an experimental relationship between a set of control-loop sensors and a set of independent sensors;
Determining a set of virtual actuators to tune the recipe;
Tuning the recipe to a set of recipe set points;
Executing the recipe;
Receiving a first set of sensor data from the one set of control-loop sensors and a second set of sensor data from the one set of independent sensors;
Analyzing at least one of the first set of sensor data and the second set of sensor data to calculate a set of virtual measurements;
Comparing the set of virtual measurements with a predefined threshold; And
Generating at least one of an alert and an alarm if the set of virtual measurements is outside the predefined threshold. ≪ Desc / Clms Page number 22 >
제 15 항에 있어서,
상기 분석하는 단계는 미리정의된 시간 간격으로 발생하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 방법.
16. The method of claim 15,
Wherein the analyzing occurs at predefined time intervals. ≪ RTI ID = 0.0 > 11. < / RTI >
제 16 항에 있어서,
상기 가상 측정들은 현상학적 모델을 적용하는 것에 기초하여 계산되는, 자동 인-시츄 프로세스 제어 방식을 구현하는 방법.
17. The method of claim 16,
Wherein the virtual measurements are calculated based on applying a phenomenological model.
제 17 항에 있어서,
상기 일 세트의 가상 측정들이 상기 미리정의된 임계값 밖에 있는 경우 고장의 존재를 결정하는 단계를 더 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 방법.
18. The method of claim 17,
Determining the presence of a failure if the set of virtual measurements is outside the predefined threshold. ≪ Desc / Clms Page number 22 >
제 18 항에 있어서,
일 세트의 조정된 레시피 세트 포인트들을 결정하는 단계를 더 포함하는, 자동 인-시츄 프로세스 제어 방식을 구현하는 방법.
19. The method of claim 18,
Further comprising determining a set of adjusted recipe set points. ≪ Desc / Clms Page number 21 >
삭제delete
KR1020117031574A 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools KR101741272B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US22210209P 2009-06-30 2009-06-30
US22202409P 2009-06-30 2009-06-30
US61/222,102 2009-06-30
US61/222,024 2009-06-30
US12/555,674 US8983631B2 (en) 2009-06-30 2009-09-08 Arrangement for identifying uncontrolled events at the process module level and methods thereof
US12/555,674 2009-09-08
PCT/US2010/040456 WO2011002800A2 (en) 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools

Publications (2)

Publication Number Publication Date
KR20120037420A KR20120037420A (en) 2012-04-19
KR101741272B1 true KR101741272B1 (en) 2017-05-29

Family

ID=43411705

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020117031499A KR101708077B1 (en) 2009-06-30 2010-06-29 Methods and apparatus for predictive preventive maintenance of processing chambers
KR1020117031592A KR101741274B1 (en) 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof
KR1020117031574A KR101741272B1 (en) 2009-06-30 2010-06-29 Methods and arrangements for in-situ process monitoring and control for plasma processing tools
KR1020117031573A KR101708078B1 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
KR1020117031561A KR101741271B1 (en) 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020117031499A KR101708077B1 (en) 2009-06-30 2010-06-29 Methods and apparatus for predictive preventive maintenance of processing chambers
KR1020117031592A KR101741274B1 (en) 2009-06-30 2010-06-29 Arrangement for identifying uncontrolled events at the process module level and methods thereof

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020117031573A KR101708078B1 (en) 2009-06-30 2010-06-29 Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
KR1020117031561A KR101741271B1 (en) 2009-06-30 2010-06-29 Methods for constructing an optimal endpoint algorithm

Country Status (6)

Country Link
JP (5) JP5624618B2 (en)
KR (5) KR101708077B1 (en)
CN (5) CN102473631B (en)
SG (5) SG176565A1 (en)
TW (5) TWI509375B (en)
WO (5) WO2011002810A2 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102332383B (en) * 2011-09-23 2014-12-10 中微半导体设备(上海)有限公司 End point monitoring method for plasma etching process
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
TWI677264B (en) * 2013-12-13 2019-11-11 美商蘭姆研究公司 Rf impedance model based fault detection
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US11067515B2 (en) * 2017-11-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting a wafer process chamber
CN108847381A (en) * 2018-05-25 2018-11-20 深圳市华星光电半导体显示技术有限公司 The method for testing substrate and extended testing system substrate service life
US10651097B2 (en) 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
DE102019209110A1 (en) * 2019-06-24 2020-12-24 Sms Group Gmbh Industrial plant, in particular plant in the metal-producing industry or the aluminum or steel industry, and method for operating an industrial plant, in particular a plant in the metal-producing industry or the aluminum or steel industry
WO2023286142A1 (en) * 2021-07-13 2023-01-19 株式会社日立ハイテク Diagnostic device, diagnostic method, plasma processing device, and semiconductor device manufacturing system
US20230195074A1 (en) * 2021-12-21 2023-06-22 Applied Materials, Inc. Diagnostic methods for substrate manufacturing chambers using physics-based models
US20230260767A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Process control knob estimation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338856A (en) * 2000-05-30 2001-12-07 Tokyo Seimitsu Co Ltd Process controller for semiconductor manufacturing system
JP2007507888A (en) * 2003-09-30 2007-03-29 東京エレクトロン株式会社 A system and method using first principle simulation to control a semiconductor manufacturing process.

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5272872A (en) * 1992-11-25 1993-12-28 Ford Motor Company Method and apparatus of on-board catalytic converter efficiency monitoring
JP3301238B2 (en) * 1994-10-25 2002-07-15 三菱電機株式会社 Etching method
JPH08148474A (en) * 1994-11-16 1996-06-07 Sony Corp Dry etching end point detecting method and device
JPH09306894A (en) * 1996-05-17 1997-11-28 Sony Corp Optimum emission spectrum automatic detecting system
JP3630931B2 (en) * 1996-08-29 2005-03-23 富士通株式会社 Plasma processing apparatus, process monitoring method, and semiconductor device manufacturing method
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5993615A (en) * 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
KR100610413B1 (en) * 1997-09-17 2006-08-09 동경 엘렉트론 주식회사 Device and method for detecting and preventing arcing in rf plasma systems
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP4554037B2 (en) * 2000-07-04 2010-09-29 東京エレクトロン株式会社 Consumable consumption level prediction method and deposited film thickness prediction method
US6567718B1 (en) * 2000-07-28 2003-05-20 Advanced Micro Devices, Inc. Method and apparatus for monitoring consumable performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6821794B2 (en) 2001-10-04 2004-11-23 Novellus Systems, Inc. Flexible snapshot in endpoint detection
JP2003151955A (en) * 2001-11-19 2003-05-23 Nec Kansai Ltd Plasma etching method
WO2003102724A2 (en) * 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US6825050B2 (en) * 2002-06-07 2004-11-30 Lam Research Corporation Integrated stepwise statistical process control in a plasma processing system
US20040031052A1 (en) * 2002-08-12 2004-02-12 Liberate Technologies Information platform
US6781383B2 (en) * 2002-09-24 2004-08-24 Scientific System Research Limited Method for fault detection in a plasma process
JP4694843B2 (en) * 2002-09-30 2011-06-08 東京エレクトロン株式会社 Equipment for semiconductor manufacturing process monitoring and control
NZ539409A (en) * 2002-10-25 2007-01-26 S & C Elctric Co Method and apparatus for control of an electric power system in response to circuit abnormalities
JP4365109B2 (en) * 2003-01-29 2009-11-18 株式会社日立ハイテクノロジーズ Plasma processing equipment
US6969619B1 (en) * 2003-02-18 2005-11-29 Novellus Systems, Inc. Full spectrum endpoint detection
JP2004295348A (en) * 2003-03-26 2004-10-21 Mori Seiki Co Ltd Maintenance management system of machine tool
US7101805B2 (en) * 2003-05-09 2006-09-05 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
JP2004335841A (en) * 2003-05-09 2004-11-25 Tokyo Electron Ltd Prediction system and prediction method for plasma treatment apparatus
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
JP4043408B2 (en) * 2003-06-16 2008-02-06 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
KR100567745B1 (en) * 2003-09-25 2006-04-05 동부아남반도체 주식회사 Life predictive apparatus for a target of sputtering equipment and its operating method
US7930053B2 (en) * 2003-12-23 2011-04-19 Beacons Pharmaceuticals Pte Ltd Virtual platform to facilitate automated production
US7233878B2 (en) * 2004-01-30 2007-06-19 Tokyo Electron Limited Method and system for monitoring component consumption
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
JP2006004992A (en) * 2004-06-15 2006-01-05 Seiko Epson Corp Polishing device managing system, managing device, control program thereof and control method thereof
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
JP4972277B2 (en) * 2004-11-10 2012-07-11 東京エレクトロン株式会社 Substrate processing apparatus recovery method, apparatus recovery program, and substrate processing apparatus
US7828929B2 (en) * 2004-12-30 2010-11-09 Research Electro-Optics, Inc. Methods and devices for monitoring and controlling thin film processing
JP4707421B2 (en) * 2005-03-14 2011-06-22 東京エレクトロン株式会社 Processing apparatus, consumable part management method for processing apparatus, processing system, and consumable part management method for processing system
JP2006328510A (en) * 2005-05-30 2006-12-07 Ulvac Japan Ltd Plasma treatment method and device
TWI338321B (en) * 2005-06-16 2011-03-01 Unaxis Usa Inc Process change detection through the use of evolutionary algorithms
US7409260B2 (en) * 2005-08-22 2008-08-05 Applied Materials, Inc. Substrate thickness measuring during polishing
US7302363B2 (en) * 2006-03-31 2007-11-27 Tokyo Electron Limited Monitoring a system during low-pressure processes
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
KR20080006750A (en) * 2006-07-13 2008-01-17 삼성전자주식회사 Plasma doping system for fabrication of semiconductor device
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
CN100587902C (en) * 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 On-line predication method for maintaining etching apparatus
JP2008158769A (en) * 2006-12-22 2008-07-10 Tokyo Electron Ltd Substrate processing system, controller, setting information monitoring method, and storage medium with setting information monitoring program stored
US7548830B2 (en) * 2007-02-23 2009-06-16 General Electric Company System and method for equipment remaining life estimation
US7674636B2 (en) * 2007-03-12 2010-03-09 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate process uniformity
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
JP2008311338A (en) * 2007-06-13 2008-12-25 Harada Sangyo Kk Vacuum treatment apparatus and abnormal discharge precognition device used therefor, and control method of vacuum treatment apparatus
KR100892248B1 (en) * 2007-07-24 2009-04-09 주식회사 디엠에스 Endpoint detection device for realizing real-time control of a plasma reactor and the plasma reactor comprising the endpoint detection device and the endpoint detection method
US20090106290A1 (en) * 2007-10-17 2009-04-23 Rivard James P Method of analyzing manufacturing process data
JP4983575B2 (en) * 2007-11-30 2012-07-25 パナソニック株式会社 Plasma processing apparatus and plasma processing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338856A (en) * 2000-05-30 2001-12-07 Tokyo Seimitsu Co Ltd Process controller for semiconductor manufacturing system
JP2007507888A (en) * 2003-09-30 2007-03-29 東京エレクトロン株式会社 A system and method using first principle simulation to control a semiconductor manufacturing process.

Also Published As

Publication number Publication date
TWI495970B (en) 2015-08-11
KR101708077B1 (en) 2017-02-17
JP5624618B2 (en) 2014-11-12
TW201108022A (en) 2011-03-01
SG176566A1 (en) 2012-01-30
TW201115288A (en) 2011-05-01
JP5629770B2 (en) 2014-11-26
TW201112302A (en) 2011-04-01
KR101741271B1 (en) 2017-05-29
TW201129936A (en) 2011-09-01
SG176147A1 (en) 2011-12-29
JP2012532464A (en) 2012-12-13
CN102473590B (en) 2014-11-26
KR101708078B1 (en) 2017-02-17
KR20120037420A (en) 2012-04-19
WO2011002811A3 (en) 2011-02-24
TWI480917B (en) 2015-04-11
CN102473590A (en) 2012-05-23
KR20120101293A (en) 2012-09-13
JP5599882B2 (en) 2014-10-01
CN102804353A (en) 2012-11-28
CN102804929A (en) 2012-11-28
CN102473631A (en) 2012-05-23
WO2011002811A2 (en) 2011-01-06
CN102473631B (en) 2014-11-26
TWI509375B (en) 2015-11-21
KR20120037419A (en) 2012-04-19
JP2012532461A (en) 2012-12-13
CN102474968A (en) 2012-05-23
JP2012532462A (en) 2012-12-13
SG176564A1 (en) 2012-01-30
SG176567A1 (en) 2012-01-30
JP2012532463A (en) 2012-12-13
WO2011002800A2 (en) 2011-01-06
TWI484435B (en) 2015-05-11
WO2011002810A4 (en) 2011-06-03
WO2011002810A3 (en) 2011-04-14
JP5693573B2 (en) 2015-04-01
SG176565A1 (en) 2012-01-30
CN102804353B (en) 2015-04-15
WO2011002810A2 (en) 2011-01-06
WO2011002800A3 (en) 2011-04-07
WO2011002803A3 (en) 2011-03-03
KR101741274B1 (en) 2017-05-29
WO2011002804A3 (en) 2011-03-03
TW201129884A (en) 2011-09-01
KR20120047871A (en) 2012-05-14
CN102804929B (en) 2015-11-25
WO2011002804A2 (en) 2011-01-06
CN102474968B (en) 2015-09-02
JP2012532460A (en) 2012-12-13
KR20120037421A (en) 2012-04-19
WO2011002803A2 (en) 2011-01-06
TWI536193B (en) 2016-06-01

Similar Documents

Publication Publication Date Title
KR101741272B1 (en) Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8271121B2 (en) Methods and arrangements for in-situ process monitoring and control for plasma processing tools
JP5102488B2 (en) Method for fault detection in manufacturing equipment
US7809450B2 (en) Self-correcting multivariate analysis for use in monitoring dynamic parameters in process environments
US8437870B2 (en) System and method for implementing a virtual metrology advanced process control platform
US7624003B2 (en) Split-phase chamber modeling for chamber matching and fault detection
US7469195B2 (en) Integrated stepwise statistical process control in a plasma processing system
US7257457B2 (en) System and method for monitoring semiconductor production apparatus
JP2008530817A (en) Defect detection and classification (FDC) using lanturan controllers
Lynn et al. Real-time virtual metrology and control for plasma etch
US10047439B2 (en) Method and system for tool condition monitoring based on a simulated inline measurement
CN109657329B (en) Sensor fault and structure damage identification method based on improved adaptive particle filtering
CN109816191A (en) The qualitative forecasting method and its system of Multi-workstation System
KR20180065004A (en) Methods and systems for chamber matching and monitoring
CN105081547B (en) Welding controller and method for controlling a welding process
US20040088068A1 (en) Method and apparatus for providing first-principles feed-forward manufacturing control
KR102373933B1 (en) Diagnostic system for diagnosing semiconductor processing equipment and control method thereof
US6988225B1 (en) Verifying a fault detection result based on a process control state
US6925347B1 (en) Process control based on an estimated process result
Lynn et al. Real-time virtual metrology and control of etch rate in an industrial plasma chamber
KR102536735B1 (en) Board Fingerprinting for Characterizations and Fault Detections in the Processing Chamber
US20040003896A1 (en) Controller for plasma processing apparatus performing good etching process
TWI235899B (en) Method and related system for semiconductor equipment prevention maintenance management
Chiu et al. Applying the AVM system for run-to-run control: A preliminary study
Reeves et al. Process control approaches using real time harmonic impedance measurements

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant