KR101703096B1 - 반도체 장치의 제조방법 - Google Patents

반도체 장치의 제조방법 Download PDF

Info

Publication number
KR101703096B1
KR101703096B1 KR1020100086072A KR20100086072A KR101703096B1 KR 101703096 B1 KR101703096 B1 KR 101703096B1 KR 1020100086072 A KR1020100086072 A KR 1020100086072A KR 20100086072 A KR20100086072 A KR 20100086072A KR 101703096 B1 KR101703096 B1 KR 101703096B1
Authority
KR
South Korea
Prior art keywords
trench
forming
epitaxial layer
preliminary
preliminary trench
Prior art date
Application number
KR1020100086072A
Other languages
English (en)
Other versions
KR20120022464A (ko
Inventor
안경진
박상진
백재직
윤보언
한정남
Original Assignee
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자 주식회사 filed Critical 삼성전자 주식회사
Priority to KR1020100086072A priority Critical patent/KR101703096B1/ko
Priority to US13/212,380 priority patent/US8652915B2/en
Publication of KR20120022464A publication Critical patent/KR20120022464A/ko
Application granted granted Critical
Publication of KR101703096B1 publication Critical patent/KR101703096B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 장치의 제조방법이 제공된다. 반도체 장치의 제조방법은 기판 상에 게이트 전극을 형성하고, 상기 게이트 전극의 측벽에 스페이서를 형성하고, 상기 게이트 전극 및 상기 스페이서에 의해 노출된 상기 기판의 일정 영역을 식각하여 제1 예비 트렌치를 형성하고, 상기 제1 예비 트렌치의 하부면 상에 희생막을 형성하고, 상기 희생막에 의해 노출된 상기 제1 예비 트렌치의 측벽을 측면으로 식각하여 제2 예비 트렌치를 형성하고, 상기 희생막을 제거하고, 상기 제2 예비 트렌치를 식각하여 에피택셜층 형성용 트렌치를 형성하고, 상기 에피택셜층 형성용 트렌치 내에 SiGe 에피택셜층을 형성하는 것을 포함한다.

Description

반도체 장치의 제조방법{Method of fabricating semiconductor device}
본 발명은 반도체 장치의 제조방법에 관한 것이다.
최근, 반도체 장치의 동작 전류를 증가시키기 위해서 소자에 기계적 스트레스를 가하여 채널 영역에 스트레스를 조절하는 방법이 연구되고 있다. 즉, 채널영역에 일정한 스트레스가 형성되면 캐리어(carrier)들의 이동성(mobility)이 영향 받는 것을 이용하여 동작 전류를 향상시키는 것이다.
PMOS 트랜지스터의 채널 영역에 압축 스트레스(compressive stress)가 형성되면 정공 캐리어(hole carrier)의 이동성이 향상된다.
예를 들어, PMOS 트랜지스터의 소오스/드레인 영역에 트렌치를 형성하고, 트렌치 내에 SiGe 층을 형성함으로써, PMOS 트랜지스터의 채널 영역에 압축 스트레스를 줄 수 있다. 여기서 SiGe 층을 채널 영역에 가까이 형성할수록 채널 영역에 가해지는 압축 스트레스의 크기가 클 수 있다.
본 발명이 해결하고자 하는 과제는, 전하의 이동도가 향상된 반도체 장치의 제조방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 일 실시예에 따른 반도체 장치의 제조방법의 일 실시예는, 기판 상에 게이트 전극을 형성하고, 상기 게이트 전극의 측벽에 스페이서를 형성하고, 상기 게이트 전극 및 상기 스페이서에 의해 노출된 상기 기판의 일정 영역을 식각하여 제1 예비 트렌치를 형성하고, 상기 제1 예비 트렌치의 하부면 상에 희생막을 형성하고, 상기 희생막에 의해 노출된 상기 제1 예비 트렌치의 측벽을 측면으로 식각하여 제2 예비 트렌치를 형성하고, 상기 희생막을 제거하고, 상기 제2 예비 트렌치를 식각하여 에피택셜층 형성용 트렌치를 형성하고, 상기 에피택셜층 형성용 트렌치 내에 SiGe 에피택셜층을 형성하는 것을 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1 내지 7 및 도 9 내지 도 11은 본 발명의 일 실시예에 따른 반도체 장치의 제조방법을 순차적으로 나타낸 단면도이다.
도 8은 도 6 및 도 7의 A 영역을 확대하여 도시한 도면이다.
도 12는 도 11의 변형예를 도시한 단면도이다.
도 13 내지 도 15는 본 발명의 다른 실시예에 따른 반도체 장치의 제조방법을 설명하기 위한 단면도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 도면에서 표시된 구성요소의 크기 및 상대적인 크기는 설명의 명료성을 위해 과장된 것일 수 있다.
명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭하며, "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "이루어지다(made of)"는 언급된 구성요소, 단계, 동작 및/또는 장치는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 장치의 존재 또는 추가를 배제하지 않는다.
비록 제1, 제2 등이 다양한 구성요소들을 서술하기 위해서 사용되나, 이들 구성요소들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 구성요소를 다른 구성요소와 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 구성요소는 본 발명의 기술적 사상 내에서 제2 구성요소일 수도 있음은 물론이다.
본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 개략도인 평면도 및 단면도를 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 장치의 영역의 특정 형태를 예시하기 위한 것이고, 발명의 범주를 제한하기 위한 것은 아니다.
다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다.
도 1 내지 도 12을 참조하여 본 발명의 일 실시예에 따른 반도체 장치의 제조방법을 설명한다. 도 1 내지 7 및 도 9 내지 도 11은 본 발명의 일 실시예에 따른 반도체 장치의 제조방법을 순차적으로 나타낸 단면도이다. 도 8은 도 6 및 도 7의 A 영역을 확대하여 도시한 도면이다. 도 12는 도 11의 변형예를 도시한 단면도이다.
도 1을 참조하면, 기판(100)을 STI(Shallow Trench Isolation; STI) 또는 FOX(Field OXide; FOX) 등의 소자 분리막에 의해 활성 영역과 비활성 영역으로 분리한다. 이어서, 기판(100)의 상기 활성 영역 상에 게이트 절연막(110), 게이트 전극(120), 및 게이트 캡핑막(130)을 형성한다.
기판(100)은 실리콘 기판, SOI(Silicon On Insulator) 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, 세라믹 기판, 석영 기판, 또는 디스플레이용 유리 기판 등의 강성 기판이거나, 폴리이미드(polyimide), 폴리에틸렌 테레프탈레이트(PET: PolyEthylene Terephthalate), 폴리에틸렌 나프탈레이트(PEN: PolyEthylene Naphthalate), 폴리 메틸메타크릴레이트(PMMA: Poly Methyl MethAcrylate), 폴리카보네이트(PC: PolyCarbonate), 폴리에테르술폰(PES), 폴리에스테르(Polyester) 등의 가요성 플라스틱 기판일 수 있다.
게이트 절연막(110)은 기판(100)을 열산화시켜 형성한 실리콘 산화막, SiON, GexOyNz, GexSiyOz, 고유전율 물질, 이들의 조합물 또는 이들이 차례로 적층된 적층막 등이 사용될 수 있다. 여기서, 고유전율 물질은 HfO2, ZrO2, Al2O3, Ta2O5, 하프늄 실리케이트, 지르코늄 실리케이트 또는 이들의 조합막 등이 사용될 수 있으나, 이에 제한되지 않는다.
게이트 전극(120)은 poly-Si, poly-SiGe, 도핑된 poly-Si 또는 Ta, TaN, TaSiN, TiN, Mo, Ru, Ni, NiSi 같은 금속 또는 이들이 차례로 적층된 적층막 등으로 형성할 수 있다. 게이트 캡핑막(130)은 SiN, SiON 등이 사용될 수 있다.
도 2를 참조하면, 게이트 절연막(110), 게이트 전극(120), 및 게이트 캡핑막(130)을 이온 주입 마스크로 사용하여 기판(100)에 저농도의 불순물 이온을 주입하여 저농도 불순물 영역(102)을 형성한다. PMOS 트랜지스터를 형성하는 경우에는 붕소 또는 갈륨과 같은 p-타입 불순물을 이용하며, NMOS 트랜지스터를 형성하는 경우에는 인 또는 비소와 같은 n-타입 불순물을 이용한다. 저농도 불순물 영역(102) 사이의 게이트 전극(120) 하부 영역은 채널 영역이 된다.
도 3을 참조하면, 게이트 절연막(110), 게이트 전극(120), 및 게이트 캡핑막(130)의 측벽에 스페이서(140)를 형성한다. 스페이서(140)는 제1 스페이서(141) 및 제2 스페이서(142)를 포함할 수 있다. 스페이서(140)는 게이트 절연막(110), 게이트 전극(120), 및 게이트 캡핑막(130)이 형성된 기판(100) 전면에 제1 스페이서용 절연막 및 제2 스페이서용 절연막을 순차적으로 형성한 후 에치백(etch back)과 같은 전면 식각 공정을 이용하여 상기 제1 스페이서용 절연막 및 제2 스페이서용 절연막을 식각하여 형성될 수 있다. 제1 스페이서(141)는 실리콘 산화막으로 형성될 수 있으며, 제2 스페이서(142)는 실리콘 질화막으로 형성될 수 있다.
도 4를 참조하면, 게이트 절연막(110), 게이트 전극(120), 게이트 캡핑막(130), 및 스페이서(140)를 이온 주입 마스크로 사용하여 기판(100)에 고농도의 불순물 이온을 주입하여 고농도 불순물 영역(103)을 형성한다.
도 5를 참조하면, 게이트 절연막(110), 게이트 전극(120), 게이트 캡핑막(130), 및 스페이서(140)에 의해 노출되는 기판(100)의 일정 영역을 식각하여 제1 예비 트렌치(150)를 형성한다. 제1 예비 트렌치(150)를 형성하는 것은 에치백 공정을 이용할 수 있다. 상기 에치백 공정은 예를 들어 캐리어 가스로 사용되는 아르곤 또는 헬륨과 NF3 및 Cl2가 포함된 가스 또는 HBr과 Cl2가 포함된 가스를 이용하는 건식 식각 공정일 수 있다. 제1 예비 트렌치(150)는 저농도/고농도 불순물 영역(102, 103) 내에 될 수 있다. 제1 예비 트렌치(150)는 스페이서(140)의 하부면을 노출시키지 않을 수 있다.
도 6을 참조하면, 제1 예비 트렌치(150)가 형성된 기판(100)의 전면 상에 희생막(160)을 형성한다. 희생막(160)은 기판(100)과 식각 선택비가 높은 물질로 형성될 수 있으며, 예를 들어, 고밀도 플라즈마(HDP: High Density Plasma) 산화막을 이용하여 형성할 수 있다. 상기 고밀도 플라즈마 산화막은 형성되는 방향을 조절하는 것이 용이하다. 따라서 상기 고밀도 플라즈마 산화막을 이용하여 희생막(160)을 형성하면, 희생막(160)이 제1 예비 트렌치(150)의 측벽(151)은 노출시키면서 하부면에만 형성되도록 조절할 수 있다. 희생막(160)은 스페이서(140) 및 게이트 캡핑막(130) 상에 형성될 수 있다.
도 7을 참조하면, 희생막(160)에 의해 노출된 제1 예비 트렌치(150)의 측벽(151)을 측면으로(lateral) 식각하여 제2 예비 트렌치(155)를 형성한다. 제1 예비 트렌치(150)의 측벽(151)을 측면으로 식각하는 것은 건식 식각을 이용할 수 있다. 상기 건식 식각은 예를 들어, NF3 또는 Cl2 가스 등을 이용하여 수행될 수 있다. 그러나 본 발명은 이에 한정되지 않으며, 습식 식각을 이용하여 제1 예비 트렌치(150)의 측벽을 측면으로 식각할 수도 있다. 상기 습식 식각은 예를 들어, 암모니아, 초순수(DIW), 및 과산화수소수가 혼합된 식각액 등을 이용할 수 수행될 수 있다. 희생막(160)에 의해 덮여진 제1 예비 트렌치(150)의 하부면은 식각되지 않으므로, 희생막(160)에 의해 덮여진 기판(100)의 일정 영역은 보호될 수 있다. 제1 예비 트렌치(150)의 측벽(151)을 측면으로 식각하면 스페이서(140)의 하부면의 일부가 노출될 수 있다.
도 6 및 도 7을 참조하면, 제1 예비 트렌치(150)의 최대폭을 w1이라고 하고, 제2 예비 트렌치(155)의 최대폭을 w2라고 하면, w2는 w1보다 크다. 즉, 도 8을 참조하면, 제2 예비 트렌치(155)의 측벽(152)은 제1 예비 트렌치(150)의 측벽(151)보다 채널 영역에 더 근접하여 형성된다. 본 실시예에서는 희생막(160)을 이용하여 기판(100)이 깊이 방향으로 식각되는 것은 방지하면서 측면 방향으로는 식각되도록 하여 제2 예비 트렌치(155)의 측벽(152)이 채널 영역에 보다 가까워지도록 형성할 수 있다.
또한 도 6 내지 도 8을 참조하면, 제2 예비 트렌치(155)가 최대폭을 가지는 지점은 제1 예비 트렌치(150)가 최대폭을 가지는 지점보다 기판(100)의 상부면에 더 가까이 위치한다. 그와 같이 함으로써, 후속 공정에서 제2 예비 트렌치(155)를 이용하여 형성되는 에피택셜층 형성용 트렌치(도 10의 157)의 팁(Tip)(도 10의 159)이 채널 영역에 보다 가까이 위치하도록, 예를 들어 게이트 전극(120)의 측벽과 일직선 상에 놓이도록 형성될 수 있다. 에피택셜층 형성용 트렌치(도 10의 157)의 팁(도 10의 159)이 채널 영역에 가까이 위치할수록 에피택셜층 형성용 트렌치(도 10의 157) 내에 형성되는 SiGe 에피택셜층(도 11의 170)에 의해 채널 영역에 가해지는 압축 스트레스의 크기가 더 커질 수 있다.
도 6 내지 도 8을 참조하여, 제2 예비 트렌치(155)를 형성하지 않고 제1 예비 트렌치(150)로부터 바로 에피택셜층 형성용 트렌치(도 10의 157)를 형성하는 경우에 에피택셜층 형성용 트렌치(도 10의 157)의 팁(도 10의 159)이 형성되는 위치와 제2 예비 트렌치(155)로부터 에피택셜층 형성용 트렌치(도 10의 157)를 형성하는 경우에 에피택셜층 형성용 트렌치(도 10의 157)의 팁(도 10의 159)이 형성되는 위치를 설명한다.
먼저, 제2 예비 트렌치(155)를 형성하지 않고 제1 예비 트렌치(150)로부터 바로 에피택셜층 형성용 트렌치(도 10의 157)를 형성하는 경우를 설명한다. 기판(100)의 상부면에 대하여 일정한 기울기를 가지는 직선, 예를 들어 기판(100)의 상부면과 상기 직선이 이루는 각(α )이 54도인 직선이 제1 예비 트렌치(151)와 접할 때의 접선을 제1 접선(a)이라 하고, 제1 예비 트렌치(151)가 최대폭을 가지는 지점에서 기판(100)의 상부면과 평행한 직선을 제1 수평선(b)이라고 하면, 제1 접선(a)과 제1 수평선(b)이 만나는 지점(c)에서 에피택셜층 형성용 트렌치(도 10의 157)의 팁(도 10의 159)이 형성이 된다.
이에 반하여, 제2 예비 트렌치(155)로부터 에피택셜층 형성용 트렌치(도 10의 157)를 형성하는 경우를 설명하면, 기판(100)의 상부면에 대하여 일정한 기울기를 가지는 직선, 예를 들어 기판(100)의 상부면과 상기 직선이 이루는 각(α )이 54도인 직선이 제2 예비 트렌치(155)와 접할 때의 접선을 제2 접선(d)이라 하고, 제2 예비 트렌치(155)가 최대폭을 가지는 지점에서 기판(100)의 상부면과 평행한 직선을 제2 수평선(e)이라고 하면, 제2 접선(d)과 제2 수평선(e)이 만나는 지점(f)에서 에피택셜층 형성용 트렌치(도 10의 157)의 팁(도 10의 159)이 형성이 된다.
도 8을 참조하면, 제2 접선(d)과 제2 수평선(e)이 만나는 지점(f)이 제1 접선(a)과 제1 수평선(b)이 만나는 지점(c)보다 측면 및 깊이면에서 채널 영역에 더 가까이 위치함을 확인할 수 있다. 따라서 제2 예비 트렌치(155)로부터 에피택셜층 형성용 트렌치(도 10의 157)를 형성하는 경우에 에피택셜층 형성용 트렌치(도 10의 157)의 팁(도 10의 159)이 채널 영역에 더 가까이 형성된다.
도 9를 참조하면, 희생막(160)을 제거한다. 희생막(160)을 고밀도 플라즈마 산화막으로 형성하는 경우, 희생막(160)은 예를 들어, O3HF을 이용한 세정 및 PNC(Plasma Native oxide Cleaning or Pre Native oxide Cleaning)를 이용한 세정을 순차적으로 이용하여 제거될 수 있다.
도 10을 참조하면, 제2 예비 트렌치(155)를 식각하여 에피택셜층 형성용 트렌치(157)를 완성한다. 제2 예비 트렌치(155)를 식각하는 것은 습식 식각을 이용할 수 있으며, 결정학적 이방성 에칭(crystallographic anisotropic etching)을 이용할 수 있다. 습식 식각에 의한 결정학적 이방성 에칭은 예를 들어, KOH, NaOH, NH4OH, 또는 TMAH(TetraMethylAmmonium Hydroxide) 등을 식각액으로 이용할 수 있다. 에피택셜층 형성용 트렌치(157)는 저농도/고농도 불순물 영역(102, 103) 내에 형성될 수 있으며, 에피택셜층 형성용 트렌치(157)의 외곽부를 저농도/고농도 불순물 영역(102, 103)이 감싸고 있을 수 있다.
결정학적 이방성 에칭을 이용하면 기판(100)의 결정 배향에 따라 서로 다른 식각 속도로 기판(100)을 식각한다. 예를 들어, 기판(100)의 수평면은 [100] 결정 배향을 가지며, 수직면은 [110] 결정 배향을 가지며, 대각선면은 [111] 결정 배향을 가지는 경우, 결정 배향에 따른 식각 속도는 [100] 결정 배향을 갖는 수평면, [110] 결정 배향을 갖는 수직면, [111] 결정 배향을 갖는 대각선면 순으로 느릴 수 있다. 따라서 결정학적 이방성 에칭에 의해 형성된 에피택셜층 형성용 트렌치(157)는 육각형 프로파일(또는 시그마(sigma) 형상)을 가질 수 있다.
기판(100)의 상부면으로부터 에피택셜층 형성용 트렌치(157)가 최대폭을 갖는 팁(Tip)(159)까지의 깊이(d)가 작을수록 에피택셜층 형성용 트렌치(도 10의 157) 내에 형성되는 SiGe 에피택셜층(도 11의 170)에 의해 채널 영역에 가해지는 압축 스트레스의 크기가 더 커질 수 있다. 예를 들어, 기판(100)의 상부면으로부터 팁(159)까지의 깊이(d)는 약 7nm 이하일 수 있다. 또한 팁(159)은 게이트 전극(120)의 측벽과 일직선 상에 있을 수 있다. 이 때 에피택셜층 형성용 트렌치(도 10의 157) 내에 형성되는 SiGe 에피택셜층(도 11의 170)에 의해 채널 영역에 가해지는 압축 스트레스의 크기가 더 커질 수 있다.
앞서 설명한 바와 같이 본 실시예에서는 제1 예비 트렌치(150) 하부에 희생막(160)을 형성하고, 희생막(160)에 의해 노출된 제1 예비 트렌치(150)의 측벽을 측면으로 식각하여 제2 예비 트렌치(155)의 폭을 확장시킴으로써 제2 예비 트렌치(155)를 이용하여 형성되는 에피택셜층 형성용 트렌치(157)의 팁(159)을 채널 영역에 가깝도록 위치시킬 수 있다.
도 11을 참조하면, 에피택셜층 형성용 트렌치(157) 내에 SiGe 에피택셜층(170)을 형성한다. SiGe 에피택셜층(170)을 형성하기 위한 에피택시 공정은 약 500 ~ 900℃, 약 1 ~ 500Torr에서 수행될 수 있으며, 본 발명의 목적범위 내에서 적절하게 조절할 수 있다. 또한, 실리콘 소스 가스로는 SiH4, SiH2Cl2, SiHCl3, SiCl4, SiHxCly(x+y=4), Si(OC4H9)4, Si(OCH3)4, Si(OC2H5)4 등을 사용할 수 있고, Ge 소스 가스로는 GeH4, GeCl4, GeHxCly(x+y=4) 등을 사용할 수 있는데, 이에 한정되는 것은 아니다. SiGe 에피택셜층(170) 형성시 SiGe 에피택셜층(170)에 불순물 이온을 인시츄로 도핑할 수도 있다.
SiGe 에피택셜층(170)은 기판(100)의 상부면과 동일한 높이까지 형성될 수 있다. 또는 이와 달리 도 12에 도시된 바와 같이 SiGe 에피택셜층(171)의 상부면은 기판(100)의 상부면보다 높게 형성될 수도 있다.
도 13 내지 도 15를 참조하여 본 발명의 다른 실시예에 따른 반도체 장치의 제조방법을 설명한다. 도 13 내지 도 15는 본 발명의 다른 실시예에 따른 반도체 장치의 제조방법을 설명하기 위한 단면도이다. 도 1 내지 12와 실질적으로 동일한 구성 요소에 대해서는 동일한 도면 부호를 사용하며, 해당 구성 요소에 대한 상세한 설명은 생략하기로 한다.
도 13을 참조하면, 본 발명의 다른 실시예에 따른 반도체 장치의 제조방법이 본 발명의 일 실시예에 따른 반도체 장치의 제조방법과 다른 점은, 더미 게이트 패턴(210), 더미 게이트 전극(220), 및 더미 게이트 희생막(230)을 이용하는 것이다.
구체적으로 기판(100) 상에 더미 게이트 절연막(210), 더미 게이트 전극(220), 및 더미 게이트 캡핑막(230)을 형성한다. 더미 게이트 절연막(210)은 실리콘 산화막으로 형성될 수 있으며, 더미 게이트 전극(220)은 폴리 실리콘으로 형성될 수 있다. 더미 게이트 캡핑막(230)은 SiN, SiON 등으로 형성될 수 있다. 더미 게이트 전극(220)을 이용하는 것은 후속 공정들의 공정 온도, 예를 들어, 저농도/고농도 불순물 영역(102, 103)을 형성하는 공정의 온도가 게이트 전극(도 15의 320)에 사용되는 금속 물질의 용융점보다 더 높기 때문일 수 있다.
이어서 도 2 내지 도 11에 도시된 제조방법에 따라서 에피택셜층 형성용 트렌치(157)를 완성하고, 에피택셜층 형성용 트렌치(157) 내에 SiGe 에피택셜층(170)까지 형성한다. 이어서 기판(100) 상에 더미 게이트 패턴(210), 더미 게이트 전극(220), 더미 게이트 캡핑막(230), 및 스페이서(140)를 매립하는 층간 절연막(180)을 형성하고, 더미 게이트 캡핑막(230)의 상부면에 노출될 때까지 층간 절연막(180)을 평탄화한다.
도 14를 참조하면, 더미 게이트 절연막(210), 더미 게이트 전극(220), 및 더미 게이트 캡핑막(230)을 선택적으로 제거하여 게이트 형성용 트렌치(250)를 형성한다. 도면에 도시된 바와 달리, 더미 게이트 절연막(210)은 제거되지 않을 수도 있다. 더미 게이트 절연막(210), 더미 게이트 전극(220), 및 더미 게이트 캡핑막(230)은 반응성 이온 에칭(Reactive Ion Etching)법에 의해서 제거될 수 있다.
도 15를 참조하면, 게이트 형성용 트렌치(250) 내부에 게이트 절연막(310), 게이트 전극(320), 및 게이트 캡핑막(330)을 형성한다. 게이트 절연막(310)은 HfO2, ZrO2, Al2O3, Ta2O5, 하프늄 실리케이트, 지르코늄 실리케이트 또는 이들의 조합막과 같은 고유전율 물질일 수 있다. 게이트 전극(320)은 Al, W, Ta, TaN, TaSiN, TiN, Mo, Ru, Ni, NiSi 등과 같은 금속을 이용하여 형성될 수 있다. 게이트 캡핑막(130)은 SiN, SiON 등이 사용될 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
102: 저농도 불순물 영역 103: 고농도 불순물 영역
110, 310: 게이트 절연막 120, 320: 게이트 전극
130, 330: 게이트 캡핑막 140: 스페이서
150: 제1 예비 트렌치 155: 제2 예비 트렌치
157: 에피택셜층 형성용 트렌치 159: 팁
160: 희생막 170, 171: SiGe 에피택셜층
180: 층간 절연막 210: 더미 게이트 절연막
220: 더미 게이트 전극 230: 더미 게이트 캡핑막

Claims (10)

  1. 기판 상에 게이트 전극을 형성하고,
    상기 게이트 전극의 측벽에 스페이서를 형성하고,
    상기 게이트 전극 및 상기 스페이서에 의해 노출된 상기 기판의 일정 영역을 식각하여 제1 예비 트렌치를 형성하고,
    상기 제1 예비 트렌치의 하부면 상에 희생막을 형성하고,
    상기 희생막에 의해 노출된 상기 제1 예비 트렌치의 측벽을 측면으로 식각하여 제2 예비 트렌치를 형성하고,
    상기 희생막을 제거하고,
    상기 제2 예비 트렌치를 식각하여 에피택셜층 형성용 트렌치를 형성하고,
    상기 에피택셜층 형성용 트렌치 내에 SiGe 에피택셜층을 형성하는 것을 포함하되,
    상기 제2 예비 트렌치를 형성하는 것은 상기 제1 예비 트렌치의 측벽을 측면으로 식각하여 상기 스페이서의 하부면의 일정 영역을 노출시키는 것을 포함하고,
    상기 제2 예비 트렌치가 최대폭을 가지는 지점은 상기 제1 예비 트렌치가 최대폭을 가지는 지점보다 상기 기판의 상부면에 더 가까이 위치하는 반도체 장치의 제조방법.
  2. 제 1항에 있어서,
    상기 제2 예비 트렌치의 측벽은 상기 제1 예비 트렌치의 측벽보다 채널 영역에 근접한 반도체 장치의 제조방법.
  3. 제 1항에 있어서,
    상기 희생막은 고밀도 플라즈마(HDP: High Density Plasma) 산화막인 반도체 장치의 제조방법.
  4. 제 3항에 있어서,
    상기 희생막을 제거하는 것은 O3HF을 이용한 세정 및 PNC(Plasma Native oxide Cleaning or Pre Native oxide Cleaning)를 이용한 세정을 순차적으로 이용하는 반도체 장치의 제조방법.
  5. 제 1항에 있어서,
    상기 에피택셜층 형성용 트렌치는 육각형 프로파일을 갖는 반도체 장치의 제조방법.
  6. 제 5항에 있어서,
    상기 기판의 상부면으로부터 상기 에피택셜층 형성용 트렌치의 팁(Tip)까지의 깊이는 7nm 이내인 반도체 장치의 제조방법.
  7. 제 6항에 있어서,
    상기 에피택셜층 형성용 트렌치의 팁은 게이트 전극의 측벽과 일직선 상에 있는 반도체 장치의 제조방법.
  8. 제 5항에 있어서,
    상기 제2 예비 트렌치를 식각하여 상기 에피택셜층 형성용 트렌치를 형성하는 것은 습식 식각에 의한 결정학적 이방성 에칭(crystallographic anisotropic etching)을 이용하는 반도체 장치의 제조방법.
  9. 삭제
  10. 삭제
KR1020100086072A 2010-09-02 2010-09-02 반도체 장치의 제조방법 KR101703096B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100086072A KR101703096B1 (ko) 2010-09-02 2010-09-02 반도체 장치의 제조방법
US13/212,380 US8652915B2 (en) 2010-09-02 2011-08-18 Methods of fabricating semiconductor devices using preliminary trenches with epitaxial growth

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100086072A KR101703096B1 (ko) 2010-09-02 2010-09-02 반도체 장치의 제조방법

Publications (2)

Publication Number Publication Date
KR20120022464A KR20120022464A (ko) 2012-03-12
KR101703096B1 true KR101703096B1 (ko) 2017-02-07

Family

ID=45771027

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100086072A KR101703096B1 (ko) 2010-09-02 2010-09-02 반도체 장치의 제조방법

Country Status (2)

Country Link
US (1) US8652915B2 (ko)
KR (1) KR101703096B1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102810481B (zh) * 2011-06-02 2016-03-30 中芯国际集成电路制造(北京)有限公司 半导体器件的制造方法
CN102810482B (zh) * 2011-06-02 2015-05-13 中芯国际集成电路制造(北京)有限公司 半导体器件的制造方法
US8999794B2 (en) * 2011-07-14 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned source and drain structures and method of manufacturing same
JP2014531770A (ja) * 2011-09-30 2014-11-27 インテル・コーポレーション トランジスタゲート用のキャップ誘電体構造
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
WO2013048524A1 (en) 2011-10-01 2013-04-04 Intel Corporation Source/drain contacts for non-planar transistors
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
CN103681338B (zh) * 2012-09-18 2016-06-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US10134896B2 (en) * 2013-03-01 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic deposition etch chemical vapor deposition epitaxy to reduce EPI abnormality
US9385215B2 (en) * 2013-03-15 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. V-shaped SiGe recess volume trim for improved device performance and layout dependence
US9094135B2 (en) 2013-06-10 2015-07-28 Freescale Semiconductor, Inc. Die stack with optical TSVs
US10230458B2 (en) 2013-06-10 2019-03-12 Nxp Usa, Inc. Optical die test interface with separate voltages for adjacent electrodes
US9766409B2 (en) 2013-06-10 2017-09-19 Nxp Usa, Inc. Optical redundancy
US9810843B2 (en) * 2013-06-10 2017-11-07 Nxp Usa, Inc. Optical backplane mirror
US9261556B2 (en) 2013-06-10 2016-02-16 Freescale Semiconductor, Inc. Optical wafer and die probe testing
US9091820B2 (en) 2013-06-10 2015-07-28 Freescale Semiconductor, Inc. Communication system die stack
US9442254B2 (en) 2013-06-10 2016-09-13 Freescale Semiconductor, Inc. Method and apparatus for beam control with optical MEMS beam waveguide
US9435952B2 (en) 2013-06-10 2016-09-06 Freescale Semiconductor, Inc. Integration of a MEMS beam with optical waveguide and deflection in two dimensions
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10141426B2 (en) * 2016-02-08 2018-11-27 International Business Macahines Corporation Vertical transistor device
CN111384143B (zh) 2018-12-27 2022-04-15 联芯集成电路制造(厦门)有限公司 晶体管结构
US11316030B2 (en) 2020-02-19 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
CN117973083B (zh) * 2024-03-27 2024-06-28 全芯智造技术有限公司 西格玛沟槽的仿真方法及装置、可读存储介质、终端

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100277911B1 (ko) * 1996-06-10 2001-02-01 김영환 반도체소자 제조방법
JP2910696B2 (ja) * 1996-09-20 1999-06-23 日本電気株式会社 半導体光検出器
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
KR100406537B1 (ko) 2001-12-03 2003-11-20 주식회사 하이닉스반도체 반도체장치의 제조 방법
US7045407B2 (en) * 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
JP4361880B2 (ja) * 2005-01-11 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100650632B1 (ko) * 2005-11-10 2006-11-27 삼성전자주식회사 캐패시터의 제조 방법 및 이를 이용한 반도체 장치의 제조방법
US7303999B1 (en) * 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
JP4345774B2 (ja) 2006-04-26 2009-10-14 ソニー株式会社 半導体装置の製造方法
US7544994B2 (en) * 2006-11-06 2009-06-09 International Business Machines Corporation Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US8067281B1 (en) * 2010-07-05 2011-11-29 United Microelectronics Corp. Method of fabricating complementary metal-oxide-semiconductor (CMOS) Device

Also Published As

Publication number Publication date
KR20120022464A (ko) 2012-03-12
US8652915B2 (en) 2014-02-18
US20120058616A1 (en) 2012-03-08

Similar Documents

Publication Publication Date Title
KR101703096B1 (ko) 반도체 장치의 제조방법
US9589845B1 (en) Fin cut enabling single diffusion breaks
KR101716113B1 (ko) 반도체 소자 및 이의 제조 방법
KR101369560B1 (ko) FinFET를 위한 장치 및 방법
CN102074461B (zh) 半导体装置及其制造方法
US8835936B2 (en) Source and drain doping using doped raised source and drain regions
KR101776926B1 (ko) 반도체 소자 및 그 제조 방법
US8084308B2 (en) Single gate inverter nanowire mesh
US8871584B2 (en) Replacement source/drain finFET fabrication
KR101591564B1 (ko) 반도체 소자 및 그 제조 방법
CN105280639A (zh) 鳍式场效应晶体管的结构和形成方法
JP5671294B2 (ja) 集積回路及びその製造方法
KR20150044412A (ko) 매립된 절연체층을 가진 finfet 및 그 형성 방법
US7670914B2 (en) Methods for fabricating multiple finger transistors
CN101490857A (zh) 形成半导体器件的方法及其结构
US8828812B2 (en) Silicon-germanium heterojunction tunnel field effect transistor and preparation method thereof
US20140110793A1 (en) Cmos transistor and fabrication method
CN103632972A (zh) 一种半导体结构及其制造方法
CN108615731B (zh) 一种半导体器件及其制造方法
CN102931232A (zh) Nmos晶体管及其形成方法
US8912608B2 (en) Semiconductor device and fabrication method thereof
US20150228546A1 (en) Semiconductor device and method of removing spacers on semiconductor device
CN105633000A (zh) 浅沟槽隔离结构及其形成方法、半导体器件及其形成方法
CN102931233A (zh) Nmos晶体管及其形成方法
CN103426766B (zh) Pmos晶体管及其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20191226

Year of fee payment: 4