KR101620545B1 - Substrate aligning device, gate Valve And Cluster Apparatus Including The Same - Google Patents

Substrate aligning device, gate Valve And Cluster Apparatus Including The Same Download PDF

Info

Publication number
KR101620545B1
KR101620545B1 KR1020150020799A KR20150020799A KR101620545B1 KR 101620545 B1 KR101620545 B1 KR 101620545B1 KR 1020150020799 A KR1020150020799 A KR 1020150020799A KR 20150020799 A KR20150020799 A KR 20150020799A KR 101620545 B1 KR101620545 B1 KR 101620545B1
Authority
KR
South Korea
Prior art keywords
substrate
sensing
unit
gate valve
substrates
Prior art date
Application number
KR1020150020799A
Other languages
Korean (ko)
Inventor
이성광
박용성
Original Assignee
국제엘렉트릭코리아 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 국제엘렉트릭코리아 주식회사 filed Critical 국제엘렉트릭코리아 주식회사
Priority to KR1020150020799A priority Critical patent/KR101620545B1/en
Application granted granted Critical
Publication of KR101620545B1 publication Critical patent/KR101620545B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

Provided by the present invention is cluster equipment for processing substrates. The substrate processing cluster equipment of the present invention comprises: an equipment front end module (EFEM) which has loading ports where a cassette including stacked substrates is placed; a first load lock chamber which is connected with the equipment front end module through a gate valve and is able to selectively convert an internal space with an air pressure and a vacuum pressure; a transfer chamber which is connected with the first load lock chamber through the gate valve and has return equipment for returning substrates; second load lock chambers which are connected with the transfer chamber through the gate valve and have a substrate mounting unit where substrates are mounted in an aligned way; process chambers which are arranged in the upper part of each of the second load lock chambers and process substrates mounted on the substrate mounting unit; a sensing unit which senses whether substrates are aligned or not when the substrates pass through a substrate transfer path of the gate valve by an end effector of the return equipment; a position determining unit which determines an aligned state of the substrates through a sensing value sensed in the sensing unit; and a position correcting unit which corrects the return operation of the end effector depending on the result of the position determining unit so that substrates are returned normally.

Description

기판 정렬 장치 및 게이트 밸브 그리고 그것을 갖는 클러스터 설비{Substrate aligning device, gate Valve And Cluster Apparatus Including The Same} [0001] Substrate aligning device, gate valve and cluster device,

본 발명은 기판 제조 장치에 관한 것으로, 좀 더 구체적으로는 기판 반송시 기판 정렬 상태를 체크할 수 있는 기판 정렬 장치 및 게이트 밸브 그리고 그것을 갖는 클러스터 설비에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate manufacturing apparatus, and more particularly, to a substrate aligning apparatus and a gate valve capable of checking the substrate alignment state during substrate transportation, and a cluster facility having the same.

반도체 제조 공정은 웨이퍼상에 증착, 식각, 이온주입, 노광, 현상, 그리고 세정 등의 다양한 반도체 공정들을 수행하여 집적회로 칩을 생산하는 공정이다. 반도체 제조 공정이 진행되면, 로봇암(robot arm)과 같은 기판 이송 장치가 상술한 반도체 공정들을 수행하는 공정장치들 상호간에 웨이퍼를 이송하며, 각각의 공정장치들은 웨이퍼를 이송받아 웨이퍼상에 소정의 반도체 공정을 수행한다. A semiconductor manufacturing process is a process for producing an integrated circuit chip by performing various semiconductor processes on a wafer such as deposition, etching, ion implantation, exposure, development, and cleaning. When a semiconductor manufacturing process is carried out, a substrate transfer device such as a robot arm transfers wafers between process devices performing the above-described semiconductor processes, and each of the process devices transfers a predetermined wafer Semiconductor process is performed.

이때, 반도체 제조 설비는 공정을 수행하기 전에 기판이 기설정된 위치에 정렬되었는지를 감지하여야 한다. 기판 이송 장치가 기판을 이송하는 과정에서 기판이 기판 이송 장치에 정상적으로 안착되어 이동되지 않으면, 기판의 이송시 기판이 기판 이송 장치로부터 이탈되어 파손되는 현상이 발생된다. At this time, the semiconductor manufacturing facility should detect whether the substrate is aligned at a predetermined position before performing the process. If the substrate is not normally moved to the substrate transfer apparatus during the transfer of the substrate, the substrate may be detached from the substrate transfer apparatus during the transfer of the substrate and may be broken.

특히, 반도체 공정을 수행하는 공정 챔버 등과 같은 공정실로 웨이퍼를 이송하는 과정에서 웨이퍼가 기설정된 위치를 벗어나면, 웨이퍼가 주변 설비와 충돌되어 손상되거나 반도체 공정시 웨이퍼 정렬의 불량에 따른 공정 수율이 저하된다. Particularly, when the wafer is moved out of a predetermined position in the process of transferring the wafer to a process chamber such as a process chamber for performing a semiconductor process, the wafer may be damaged by collision with peripheral equipment, or the process yield may be deteriorated do.

이를 방지하기 위해, 반도체 제조 설비에는 기판의 위치를 벗어나는지 여부를 감지하는 다양한 감지 수단이 사용된다. 일반적인 감지 수단은 발광 및 수광센서, 또는 발광센서 및 리플렉터를 사용하여 기설정된 위치에 안착된 웨이퍼의 정렬상태를 감지하였다. 그러나, 이러한 감지 수단들은 웨이퍼의 정렬상태를 효과적으로 감지하기 어려웠다. 특히, 일반적인 감지 수단들은 기설정된 위치에 고정위치된 기판 이송 장치가 웨이퍼를 이송하는 과정에서 웨이퍼가 기판 이송 장치에 정상적으로 안착되어 이동되는지 여부를 정밀하게 판단하기 힘들었다. To prevent this, various sensing means are used in the semiconductor manufacturing facility to sense whether the substrate is out of position. Conventional sensing means sensed the alignment of wafers seated in predetermined positions using light emitting and receiving sensors, or light emitting sensors and reflectors. However, these sensing means have been difficult to effectively detect alignment of the wafer. In particular, it is difficult for the general sensing means to precisely determine whether or not the wafer is normally seated and moved to the substrate transfer apparatus in the process of transferring the wafer by the substrate transfer apparatus fixed at a predetermined position.

본 발명은 기판 반송 장치에 의해 이송되는 기판의 정렬 상태를 검출할 수 있는 기판 정렬 장치 및 게이트 밸브 그리고 그것을 갖는 클러스터 설비를 제공하는데 있다.The present invention is to provide a substrate alignment apparatus and a gate valve capable of detecting alignment state of a substrate transferred by a substrate transfer apparatus, and a cluster facility having the same.

본 발명은 기판 반송 장치에 의해 이송되는 기판의 정렬 상태를 정밀하게 검출할 수 있고, 그 어긋남을 보정할 수 있는 기판 정렬 장치 및 게이트 밸브 그리고 그것을 갖는 클러스터 설비를 제공하는데 있다.An object of the present invention is to provide a substrate alignment apparatus and a gate valve capable of precisely detecting the alignment state of the substrate transferred by the substrate transfer apparatus and correcting the deviation, and a cluster facility having the same.

본 발명은 복수의 기판들이 반송될 때 한번에 기판들의 정렬 상태를 검출하여 그 위치를 보정할 수 있는 기판 정렬 장치 및 게이트 밸브 그리고 그것을 갖는 클러스터 설비를 제공하는데 있다. The present invention is to provide a substrate aligning apparatus and a gate valve capable of detecting the alignment state of the substrates at one time and correcting the position thereof when a plurality of substrates are transported, and a cluster facility having the same.

본 발명이 해결하고자 하는 과제는 여기에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. The problems to be solved by the present invention are not limited thereto, and other matters not mentioned can be clearly understood by those skilled in the art from the following description.

본 발명의 일 측면에 따르면, 기판 반송 장치의 엔드 이펙터에 의해 제 1위치로부터 제2 위치까지 반송되는 기판을 정렬하는 장치는 상기 제1 위치와 상기 제2 위치 사이에 제공되고, 상기 엔드 이펙터상에 놓여진 상태로 반송되는 기판을 감지하는 센싱부; 상기 센싱부로부터 감지된 센싱값을 통해 기판의 정렬 상태를 판별하는 위치 판별부; 및 기판이 상기 제2위치에 정상적으로 반송되도록 상기 위치 판별부의 결과에 따라 상기 엔드 이펙터의 반송 동작을 보정하는 위치 보정부를 포함한다. According to an aspect of the present invention, an apparatus for aligning a substrate carried by an end effector of a substrate transfer apparatus from a first position to a second position is provided between the first position and the second position, A sensing unit for sensing a substrate conveyed in a state that the substrate is placed on the substrate; A position determining unit for determining an alignment state of the substrate through a sensing value sensed by the sensing unit; And a position correcting unit for correcting the carrying operation of the end effector according to a result of the position determining unit so that the substrate is normally transported to the second position.

또한, 상기 센싱부는 상기 제1 위치와 상기 제2 위치 사이에서 기판이 반송되는 경로상에 제공될 수 있다.Further, the sensing unit may be provided on a path through which the substrate is transported between the first position and the second position.

또한, 상기 센싱부는 기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치되며, 상기 센싱부는 빛을 조사하는 발광센서와, 상기 발광센서로부터 조사되는 빛을 수광하는 수광센서를 포함하고, 상기 위치 판별부는 상기 수광센서로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 상기 센싱 시작 신호로부터 상기 센싱 종료 신호까지 소요된 검출시간을 산출하고, 상기 검출시간과 기설정값을 비교하여 기판의 좌우 틀어짐을 판별할 수 있다.The sensing unit may be disposed eccentrically to either the left or right side of the center of the path through which the substrate is transported. The sensing unit may include a light emitting sensor for emitting light and a light receiving sensor for receiving light emitted from the light emitting sensor The position determination unit receives the sensing start signal and the sensing end signal of the substrate from the light receiving sensor, calculates the sensing time required from the sensing start signal to the sensing end signal, compares the sensing time with the preset value It is possible to determine whether the substrate is turned right or left.

또한, 상기 위치 판별부는 상기 검출시간이 상기 기설정값보다 큰 경우 기판이 일측으로 틀어져 반송되는 것으로 판단하며, 상기 검출시간이 상기 기설정값보다 작은 경우 기판이 타측으로 틀어져 반송되는 것으로 판단할 수 있다.When the detection time is shorter than the predetermined value, the position determination unit determines that the substrate is transported while being turned to one side. If the detection time is less than the predetermined value, have.

또한, 상기 센싱부는 상기 기판 반송 경로의 중심에서 좌측으로 편심되게 배치되는 제1센싱부; 및 상기 제1센싱부와는 반송경로의 중심을 기준으로 대칭되게 배치되는 제2센싱부를 포함하며, 상기 위치 판별부는 상기 제1,2센싱부 각각으로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 상기 센싱 시작 신호로부터 상기 센싱 종료 신호까지 소요된 검출시간을 각각 산출하고, 상기 제1센싱부의 검출시간과 상기 제2센싱부의 검출시간이 상이한 경우 검출시간이 큰 쪽으로 기판이 틀어진 상태로 반송되고 있음을 판별할 수 있다.The sensing unit may include a first sensing unit disposed eccentrically to the left of the center of the substrate transfer path, And a second sensing unit arranged symmetrically with respect to the center of the conveyance path with respect to the first sensing unit, wherein the position discrimination unit provides a sensing start signal and a sensing end signal from the first and second sensing units, respectively, And if the detection time of the first sensing unit is different from the detection time of the second sensing unit, the substrate is transported in a state in which the substrate is deflected to a direction in which the detection time is longer Can be determined.

또한, 상기 위치 판별부는 상기 수광센서를 통해 감지되는 상기 발광센서의 광량을 산출하고, 상기 광량과 기설정값을 비교하여 기판의 좌우 틀어짐을 판별할 수 있다.The position determining unit may calculate a light amount of the light sensor sensed through the light receiving sensor, and compare the light amount with a preset value to determine whether the substrate is tilted.

또한, 상기 센싱부는 기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치되며, 상기 센싱부는 빛을 조사하는 발광부와, 평면상에서 바라보았을 때 기판의 반송 방향과 직교하는 방향으로 적어도 하나 이상의 열로 배열되어 상기 발광부로부터 조사되는 빛을 수광하는 수광소자들로 이루어지는 수광부를 포함할 수 있다.The sensing unit may be disposed eccentrically to either the left or right side of the center of the path through which the substrate is transported. The sensing unit may include a light emitting unit that emits light, and a light emitting unit that emits light in a direction orthogonal to the transport direction of the substrate And a light-receiving unit including light-receiving elements arranged in at least one row and receiving light emitted from the light-emitting unit.

또한, 상기 위치 판별부는 상기 수광소자에 의해 기판이 상기 센싱부를 통과할 때 기판에 의한 차광량 변화를 제공받아, 상기 차광량 변화에 기초하여 기판의 좌우 틀어짐을 판별할 수 있다.In addition, the position determining unit may be provided with a light amount variation caused by the substrate when the substrate passes through the sensing unit by the light receiving element, and can determine whether the substrate is tilted based on the light amount variation.

또한, 상기 위치 판별부는 상기 수광소자에 의해 기판이 상기 센싱부를 통과할 때 기판에 의한 차광량 변화를 제공받아, 상기 차광량 변화가 기설정값보다 큰 경우 기판이 일측으로 틀어져 반송되는 것으로 판단하며, 상기 차광량 변화가 상기 기설정값보다 작은 경우 기판이 타측으로 틀어져 반송되는 것으로 판단할 수 있다.In addition, the position determining unit may be provided with a light amount variation by the substrate when the substrate passes through the sensing unit by the light-receiving device, and determines that the substrate is turned to one side when the light amount change is larger than a predetermined value , And when the light-shielding amount change is smaller than the predetermined value, it can be determined that the substrate is turned to the other side and transported.

또한, 상기 위치 판별부는 상기 기판 반송 장치의 엔드 이펙터가 상기 제 1위치에서 상기 센싱부의 최초 센싱 시점까지 기판이 이동되는 시간을 체크하고, 상기 체크된 이동 시간이 기설정된 이동시간보다 작으면 기판이 전방으로 쉬프트(shift)되어 반송되는 것으로 판단하고, 상기 체크된 이동시간이 기설정된 이동시간보다 크면 기판이 후방으로 쉬프트(shift)되어 반송되는 것으로 판단할 수 있다.In addition, the position determining unit may check a time when the end effector of the substrate transfer apparatus moves the substrate from the first position to the first sensing time of the sensing unit, and if the checked movement time is shorter than the predetermined movement time, It is determined that the substrate is shifted forward and is conveyed. If the checked movement time is greater than the predetermined movement time, it can be determined that the substrate is shifted backward and conveyed.

또한, 상기 위치 판별부는 상기 기판 반송 장치의 엔드 이펙터의 엔코더 값을 제공받아 상기 센싱부에서 기판을 최초 센싱한 시점에서의 엔코더 값을 체크하여, 상기 체크된 엔코더 값이 기설정된 엔코더 값보다 작으면 기판이 전방으로 쉬프트(shift)되어 반송되는 것으로 판단하고, 상기 체크된 엔코더 값이 기설정된 엔코더 값보다 크면 기판이 후방으로 쉬프트(shift)되어 반송되는 것으로 판단할 수 있다. The position determining unit may receive an encoder value of the end effector of the substrate transfer apparatus and check the encoder value at the time of first sensing the substrate by the sensing unit, and if the checked encoder value is smaller than the predetermined encoder value It is determined that the substrate is shifted forward and transported. If the checked encoder value is larger than the predetermined encoder value, it can be determined that the substrate is shifted backward and transported.

또한, 상기 센싱부는 이웃하는 챔버들을 연결하는 게이트 밸브에 설치될 수 있다.In addition, the sensing unit may be installed in a gate valve connecting neighboring chambers.

본 발명의 일 측면에 따르면, 기판 이동 통로를 형성하는 밸브 하우징; 상기 밸브 하우징에 결합되어 상기 기판 이동 통로를 차단하는 블레이드; 상기 블레이드를 구동시키기 위한 구동부; 및 상기 밸브 하우징에 설치되고, 기판이 기판 반송 장치의 엔드 이펙터에 의해 상기 기판 이동 통로를 통과할 때 기판의 정렬 유무를 감지하는 센싱부를 포함하는 게이트 밸브를 제공하고자 한다.According to an aspect of the present invention, there is provided a plasma processing apparatus comprising: a valve housing forming a substrate transfer path; A blade coupled to the valve housing to block the substrate transfer path; A driving unit for driving the blade; And a sensing unit installed in the valve housing and sensing whether the substrate is aligned when the substrate passes through the substrate transfer path by the end effector of the substrate transfer apparatus.

또한, 상기 센싱부는 상기 센싱부는 기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치되며, 상기 센싱부는 기판을 기준으로 상부와 하부중 어느 한쪽에 설치되는 발광부; 및 기판을 기준으로 상기 발광부와 대향되는 다른 한쪽에 위치되어 상기 발광부로부터 조사되는 빛을 수광하는 수광부를 포함할 수 있다. The sensing unit may include a sensing unit disposed eccentrically to one of a left side and a right side of a center of a path through which the substrate is transported, and the sensing unit may include a light emitting unit installed at either the upper side or the lower side with respect to the substrate. And a light receiving unit that is positioned on the other side of the substrate opposite to the light emitting unit and receives light emitted from the light emitting unit.

또한, 상기 기판 반송 장치의 엔드 이펙터는 복수의 기판들을 반송하며, 상기 센싱부는 상기 복수의 기판들을 감지하도록 상기 복수의 기판들 사이 사이에 위치되는 감지바들을 더 포함하고, 상기 감지바들에는 기판을 사이에 두고 상기 발광부와 상기 수광부가 서로 대향되게 설치될 수 있다.Further, the end effector of the substrate transfer apparatus may transfer a plurality of substrates, and the sensing unit may further include sensing bars positioned between the plurality of substrates to sense the plurality of substrates, And the light emitting unit and the light receiving unit may be disposed opposite to each other.

또한, 상기 수광부는 평면상에서 바라보았을 때 기판의 반송 방향과 직교하는 방향으로 적어도 하나 이상의 열로 배열되어 상기 발광부로부터 조사되는 빛을 수광하는 수광소자들을 포함할 수 있다.The light receiving unit may include light receiving elements arranged in at least one row in a direction orthogonal to the conveying direction of the substrate when viewed in a plan view and receiving light emitted from the light emitting unit.

또한, 상기 밸브 하우징은 상기 센싱부가 장착되는 센서 장착부를 더 포함하되; 상기 센서 장착부는 상기 밸브 하우징의 측면으로부터 상기 기판 이동 통로에 위치되는 상기 센싱부를 감싸주는 투명한 소재의 보호 커버를 포함할 수 있다.The valve housing further includes a sensor mounting portion on which the sensing portion is mounted. The sensor mounting portion may include a transparent protective cover covering the sensing portion located in the substrate moving path from the side surface of the valve housing.

또한, 상기 보호 커버는 상기 밸브 하우징의 내부와는 격리되고 상기 센싱부가 삽입되는 삽입 공간을 갖는 돌출부들과, 상기 돌출부들 사이에 기판이 통과할 수 있도록 슬롯이 형성된 오목부들을 포함할 수 있다.The protective cover may include protrusions having an insertion space isolated from the inside of the valve housing and into which the sensing unit is inserted, and recesses formed in the slots to allow the substrate to pass between the protrusions.

또한, 상기 센서 장착부는 상기 보호커버가 상기 밸브 하우징의 측면에 고정되도록 상기 밸브 하우징의 외측면에 고정되는 고정 플레이트를 더 포함할 수 있다.The sensor mounting portion may further include a fixing plate fixed to an outer surface of the valve housing so that the protective cover is fixed to a side surface of the valve housing.

본 발명의 일 측면에 따르면, 기판들이 적재된 카세트가 놓여지는 로드 포트들을 갖는 설비 전방 단부 모듈(EFEM); 상기 설비 전방 단부 모듈과는 게이트밸브를 통해 연결되고, 내부공간이 대기압와 진공압으로 선택적 전환이 가능한 제1로드락 챔버; 상기 제1로드락 챔버와는 게이트밸브를 통해 연결되며, 기판 반송을 위한 반송장치가 구비된 트랜스퍼 챔버; 상기 트랜스퍼 챔버와는 게이트밸브를 통해 연결되고, 기판들이 배치식으로 적재되는 기판 적재 유닛가 구비된 제2로드락 챔버들; 상기 제2로드락 챔버들 각각의 상부에 배치되고 상기 기판 적재 유닛에 적재된 기판들을 공정 처리하는 프로세챔버들; 및 기판이 상기 반송 장치의 엔드 이펙터에 의해 상기 게이트밸브의 기판 이동 통로를 통과할 때 기판의 정렬 유무를 감지하는 센싱부; 상기 센싱부로부터 감지된 센싱값을 통해 기판의 정렬 상태를 판별하는 위치 판별부; 및 기판이 정상적으로 반송되도록 상기 위치 판별부의 결과에 따라 상기 엔드 이펙터의 반송 동작을 보정하는 위치 보정부를 포함하는 클러스터 설비를 제공하고자 한다.According to an aspect of the invention, there is provided an apparatus front end module (EFEM) having load ports on which cassettes loaded with substrates are placed; A first load lock chamber connected to the facility front end module through a gate valve and having an internal space capable of selective switching to atmospheric pressure and vacuum pressure; A transfer chamber connected to the first load lock chamber through a gate valve and having a transfer device for substrate transfer; Second load lock chambers connected to the transfer chamber via a gate valve, the second load lock chambers having a substrate loading unit in which the substrates are loaded in a batch manner; Processing chambers disposed above each of the second load lock chambers and processing substrates loaded on the substrate loading unit; A sensing unit for sensing whether the substrate is aligned when the substrate passes through the substrate transfer path of the gate valve by the end effector of the transfer device; A position determining unit for determining an alignment state of the substrate through a sensing value sensed by the sensing unit; And a position correcting unit for correcting the carrying operation of the end effector according to the result of the position determining unit so that the substrate is normally transported.

또한, 상기 센싱부는 기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치될 수 있다.The sensing unit may be disposed eccentrically to either the left side or the right side of the center of the path through which the substrate is transported.

또한, 상기 센싱부는 빛을 조사하는 제1발광센서, 상기 제1발광센서로부터 조사되는 빛을 수광하는 제1수광센서를 포함하고, 상기 위치 판별부는 상기 제1수광센서로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 상기 센싱 시작 신호로부터 상기 센싱 종료 신호까지 소요된 검출시간을 산출하고, 상기 검출시간이 기설정값보다 큰 경우 기판이 일측으로 틀어져 반송되는 것으로 판단하며, 상기 검출시간이 상기 기설정값보다 작은 경우 기판이 타측으로 틀어져 반송되는 것으로 판단할 수 있다. The sensing unit may include a first light emitting sensor for emitting light and a first light receiving sensor for receiving light emitted from the first light emitting sensor, and the position determining unit may receive a sensing start signal of the substrate from the first light receiving sensor Wherein the control unit determines that the substrate is transported by being turned to one side when the detection time is longer than the predetermined value, If it is smaller than the preset value, it can be determined that the substrate is shifted to the other side and transported.

또한, 상기 센싱부는 빛을 조사하는 제2발광센서, 평면상에서 바라보았을 때 기판의 반송 방향과 직교하는 방향으로 적어도 하나 이상의 열로 배열되어 상기 제2발광센서로부터 조사되는 빛을 수광하는 수광소자들로 이루어지는 제2수광센서를 더 포함하고, 상기 위치 판별부는 상기 수광소자들에 의해 기판이 상기 센싱부를 통과할 때 기판에 의한 차광량 변화를 제공받아, 상기 차광량 변화에 기초하여 기판의 좌우 틀어짐을 판별할 수 있다.The sensing unit may include a second light emitting sensor for emitting light, and light receiving elements arranged in at least one or more rows in a direction orthogonal to the transport direction of the substrate when viewed on a plane and receiving light emitted from the second light emitting sensor Wherein the position determining unit is provided with a light amount variation caused by the substrate when the substrate passes the sensing unit by the light receiving elements and detects a lateral deviation of the substrate based on the light amount variation, Can be distinguished.

또한, 상기 위치 판별부는 상기 차광량 변화가 기설정값보다 큰 경우 기판이 일측으로 틀어져 반송되는 것으로 판단하며, 상기 차광량 변화가 상기 기설정값보다 작은 경우 기판이 타측으로 틀어져 반송되는 것으로 판단할 수 있다. If the light amount change is smaller than the preset value, the position determining unit determines that the substrate is turned to the other side and is transported when the light amount change is smaller than the predetermined value .

또한, 상기 센싱부는 상기 기판 반송 경로의 중심에서 좌측으로 편심되게 배치되는 제1센싱부; 및 상기 제1센싱부와는 반송경로의 중심을 기준으로 대칭되게 배치되는 제2센싱부를 포함하며, 상기 위치 판별부는 상기 제1,2센싱부 각각으로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 상기 센싱 시작 신호로부터 상기 센싱 종료 신호까지 소요된 검출시간을 각각 산출하고, 상기 제1센싱부의 검출시간과 상기 제2센싱부의 검출시간이 상이한 경우 검출시간이 큰 쪽으로 기판이 틀어진 상태로 반송되고 있음을 판별할 수 있다. The sensing unit may include a first sensing unit disposed eccentrically to the left of the center of the substrate transfer path, And a second sensing unit arranged symmetrically with respect to the center of the conveyance path with respect to the first sensing unit, wherein the position discrimination unit provides a sensing start signal and a sensing end signal from the first and second sensing units, respectively, And if the detection time of the first sensing unit is different from the detection time of the second sensing unit, the substrate is transported in a state in which the substrate is deflected to a direction in which the detection time is longer Can be determined.

본 발명의 실시예에 의하면, 기판 반송 장치에 의해 이송되는 기판의 정렬 상태를 정밀하게 검출한 후 그 어긋남을 보정함으로써 기판이 정확한 위치에 안정적으로 반송될 수 있는 각별한 효과를 갖는다.According to the embodiments of the present invention, the alignment state of the substrate transferred by the substrate transfer apparatus is precisely detected, and after the alignment is corrected, the substrate can be stably transported to the correct position.

본 발명의 실시예에 의하면, 복수의 기판들이 반송될 때 한번에 기판들의 정렬 상태를 검출하여 그 위치를 보정할 수 있는 각별한 효과를 갖는다. According to the embodiment of the present invention, the alignment state of the substrates can be detected at a time when a plurality of substrates are transported, and the position can be corrected.

도 1은 본 발명의 일 실시예에 따른 기판 처리용 클러스터 설비를 나타내는 평면도이다.
도 2는 본 발명의 일 실시예에 따른 기판 처리를 위한 클러스터 설비를 나타내는 측면도이다.
도 3은 기판 처리용 클러스터 설비의 기판 정렬 장치를 설명하기 위한 구성도이다.
도 4는 게이트 밸브를 보여주는 사시도이다.
도 5는 도 3에 도시된 게이트 밸브의 측단면도이다.
도 6은 도 3에 도시된 게이트 밸브의 평단면도이다.
도 7은 게이트 밸브에 설치된 센싱부를 정면에서 바라본 도면이다.
도 8은 기판의 정상 조건에서의 반송을 보여주는 도면이다.
도 9 및 도 10은 기판의 이상 조건에서의 반송을 보여주는 도면들이다.
도 11은 기판의 정상 조건에서의 반송을 보여주는 도면이다.
도 12 및 도 13은 기판의 이상 조건에서의 반송을 보여주는 도면들이다.
도 14는 센싱부가 양측에 설치된 예를 보여주는 도면이다.
1 is a plan view showing a cluster facility for substrate processing according to an embodiment of the present invention.
2 is a side view of a cluster facility for substrate processing in accordance with an embodiment of the present invention.
3 is a configuration diagram for explaining a substrate aligning apparatus for a cluster facility for substrate processing.
4 is a perspective view showing the gate valve.
5 is a side cross-sectional view of the gate valve shown in Fig.
6 is a plan sectional view of the gate valve shown in Fig.
FIG. 7 is a front view of the sensing portion provided in the gate valve. FIG.
8 is a view showing the transport of the substrate under normal conditions.
Figs. 9 and 10 are views showing the conveyance of the substrate in an abnormal condition. Fig.
11 is a view showing the transport of the substrate under normal conditions.
Figures 12 and 13 are views showing the transport of the substrate under abnormal conditions.
Fig. 14 is a view showing an example in which the sensing portion is installed on both sides. Fig.

본 발명의 다른 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술 되는 실시 예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.Other advantages and features of the present invention and methods of achieving them will become apparent with reference to the embodiments described below in detail with reference to the accompanying drawings. The present invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. Is provided to fully convey the scope of the invention to those skilled in the art, and the invention is only defined by the scope of the claims.

만일 정의되지 않더라도, 여기서 사용되는 모든 용어들(기술 혹은 과학 용어들을 포함)은 이 발명이 속한 종래 기술에서 보편적 기술에 의해 일반적으로 수용되는 것과 동일한 의미를 가진다. 일반적인 사전들에 의해 정의된 용어들은 관련된 기술 그리고/혹은 본 출원의 본문에 의미하는 것과 동일한 의미를 갖는 것으로 해석될 수 있고, 그리고 여기서 명확하게 정의된 표현이 아니더라도 개념화되거나 혹은 과도하게 형식적으로 해석되지 않을 것이다.Unless defined otherwise, all terms (including technical or scientific terms) used herein have the same meaning as commonly accepted by the generic art in the prior art to which this invention belongs. Terms defined by generic dictionaries may be interpreted to have the same meaning as in the related art and / or in the text of this application, and may be conceptualized or overly formalized, even if not expressly defined herein I will not.

본 명세서에서 사용된 용어는 실시 예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다' 및/또는 이 동사의 다양한 활용형들 예를 들어, '포함', '포함하는', '포함하고', '포함하며' 등은 언급된 조성, 성분, 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 조성, 성분, 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 본 명세서에서 '및/또는' 이라는 용어는 나열된 구성들 각각 또는 이들의 다양한 조합을 가리킨다.The terminology used herein is for the purpose of illustrating embodiments and is not intended to be limiting of the present invention. In the present specification, the singular form includes plural forms unless otherwise specified in the specification. As used herein, the terms' comprise 'and / or various forms of use of the verb include, for example,' including, '' including, '' including, '' including, Steps, operations, and / or elements do not preclude the presence or addition of one or more other compositions, components, components, steps, operations, and / or components. The term 'and / or' as used herein refers to each of the listed configurations or various combinations thereof.

도 1 및 도 2는 각각 본 발명의 일 실시예에 따른 기판 처리용 클러스터 설비를 나타내는 평면도 및 측면도이다.1 and 2 are a plan view and a side view, respectively, of a cluster facility for substrate processing according to an embodiment of the present invention.

도 1 및 도 2를 참조하면, 기판 처리용 클러스터 설비(1)는 설비 전방 단부 모듈(900), 로드락 챔버(200), 트랜스퍼 챔버(300) 및 공정 처리 모듈(400)을 포함한다.1 and 2, a cluster facility 1 for substrate processing includes a facility front end module 900, a load lock chamber 200, a transfer chamber 300, and a process module 400.

설비 전방 단부 모듈(Equipment Front End Module, EFEM)(900)은 클러스터 설비(1)의 전면에 배치된다. 설비 전방 단부 모듈(900)은 카세트(C)가 로딩 및 언로딩되는 로드 포트(load port)(910)와, 카세트(C)로부터 기판을 인출하는 제 1 기판 이송 로봇(930)이 구비되어 카세트(C)와 로드락 챔버(200) 간에 기판을 이송하도록 하는 인덱스 챔버(920)를 포함한다. 여기서, 제 1 기판 이송 로봇(930)은 ATM(Atmosphere) 로봇이 사용될 수 있다. An Equipment Front End Module (EFEM) 900 is disposed in front of the cluster facility 1. The facility front end module 900 is provided with a load port 910 through which the cassette C is loaded and unloaded and a first substrate transfer robot 930 through which the substrate is taken out from the cassette C, And an index chamber 920 for transferring the substrate between the transfer chamber C and the load lock chamber 200. Here, the first substrate transfer robot 930 may be an ATM (Atmosphere) robot.

본 실시예에서 기판은 반도체 웨이퍼일 수 있다. 그러나 이에 한정되지 않고, 기판은 유리 기판 등과 같이 다른 종류의 기판일 수 있다. In this embodiment, the substrate may be a semiconductor wafer. However, the substrate is not limited to this, and the substrate may be another kind of substrate such as a glass substrate.

인덱스 챔버(920)는 로드 포트(910)와 로드락 챔버(200) 사이에 위치된다. 인덱스 챔버(920)는 전면 패널(922), 후면 패널(924) 그리고 양측면 패널(926)을 포함하는 직육면체의 형상을 가지며, 그 내부에는 기판을 이송하기 위한 제 1 기판 이송 로봇(930)이 제공된다. 도시하지는 않았지만, 인덱스 챔버(920)는 내부 공간으로 입자 오염물이 유입되는 것을 방지하기 위하여, 벤트들(vents), 층류 시스템(laminar flow system)과 같은 제어된 공기 유동 시스템을 포함할 수 있다.The index chamber 920 is positioned between the load port 910 and the load lock chamber 200. The index chamber 920 has a rectangular parallelepiped shape including a front panel 922, a rear panel 924 and both side panels 926 and a first substrate transfer robot 930 for transferring the substrate is provided therein do. Although not shown, the index chamber 920 may include a controlled airflow system, such as vents, a laminar flow system, to prevent particulate contaminants from entering the interior space.

인덱스 챔버(920)는 로드락 챔버(200)와 접하는 후면 패널(924)에 로드락 챔버(200)와의 웨이퍼 이송을 위한 통로가 게이트 밸브(700-1)에 의해 개폐된다.The index chamber 920 is opened and closed by a gate valve 700-1 so that a passage for transferring the wafer with the load lock chamber 200 to the rear panel 924 in contact with the load lock chamber 200 is opened and closed.

로드 포트(910)는 인덱스 챔버(920)의 전면 패널(922) 상에 일렬로 배치된다. 로드 포트(204)에는 카세트(C)가 로딩 및 언로딩된다. 카세트(C)는 전방이 개방된 몸체와 몸체의 전방을 개폐하는 도어를 갖는 전면 개방 일체식 포드(front open unified pod)일 수 있다.The load ports 910 are arranged in a line on the front panel 922 of the index chamber 920. The cassette C is loaded and unloaded to the load port 204. [ The cassette C may be a front open unified pod having a front opened body and a door opening and closing the front of the body.

인덱스 챔버(920)의 양측면 패널(926)에는 더미(dummy) 기판 저장부(940)가 제공된다. 더미 기판 저장부(940)는 더미 기판(DW)이 적층 보관되는 더미 기판 보관 용기(942)를 제공한다. 더미 기판 보관 용기(942)에 보관되는 더미 기판(DW)은 공정 처리 모듈(400)에서 기판이 부족할 경우 사용된다.A dummy substrate storage portion 940 is provided on both side panels 926 of the index chamber 920. The dummy substrate storage section 940 provides a dummy substrate storage container 942 in which the dummy substrate DW is stacked. The dummy substrate DW stored in the dummy substrate storage container 942 is used when the processing module 400 lacks a substrate.

도시하지는 않았지만, 더미 기판 보관 용기(942)는 인덱스 챔버의 측면이 아닌 다른 챔버로 변경하여 제공될 수 있다. 일 예로, 더미 기판 보관 용기(942)는 트랜스퍼 챔버(300)에 설치될 수도 있다.Although not shown, the dummy substrate storage container 942 may be provided in a different chamber than the side of the index chamber. As an example, the dummy substrate storage container 942 may be installed in the transfer chamber 300.

로드락 챔버(200)는 게이트 밸브(700-1)를 통해 설비 전방 단부 모듈(900)과 연결된다. 로드락 챔버(200)는 설비 전방 단부 모듈(900)과 트랜스퍼 챔버(300) 사이에 배치된다. 설비 전방 단부 모듈(900)과 트랜스퍼 챔버(300) 사이에는 3 개의 로드락 챔버(200)가 제공될 수 있다. 로드락 챔버(200)는 내부 공간이 대기압와 진공압으로 선택적 전환이 가능하다. 로드락 챔버(200)에는 기판이 적재되는 적재 용기(210)가 제공된다.The load lock chamber 200 is connected to the facility front end module 900 through the gate valve 700-1. The load lock chamber 200 is disposed between the facility front end module 900 and the transfer chamber 300. Three load lock chambers 200 may be provided between the facility front end module 900 and the transfer chamber 300. The load lock chamber 200 is capable of selectively switching the internal space to atmospheric pressure and vacuum pressure. The load lock chamber 200 is provided with a loading container 210 on which a substrate is loaded.

트랜스퍼 챔버(300)는 게이트 밸브(700-2)를 통해 로드락 챔버(200)와 연결된다. 트랜스퍼 챔버(300)는 로드락 챔버(200)와 공정 처리 모듈(400) 사이에 배치된다. 트랜스퍼 챔버(300)는 직육면체의 박스 형상을 가지며, 그 내부에는 기판을 이송하기 위한 제 2 기판 이송 로봇(330)이 제공된다. 제 2 기판 이송 로봇(330)은 로드락 챔버(200)와 공정 처리 모듈(400)의 처리 모듈용 제2로드락 챔버(410)에 구비된 보트(130) 간에 기판을 이송한다. 제 2 기판 이송 로봇(330)은 1장의 기판 또는 5장의 기판을 반송할 수 있는 앤드 이펙터를 포함할 수 있다. 여기서, 제 2 기판 이송 로봇(330)은 진공 환경에서 기판을 이송시킬 수 있는 진공 로봇이 사용된다.The transfer chamber 300 is connected to the load lock chamber 200 through the gate valve 700-2. The transfer chamber 300 is disposed between the load lock chamber 200 and the process processing module 400. The transfer chamber 300 has a box shape of a rectangular parallelepiped, and a second substrate transfer robot 330 for transferring the substrate is provided in the transfer chamber 300. The second substrate transfer robot 330 transfers the substrate between the load lock chamber 200 and the boats 130 provided in the second load lock chamber 410 for the processing module of the process module 400. The second substrate transfer robot 330 may include an end effector capable of transporting one substrate or five substrates. Here, the second substrate transfer robot 330 uses a vacuum robot capable of transferring substrates in a vacuum environment.

트랜스퍼 챔버(300)에는 복수 개의 공정 처리 모듈(400)이 게이트 밸브(700-3)를 통해 연결될 수 있다. 일 예로, 트랜스퍼 챔버(300)에는 3 개의 공정 처리 모듈(400)이 연결될 수 있으며, 그 개수는 이에 제한되지 않는다.A plurality of process modules 400 may be connected to the transfer chamber 300 through a gate valve 700-3. For example, the three transfer modules 400 may be connected to the transfer chamber 300, but the number of the transfer modules is not limited thereto.

도 2를 참조하면, 클러스터 설비(1)는 진공 배기부(500)와 가스 공급부(600)를 포함한다. 진공 배기부(500)는 로드락 챔버(200), 트랜스퍼 챔버(300), 처리 모듈용 로드락 챔버(410) 그리고 프로세스 챔버(100) 각각에 연결되어 각 챔버에 진공압을 제공하는 진공 라인(510)을 포함한다. 가스 공급부(600)는 로드락 챔버(200), 트랜스퍼 챔버(300), 처리 모듈용 로드락 챔버(410) 그리고 프로세스 챔버(100) 간의 차압 형성을 위해 각각의 챔버에 가스를 공급하는 가스 공급라인(610)을 포함한다.Referring to FIG. 2, the cluster facility 1 includes a vacuum exhaust unit 500 and a gas supply unit 600. The vacuum evacuation unit 500 is connected to each of the load lock chamber 200, the transfer chamber 300, the load lock chamber 410 for the processing module and the process chamber 100 to provide a vacuum line 510). The gas supply unit 600 includes a gas supply line 600 for supplying a gas to each chamber for forming a differential pressure between the load lock chamber 200, the transfer chamber 300, the load lock chamber 410 for the processing module, (610).

또한, 인덱스 챔버(920)와 로드락 챔버(200), 로드락 챔버(200)와 트랜스퍼 챔버(300), 그리고 트랜스퍼 챔버(300)와 처리 모듈용 로드락 챔버(410)는 게이트밸브(700-1, 700-2, 700-3)를 통해 연결되어, 각각의 챔버 압력을 독립적으로 제어할 수 있다.In addition, the index chamber 920 and the load lock chamber 200, the load lock chamber 200 and the transfer chamber 300, and the transfer chamber 300 and the load lock chamber 410 for the processing module are connected to the gate valve 700- 1, 700-2, and 700-3, respectively, so that the respective chamber pressures can be independently controlled.

퍼니스형 반도체 설비인 공정 처리 모듈(400)은 제2로드락 챔버(410)와 프로세스 챔버(100)를 포함한다. The process module 400, which is a furnace type semiconductor equipment, includes a second load lock chamber 410 and a process chamber 100.

제2로드락 챔버(410)는 게이트 밸브(700-3)를 통해 트랜스퍼 챔버(300)와 연결된다. 제2로드락 챔버(410)에는 기판들이 배치식으로 적재되는 보트(130)를 프로세스 챔버(100)의 공정튜브(110)의 내부공간으로 로딩/언로딩시키기 위한 보트 승강 장치(490)가 제공된다. 일 예로, 보트(130)는 기판들이 25매, 50매씩 적재될 수 있도록 슬롯들을 포함할 수 있다. 제2로드락 챔버(410)의 상부에는 프로세스 챔버(100)가 배치된다. The second load lock chamber 410 is connected to the transfer chamber 300 through the gate valve 700-3. The second load lock chamber 410 is provided with a boat elevating device 490 for loading / unloading the boat 130 in which the substrates are placed in a batch manner into the inner space of the process tube 110 of the process chamber 100 do. In one example, the boat 130 may include slots to allow for loading of 25, 50 sheets of substrates. The process chamber 100 is disposed above the second load lock chamber 410.

도 3은 기판 처리용 클러스터 설비의 기판 정렬 장치를 설명하기 위한 구성도이다. 참고로, 아래에서는 인덱스 챔버(920)와 로드락 챔버(200) 사이에서 반송되는 기판 정렬을 예를 들어 설명한다. 3 is a configuration diagram for explaining a substrate aligning apparatus for a cluster facility for substrate processing. For reference, the substrate alignment conveyed between the index chamber 920 and the load lock chamber 200 will be described below as an example.

도 3을 참조하면, 기판 정렬 장치(800)는 센싱부(810)와, 위치 판별부(880) 그리고 위치 보정부(890)를 포함할 수 있다. Referring to FIG. 3, the substrate aligning apparatus 800 may include a sensing unit 810, a position determining unit 880, and a position correcting unit 890.

센싱부(810)는 제 1 기판 이송 로봇(930)의 엔드 이펙터(932)상에 놓여진 상태로 반송되는 기판(W)을 감지한다. The sensing unit 810 senses the substrate W to be transferred on the end effector 932 of the first substrate transfer robot 930.

센싱부(810)는 인덱스 챔버(920)와 로드락 챔버(200), 로드락 챔버(200)와 트랜스퍼 챔버(300), 그리고 트랜스퍼 챔버(300)와 처리 모듈용 로드락 챔버(410) 사이에 제공될 수 있다. 일 예로, 센싱부(810)는 게이트 밸브(700-1)에 설치될 수 있다. The sensing unit 810 is provided between the index chamber 920 and the load lock chamber 200, between the load lock chamber 200 and the transfer chamber 300 and between the transfer chamber 300 and the load lock chamber 410 for the processing module Can be provided. For example, the sensing unit 810 may be installed in the gate valve 700-1.

센싱부(810)는 기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치될 수 있다. The sensing unit 810 may be disposed eccentrically to either the left side or the right side of the center of the path through which the substrate is transported.

또한, 도 7에서와 같이, 센싱부(810)는 포인트 검출 방식과, 리니어 검출 방식중 적어도 어느 하나를 포함할 수 있다. 7, the sensing unit 810 may include at least one of a point detection method and a linear detection method.

일 예로, 포인트 검출 방식은 빛을 조사하는 제1발광센서(812)와, 제1발광센서(812)로부터 조사되는 빛을 수광하는 제1수광센서(814)를 포함할 수 있다. For example, the point detection method may include a first light emitting sensor 812 for emitting light and a first light receiving sensor 814 for receiving light emitted from the first light emitting sensor 812.

또 다른 예로, 센싱부(810)는 리니어 검출 방식으로 빛을 조사하는 제2발광센서(816), 평면상에서 바라보았을 때 기판의 반송 방향과 직교하는 방향으로 적어도 하나 이상의 열로 배열되어 제2발광센서로부터 조사되는 빛을 수광하는 수광소자들로 이루어지는 제2수광센서(818)를 포함할 수 있다. As another example, the sensing unit 810 may include a second light emitting sensor 816 for emitting light in a linear detection manner, at least one or more columns arranged in a direction orthogonal to the conveying direction of the substrate when viewed on a plane, And a second light-receiving sensor 818 composed of light-receiving elements for receiving light emitted from the light-receiving element.

참고로, 리니어 검출 방식의 센싱부는 빔 센서 또는 스폿(spot) 형태의 센서를 이용하여 구축이 가능하다.For reference, the sensing unit of the linear detection system can be constructed using a beam sensor or a spot sensor.

위치 판별부(880)는 제1수광센서(814)로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 센싱 시작 신호로부터 센싱 종료 신호까지 소요된 검출시간을 산출하고, 검출시간과 기설정값을 비교하여 기판의 좌우 틀어짐을 판별한다. The position determining unit 880 receives the sensing start signal and the sensing end signal from the first light receiving sensor 814 and calculates the sensing time from the sensing start signal to the sensing end signal, Thereby determining whether the substrate is turned right or left.

또 다른 예로, 위치 판별부(880)는 제2수광센서(818)들에 의해 기판이 센싱부를 통과할 때 기판에 의한 차광량 변화를 제공받아, 차광량 변화에 기초하여 기판의 좌우 틀어짐을 판별할 수 있다. As another example, the position determination unit 880 receives the light amount variation caused by the substrate when the substrate passes through the sensing unit by the second light receiving sensors 818, and determines whether the substrate is turned right or left based on the light amount variation can do.

위치 보정부(890)는 기판이 정상적인 반송위치로 반송되도록 위치 판별부(880)의 결과에 따라 엔드 이펙터(932)의 반송 동작을 보정할 수 있다. The position correcting unit 890 can correct the carrying operation of the end effector 932 according to the result of the position determining unit 880 so that the substrate is transported to the normal carrying position.

이 실시예에 있어서, 게이트밸브(700-1, 700-2, 700-3)는 서로 동일한 구성을 갖는다. 따라서, 이하에서는 인덱스 챔버(920)와 로드락 챔버(200)를 연결하는 게이트 밸브(700-1)를 일예로 하여 각 게이트 밸브(700-1, 700-2, 700-3)의 구성에 대해 구체적으로 설명하고, 나머지 게이트 밸브(700-1, 700-2, 700-3)의 구성에 대한 설명은 생략한다. In this embodiment, the gate valves 700-1, 700-2, and 700-3 have the same configuration. The gate valve 700-1 connecting the index chamber 920 and the load lock chamber 200 will be described below with reference to the configuration of the gate valves 700-1, 700-2, and 700-3. A detailed description will be given and a description of the configuration of the remaining gate valves 700-1, 700-2 and 700-3 will be omitted.

도 3은 게이트 밸브를 보여주는 사시도이고, 도 4는 도 3에 도시된 게이트 밸브의 측단면도이며, 도 5는 도 3에 도시된 게이트 밸브의 평단면도이다. 그리고 도 6은 게이트 밸브에 설치된 센싱부를 정면에서 바라본 도면이다.Fig. 3 is a perspective view showing the gate valve, Fig. 4 is a side sectional view of the gate valve shown in Fig. 3, and Fig. 5 is a top sectional view of the gate valve shown in Fig. And FIG. 6 is a front view of the sensing part provided in the gate valve.

본 실시예에서 제 1 기판 이송 로봇(930)과 제 2 기판 이송 로봇(330)은 복수의 기판을 동시에 반송할 수 있도록 다단으로 배치된 엔드 이펙터를 포함할 수 있다. 일 예로, 제 1 기판 이송 로봇(930)과 제 2 기판 이송 로봇(330)은 5장의 기판을 반송할 수 있도록 5개의 엔드 이펙터가 제공될 수 있다. In the present embodiment, the first substrate transfer robot 930 and the second substrate transfer robot 330 may include an end effector arranged in multiple stages so as to simultaneously transfer a plurality of substrates. For example, the first substrate transfer robot 930 and the second substrate transfer robot 330 may be provided with five end effectors for transferring five substrates.

도 3 내지 도 6을 참조하면, 게이트 밸브(700-1)는 기판 이동 통로를 형성하는 밸브 하우징(710)과, 밸브 하우징(710)에 결합되어 기판 이동 통로를 차단하는 블레이드(720), 블레이드(720)를 구동시키기 위한 구동부(730) 그리고 밸브 하우징(710)에 설치되는 센싱부(810)를 포함할 수 있다. 3 to 6, the gate valve 700-1 includes a valve housing 710 forming a substrate transfer path, a blade 720 coupled to the valve housing 710 to block the substrate transfer path, A driving unit 730 for driving the driving unit 720, and a sensing unit 810 installed in the valve housing 710.

센싱부(810)는 제 1 기판 이송 로봇(930)의 엔드 이펙터(932)가 진입하는 밸브 하우징(710)의 입구쪽에 설치되어 있는 것으로 도시하였으나, 센싱부(810)는 밸브 하우징(710)의 출구쪽에 설치되어도 무방하다. The sensing unit 810 is disposed at the entrance of the valve housing 710 into which the end effector 932 of the first substrate transfer robot 930 enters, It may be installed at the exit side.

센싱부(810)는 기판이 반송되는 경로의 중심에서 좌측으로 편심되게 배치될 수 있다. 센싱부(810)는 5장의 기판들을 감지하도록 기판들 사이 사이에 위치되는 감지바(811)들을 포함하고, 감지바(811)들에는 기판을 사이에 두고 제1발광센서(812)와 제2수광센서(814)가 서로 대향되게 설치된다. The sensing unit 810 may be arranged eccentrically to the left from the center of the path through which the substrate is transported. The sensing unit 810 includes sensing bars 811 positioned between the substrates so as to sense five substrates. The sensing bar 811 includes a first light emitting sensor 812 and a second light emitting sensor 812, Receiving sensor 814 are provided so as to face each other.

기판(W)들이 제 1 기판 이송 로봇의 엔드 이펙터(932)에 의해 게이트 밸브(700-1)를 통과할 때 센싱부(810)가 기판들을 감지한다. The sensing unit 810 senses the substrates when the substrates W pass through the gate valve 700-1 by the end effector 932 of the first substrate transfer robot.

센싱부(810)에서 감지된 신호는 기판 정렬 장치(800)의 위치 판별부(880)로 제공된다. The signal sensed by the sensing unit 810 is provided to the position determining unit 880 of the substrate aligning apparatus 800.

도 8은 기판의 정상 조건에서의 반송을 보여주는 도면이고, 도 9 및 도 10은 기판의 이상 조건에서의 반송을 보여주는 도면들이다. Fig. 8 is a view showing the transport of the substrate under normal conditions, and Figs. 9 and 10 are views showing transport of the substrate in an abnormal condition.

도 8 내지 도 10을 참조하면, 위치 판별부(880)는 제1수광센서(814)로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 센싱 시작 신호로부터 센싱 종료 신호까지 소요된 검출시간을 산출한다. 이때, 도 8에서와 같이, 기판의 정상 조건에서의 반송시 검출시간을 T1이라고 가정하고, 도 9에서와 같이 기판이 좌측으로 틀어진(shift) 이상 조건에서의 반송시 검출 시간이 T2이라고 하면, T2 > T1으로 비교될 수 있다. 그리고, 도 10에서와 같이 기판이 우측으로 틀어진(shift) 이상 조건에서의 반송시 검출 시간이 T3이라고 하면, T3 < T1으로 비교될 수 있다. 8 to 10, the position determination unit 880 receives the sensing start signal and the sensing end signal of the substrate from the first light receiving sensor 814 and calculates the sensing time required from the sensing start signal to the sensing end signal do. 8, assuming that the detection time during transport in the normal condition of the substrate is T1 and the detection time during transport in the abnormal condition in which the substrate shifts to the left as shown in FIG. 9 is T2, T2 > T1. If the detection time during transport in the abnormal condition that the substrate shifts to the right as shown in FIG. 10 is T3, it can be compared with T3 < T1.

이처럼, 위치 판별부(880)는 검출시간(T2,T3)이 기설정값(T1)보다 큰 경우 기판이 좌측으로 틀어져 반송되는 것으로 판단하며, 검출시간(T2,T3)이 기설정값(T1)보다 작은 경우 기판이 우측으로 틀어져 반송되는 것으로 판단한다. When the detection times T2 and T3 are greater than the predetermined value T1, the position determining unit 880 determines that the substrate is turned to the left and is transported. If the detection times T2 and T3 are less than the predetermined value T1 ), It is determined that the substrate is turned to the right and is transported.

그리고, 검출시간(T2,T3)과 기설정값(T1)의 차감을 보상하여 이를 토대로 기판이 정상 위치에서 어느정도 이동되었는지 산출하고, 위치 보정부(890)는 기판이 정상적인 반송위치(최종 목표 지점)로 반송되도록 위치 판별부(880)의 결과에 따라 엔드 이펙터(932)의 반송 동작을 보정한다.The position correcting unit 890 corrects the difference between the detection times T2 and T3 and the preset value T1 and calculates how much the substrate has moved from the normal position based on the calculated difference. , The transport operation of the end effector 932 is corrected according to the result of the position determination unit 880. [

한편, 위치 판별부(880)는 기판의 전후 틀어짐(shift) 판별은 다음과 같으며, 이때 기판은 동일한 속도로 반송되는 것을 전제로 한다. On the other hand, the position determination unit 880 determines that the substrate is shifted forward and backward as follows, assuming that the substrate is transported at the same speed.

첫번째 방법은, 위치 판별부(880)는 기판 반송 장치의 엔드 이펙터(932)가 기판을 최초 로딩하는 위치(이하, 제1위치라고 함)에서 기판의 언로딩 위치(제2위치)로 이동하는 과정에서, 제1위치의 이동 시작부터 센싱부(810)의 최초 센싱 시점까지 기판이 이동되는 시간을 체크하고, 체크된 이동 시간이 기설정된 이동시간보다 작으면 기판이 전방으로 쉬프트(shift)되어 반송되는 것으로 판단하고, 상기 체크된 이동시간이 기설정된 이동시간보다 크면 기판이 후방으로 쉬프트(shift)되어 반송되는 것으로 판단한다. In the first method, the position determining unit 880 moves the end effector 932 of the substrate transfer apparatus from the first loading position (hereinafter referred to as the first loading position) to the unloading position (second loading position) of the substrate The time of moving the substrate from the start of movement of the first position to the first sensing time of the sensing unit 810 is checked and if the checked movement time is shorter than the predetermined movement time, the substrate is shifted forward It is determined that the substrate is transported, and if the checked transport time is greater than the predetermined transport time, it is determined that the substrate is shifted backward and transported.

두번째 방법은, 위치 판별부(880)가 기판 반송 장치(930)로부터 엔코더 값을 제공받아 센싱부(810)에서 기판을 최초 센싱한 시점에서의 엔코더 값을 체크하여, 체크된 엔코더 값이 기설정된 엔코더 값보다 작으면 기판이 후방으로 쉬프트(shift)되어 반송되는 것으로 판단하고, 체크된 엔코더 값이 기설정된 엔코더 값보다 크면 기판이 전방으로 쉬프트(shift)되어 반송되는 것으로 판단한다. 예를 들어, 기판 반송 장치(930)가 기판을 정상 위치에 안착한 상태에서 반송될때 센싱부(810)에서의 기판 센싱 시점의 엔코더 값이 100이라고 가정하고, 기판이 전방으로 쉬프트된 상태에서 반송될 경우 센싱부(810)에서 센싱된 시점의 엔코더값은 기준 엔코더값보다 클수밖에 없기 때문이다. In the second method, the position determination unit 880 receives an encoder value from the substrate transport apparatus 930, checks the encoder value at the time when the substrate is first sensed by the sensing unit 810, If the encoder value is smaller than the encoder value, it is determined that the substrate is shifted backward and the substrate is conveyed. If the checked encoder value is larger than the predetermined encoder value, it is determined that the substrate is shifted forward and conveyed. For example, when the substrate transport apparatus 930 is transported with the substrate placed in the normal position, it is assumed that the encoder value at the time of sensing the substrate at the sensing unit 810 is 100, and the substrate is transported with the substrate shifted forward The encoder value at the time of sensing in the sensing unit 810 must be larger than the reference encoder value.

도 11 내지 도 13은 리니어 검출 방식으로 기판을 감지하는 센싱부에 대한 설명이다.11 to 13 are explanatory views of a sensing unit for detecting a substrate by a linear detection method.

도 11은 기판의 정상 조건에서의 반송을 보여주는 도면이고, 도 12 및 도 13은 기판의 이상 조건에서의 반송을 보여주는 도면들이다. Fig. 11 is a view showing the transporting of the substrate under normal conditions, and Figs. 12 and 13 are views showing transporting of the substrate in an abnormal condition.

도 11 내지 도 13을 참조하면, 위치 판별부(880)는 제2수광센서(818)로부터 기판이 센싱부(810)를 통과할 때 기판에 의한 차광량을 산출한다. 11 to 13, the position determining unit 880 calculates the amount of light shielded by the substrate when the substrate passes through the sensing unit 810 from the second light receiving sensor 818.

이때, 도 10에서와 같이, 기판의 정상 조건에서의 반송시 차광량이 A1이라고 가정하고, 도 11에서와 같이 기판이 좌측으로 틀어진(shift) 이상 조건에서의 반송시 차광량이 A2이라고 하면, A2 > A1으로 비교될 수 있다. 그리고, 도 13에서와 같이 기판이 우측으로 틀어진(shift) 이상 조건에서의 반송시 차광량이 A3이라고 하면, A3 < A1으로 비교될 수 있다. 10, assuming that the amount of light shading at the time of transportation under the normal condition of the substrate is A1, and the amount of light shielded during transportation under the abnormal condition that the substrate shifts to the left as shown in Fig. 11 is A2, A2 > A1. If the amount of light shielding during transportation under the abnormal condition of shifting the substrate to the right as shown in Fig. 13 is A3, it can be compared with A3 < A1.

이처럼, 위치 판별부(880)는 차광량(A2,A3)이 기설정값(A1)보다 큰 경우 기판이 좌측으로 틀어져 반송되는 것으로 판단하며, 차광량(A2,A3)이 기설정값(A1)보다 작은 경우 기판이 우측으로 틀어져 반송되는 것으로 판단한다. When the light amount A2 and A3 is greater than the predetermined value A1, the position determining unit 880 determines that the substrate is turned to the left and is transported. ), It is determined that the substrate is turned to the right and is transported.

그리고, 차광량(A2,A3)과 기설정값(A1)의 차감을 보상하여 이를 토대로 기판이 정상 위치에서 어느정도 이동되었는지 산출하고, 위치 보정부(890)는 기판이 정상적인 반송위치로 반송되도록 위치 판별부(880)의 결과에 따라 엔드 이펙터(932)의 반송 동작을 보정한다.The difference between the light amounts A2 and A3 and the preset value A1 is compensated to calculate how much the substrate has moved from the normal position based on the calculated difference. The position correcting unit 890 corrects the position of the substrate And corrects the conveying operation of the end effector 932 according to the result of the judging unit 880.

도 14는 센싱부가 양측에 설치된 예를 보여주는 도면이다. Fig. 14 is a view showing an example in which the sensing portion is installed on both sides. Fig.

도 14에서와 같이, 센싱부는 기판 반송 경로의 중심에서 좌측으로 편심되게 배치되는 제1센싱부(810a)와, 제1센싱부(810a)와는 반송경로의 중심을 기준으로 대칭되게 배치되는 제2센싱부(810b)를 포함할 수 있다. 14, the sensing unit includes a first sensing unit 810a disposed eccentrically to the left of the center of the substrate transfer path, and a second sensing unit 810b disposed symmetrically with respect to the center of the conveyance path, And a sensing unit 810b.

위치 판별부(880)는 제1,2센싱부(810a,810b) 각각의 수광센서로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 센싱 시작 신호로부터 센싱 종료 신호까지 소요된 검출시간을 각각 산출하고, 제1센싱부(810a)의 검출시간과 제2센싱부(810b)의 검출시간이 상이한 경우 검출시간이 큰 쪽으로 기판이 틀어진 상태로 반송되고 있음을 판별할 수 있다.
The position determination unit 880 receives sensing start signals and sensing end signals of the substrates from the light receiving sensors of the first and second sensing units 810a and 810b, respectively, and calculates the detection times required from the sensing start signal to the sensing end signal If the detection time of the first sensing unit 810a is different from the detection time of the second sensing unit 810b, it can be determined that the substrate is transported in a state in which the detection time is longer.

게이트 밸브(700-1)는 기판 이동 통로를 형성하는 밸브 하우징(710)과, 밸브 하우징(710)에 결합되어 기판 이동 통로를 차단하는 블레이드(720), 블레이드(720)를 구동시키기 위한 구동부(730) 그리고 밸브 하우징(710)에 설치되는 센싱부(810)를 포함할 수 있다. The gate valve 700-1 includes a valve housing 710 that forms a substrate transfer path, a blade 720 coupled to the valve housing 710 to block the substrate transfer path, 730), and a sensing unit 810 installed in the valve housing 710.

도 15는 게이트 밸브의 센서 장착부를 보여주는 도면이다.15 is a view showing a sensor mounting portion of a gate valve.

도 15에 도시된 바와 같이, 게이트 밸브(700-1)는 유지 보수시 작업성을 향상시키기 위해 밸브 하우징(710)의 외부에서 센싱부(810)의 유지 보수가 가능하도록 센서 장착부(840)를 제공할 수 있다. 15, the gate valve 700-1 has a sensor mounting portion 840 so as to allow maintenance of the sensing portion 810 outside the valve housing 710 in order to improve workability during maintenance .

일 예로, 센서 장착부(840)는 보호커버(750) 및 고정 플레이트(760)를 포함한다. In one example, the sensor mounting portion 840 includes a protective cover 750 and a fixing plate 760.

보호 커버(750)는 밸브 하우징(710)의 측면으로부터 기판 이동 통로(밸브 하우징 내부공간)에 위치되는 센싱부(810)를 감싸주는 투명한 소재(센서 빛이 투과되는 소재)로 제공될 수 있다. The protective cover 750 may be provided as a transparent material (a material through which the sensor light is transmitted) that surrounds the sensing unit 810 located in the substrate transfer path (the inner space of the valve housing) from the side surface of the valve housing 710.

보호 커버(750)는 밸브 하우징(710)의 내부와는 격리되고 센싱부(810)가 삽입되는 삽입 공간을 갖는 돌출부(752)들과, 돌출부(752)들 사이에 기판이 통과할 수 있도록 슬롯이 형성된 오목부(754)들을 포함할 수 있다. 보호 커버(750)의 테두리(756)에는 밸브 하우징(710)의 밀폐를 위해 오링이 설치된다. The protective cover 750 has protrusions 752 that are isolated from the inside of the valve housing 710 and have an insertion space into which the sensing portion 810 is inserted, May include recesses 754 formed therein. The rim 756 of the protective cover 750 is provided with an O-ring for sealing the valve housing 710.

고정 플레이트(760)는 보호커버(750)가 밸브 하우징(710)의 측면에 고정되도록 밸브 하우징(710)의 외측면에 고정된다. 고정 플레이트(760)에는 센싱부(810)가 삽입될 수 있는 홀이 형성되어 있으며, 이 홀은 돌출부(752)와 동일선상에 제공된다. 센싱부(810)는 홀을 통해 보호 커버(750)의 돌출부(752)에 삽입 고정되며, 센서 각도 조정이 가능하도록 상기 고정 플레이트(760)에 장착된다. The fixing plate 760 is fixed to the outer surface of the valve housing 710 so that the protective cover 750 is fixed to the side surface of the valve housing 710. The fixing plate 760 is provided with a hole into which the sensing portion 810 can be inserted, and the hole is provided in the same line as the protrusion 752. [ The sensing unit 810 is inserted into the protrusion 752 of the protective cover 750 through the hole and mounted on the fixing plate 760 so that the sensor angle can be adjusted.

상술한 바와 같이, 게이트 밸브(700-1)는 센싱부(810)의 유지 보수 또는 교체가 필요한 경우 밸브 하우징(710) 내부의 진공 상태를 유지한 상태에서 외부에서 센싱부(810)를 탈부착하는 것이 가능하다. As described above, when the maintenance or replacement of the sensing unit 810 is required, the gate valve 700-1 is detachably attached to the sensing unit 810 from the outside while maintaining the vacuum state inside the valve housing 710 It is possible.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The foregoing description is merely illustrative of the technical idea of the present invention, and various changes and modifications may be made by those skilled in the art without departing from the essential characteristics of the present invention. Therefore, the embodiments disclosed in the present invention are intended to illustrate rather than limit the scope of the present invention, and the scope of the technical idea of the present invention is not limited by these embodiments. The scope of protection of the present invention should be construed according to the following claims, and all technical ideas within the scope of equivalents should be construed as falling within the scope of the present invention.

700-1 : 게이트 밸브 710 : 밸브 하우징
800: 기판 정렬 장치 810 : 센싱부
880 : 위치 판별부 890 : 위치 보정부
700-1: gate valve 710: valve housing
800: substrate alignment device 810:
880: Position determining unit 890: Position correcting unit

Claims (25)

삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 게이트 밸브에 있어서:
기판 이동 통로를 형성하는 밸브 하우징;
상기 밸브 하우징에 결합되어 상기 기판 이동 통로를 차단하는 블레이드;
상기 블레이드를 구동시키기 위한 구동부; 및
상기 밸브 하우징에 설치되고, 기판이 기판 반송 장치의 엔드 이펙터에 의해 상기 기판 이동 통로를 통과할 때 기판의 정렬 유무를 감지하는 센싱부를 포함하되;
상기 밸브 하우징은
상기 밸브 하우징의 측면으로부터 상기 기판 이동 통로에 위치되는 상기 센싱부를 감싸주는 투명한 소재의 보호 커버를 갖는 센서 장착부를 포함하고,
상기 보호 커버는 상기 밸브 하우징의 내부와는 격리되고 상기 센싱부가 삽입되는 삽입 공간을 갖는 돌출부들과, 상기 돌출부들 사이에 기판이 통과할 수 있도록 슬롯이 형성된 오목부들을 포함하는 게이트 밸브.
A gate valve comprising:
A valve housing forming a substrate transfer path;
A blade coupled to the valve housing to block the substrate transfer path;
A driving unit for driving the blade; And
And a sensing unit installed in the valve housing and sensing whether the substrate is aligned when the substrate passes through the substrate transfer path by an end effector of the substrate transfer apparatus,
The valve housing
And a sensor mounting portion having a transparent protective cover for covering the sensing portion located in the substrate moving path from the side surface of the valve housing,
Wherein the protective cover comprises projections having an insertion space isolated from the interior of the valve housing and into which the sensing portion is inserted and recesses formed with slots through which the substrate can pass between the projections.
제 13 항에 있어서,
상기 센싱부는
기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치되며,
상기 센싱부는
기판을 기준으로 상부와 하부중 어느 한쪽에 설치되는 발광부; 및
기판을 기준으로 상기 발광부와 대향되는 다른 한쪽에 위치되어 상기 발광부로부터 조사되는 빛을 수광하는 수광부를 포함하는 게이트 밸브.
14. The method of claim 13,
The sensing unit
Wherein the substrate is disposed eccentrically to either the left side or the right side of the center of the path through which the substrate is transported,
The sensing unit
A light emitting portion provided on either the upper portion or the lower portion with respect to the substrate; And
And a light receiving portion that is located on the other side of the substrate opposite to the light emitting portion and receives light emitted from the light emitting portion.
제 14 항에 있어서,
상기 기판 반송 장치의 엔드 이펙터는 복수의 기판들을 반송하며,
상기 센싱부는
상기 복수의 기판들을 감지하도록 상기 복수의 기판들 사이 사이에 위치되는 감지바들을 더 포함하고,
상기 감지바들에는 기판을 사이에 두고 상기 발광부와 상기 수광부가 서로 대향되게 설치되는 게이트 밸브.
15. The method of claim 14,
The end effector of the substrate transfer apparatus conveys a plurality of substrates,
The sensing unit
Further comprising sensing bars positioned between the plurality of substrates to sense the plurality of substrates,
Wherein the light emitting unit and the light receiving unit are disposed opposite to each other with a substrate interposed therebetween.
제 14 항에 있어서,
상기 수광부는
평면상에서 바라보았을 때 기판의 반송 방향과 직교하는 방향으로 적어도 하나 이상의 열로 배열되어 상기 발광부로부터 조사되는 빛을 수광하는 수광소자들을 포함하는 게이트 밸브.
15. The method of claim 14,
The light-
And light receiving elements arranged in at least one or more rows in a direction orthogonal to the transport direction of the substrate when viewed in a plan view and receiving light emitted from the light emitting portion.
삭제delete 삭제delete 제 13 항에 있어서,
상기 센서 장착부는
상기 보호커버가 상기 밸브 하우징의 측면에 고정되도록 상기 밸브 하우징의 외측면에 고정되는 고정 플레이트를 더 포함하는 게이트 밸브.
14. The method of claim 13,
The sensor mounting portion
Further comprising a fixing plate fixed to an outer surface of the valve housing such that the protective cover is fixed to a side surface of the valve housing.
기판을 처리하는 클러스터 설비에 있어서:
기판들이 적재된 카세트가 놓여지는 로드 포트들을 갖는 설비 전방 단부 모듈(EFEM);
상기 설비 전방 단부 모듈과는 게이트밸브를 통해 연결되고, 내부공간이 대기압와 진공압으로 선택적 전환이 가능한 제1로드락 챔버;
상기 제1로드락 챔버와는 제1게이트밸브를 통해 연결되며, 기판 반송을 위한 반송장치가 구비된 트랜스퍼 챔버;
상기 트랜스퍼 챔버와는 제2게이트밸브를 통해 연결되고, 기판들이 배치식으로 적재되는 기판 적재 유닛이 구비된 제2로드락 챔버들;
상기 제2로드락 챔버들 각각의 상부에 배치되고 상기 기판 적재 유닛에 적재된 기판들을 공정 처리하는 프로세스챔버들; 및
상기 제1게이트 밸브와 상기 제2게이트 밸브에 각각 설치되고, 기판이 상기 반송 장치의 엔드 이펙터에 의해 상기 제1게이트 밸브와 상기 제2게이트 밸브의 기판 이동 통로를 통과할 때 기판의 정렬 유무를 감지하는 센싱부;
상기 센싱부로부터 감지된 센싱값을 통해 기판의 정렬 상태를 판별하는 위치 판별부; 및
기판이 정상적으로 반송되도록 상기 위치 판별부의 결과에 따라 상기 엔드 이펙터의 반송 동작을 보정하는 위치 보정부를 포함하되;
상기 제1게이트 밸브와 상기 제2게이트 밸브 각각은
기판 이동 통로를 형성하는 밸브 하우징과, 상기 밸브 하우징의 측면으로부터 상기 기판 이동 통로에 위치되는 상기 센싱부를 감싸주는 투명한 소재의 보호 커버를 갖는 센서 장착부를 포함하며,
상기 보호 커버는 상기 밸브 하우징의 내부와는 격리되고 상기 센싱부가 삽입되는 삽입 공간을 갖는 돌출부들과, 상기 돌출부들 사이에 기판이 통과할 수 있도록 슬롯이 형성된 오목부들을 포함하는 클러스터 설비.
CLAIMS What is claimed is:
A facility front end module (EFEM) having load ports on which cassettes loaded with substrates are placed;
A first load lock chamber connected to the facility front end module through a gate valve and having an internal space capable of selective switching to atmospheric pressure and vacuum pressure;
A transfer chamber connected to the first load lock chamber through a first gate valve and having a transfer device for substrate transfer;
Second load lock chambers connected to the transfer chamber through a second gate valve, the second load lock chambers having a substrate loading unit in which substrates are loaded in a batch manner;
Process chambers disposed above each of the second load lock chambers and for processing substrates loaded on the substrate loading unit; And
Wherein the first gate valve and the second gate valve are provided respectively with the substrate and the second gate valve, wherein when the substrate passes through the substrate transfer path of the first gate valve and the second gate valve by the end effector of the transfer device, A sensing unit for sensing a sensing signal;
A position determining unit for determining an alignment state of the substrate through a sensing value sensed by the sensing unit; And
And a position correcting unit for correcting the conveying operation of the end effector according to the result of the position determining unit so that the substrate is normally conveyed;
Wherein each of the first gate valve and the second gate valve
And a sensor mounting portion having a protective cover of a transparent material for covering the sensing portion located in the substrate transfer path from the side surface of the valve housing,
Wherein the protective cover comprises projections having an insertion space isolated from the interior of the valve housing and into which the sensing portion is inserted and recesses formed with slots through which the substrate can pass between the projections.
제 20 항에 있어서,
상기 센싱부는 기판이 반송되는 경로의 중심에서 좌측과 우측중 어느 일측으로 편심되게 배치되는 클러스터 설비.
21. The method of claim 20,
Wherein the sensing unit is disposed eccentrically to either the left side or the right side of the center of the path through which the substrate is transported.
제 21 항에 있어서,
상기 센싱부는
빛을 조사하는 제1발광센서, 상기 제1발광센서로부터 조사되는 빛을 수광하는 제1수광센서를 포함하고,
상기 위치 판별부는
상기 제1수광센서로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 상기 센싱 시작 신호로부터 상기 센싱 종료 신호까지 소요된 검출시간을 산출하고, 상기 검출시간이 기설정값보다 큰 경우 기판이 일측으로 틀어져 반송되는 것으로 판단하며, 상기 검출시간이 상기 기설정값보다 작은 경우 기판이 타측으로 틀어져 반송되는 것으로 판단하는 클러스터 설비.
22. The method of claim 21,
The sensing unit
A first light emitting sensor for emitting light, and a first light receiving sensor for receiving light emitted from the first light emitting sensor,
The position determining unit
A sensing start signal and a sensing end signal of the substrate are received from the first light receiving sensor to calculate a sensing time required from the sensing start signal to the sensing end signal, and when the sensing time is larger than a preset value, And determines that the substrate is shifted to the other side and transported when the detection time is smaller than the predetermined value.
제 21 항에 있어서,
상기 센싱부는
빛을 조사하는 제2발광센서, 평면상에서 바라보았을 때 기판의 반송 방향과 직교하는 방향으로 적어도 하나 이상의 열로 배열되어 상기 제2발광센서로부터 조사되는 빛을 수광하는 수광소자들로 이루어지는 제2수광센서를 더 포함하고,
상기 위치 판별부는
상기 수광소자들에 의해 기판이 상기 센싱부를 통과할 때 기판에 의한 차광량 변화를 제공받아, 상기 차광량 변화에 기초하여 기판의 좌우 틀어짐을 판별하는 클러스터 설비.
22. The method of claim 21,
The sensing unit
A second light receiving sensor arranged in at least one or more rows in a direction orthogonal to the conveying direction of the substrate when viewed in a plan view and comprising light receiving elements for receiving light emitted from the second light emitting sensor, Further comprising:
The position determining unit
Wherein the light receiving element is provided with a light shielding amount change by the substrate when the substrate passes through the sensing unit, and judges right and left deformation of the substrate based on the light shielding amount change.
제 23 항에 있어서,
상기 위치 판별부는
상기 차광량 변화가 기설정값보다 큰 경우 기판이 일측으로 틀어져 반송되는 것으로 판단하며,
상기 차광량 변화가 상기 기설정값보다 작은 경우 기판이 타측으로 틀어져 반송되는 것으로 판단하는 클러스터 설비.
24. The method of claim 23,
The position determining unit
When the light amount variation is larger than a predetermined value, it is determined that the substrate is turned to one side and transported,
And determines that the substrate is shifted to the other side and transported when the light amount change is smaller than the predetermined value.
제 20 항에 있어서,
상기 센싱부는
상기 기판 이동 통로의 중심에서 좌측으로 편심되게 배치되는 제1센싱부; 및
상기 제1센싱부와는 반송경로의 중심을 기준으로 대칭되게 배치되는 제2센싱부를 포함하며,
상기 위치 판별부는
상기 제1,2센싱부 각각으로부터 기판의 센싱 시작 신호와 센싱 종료 신호를 제공받아 상기 센싱 시작 신호로부터 상기 센싱 종료 신호까지 소요된 검출시간을 각각 산출하고,
상기 제1센싱부의 검출시간과 상기 제2센싱부의 검출시간이 상이한 경우 검출시간이 큰 쪽으로 기판이 틀어진 상태로 반송되고 있음을 판별하는 클러스터 설비.
21. The method of claim 20,
The sensing unit
A first sensing unit disposed eccentrically to the left of the center of the substrate transfer path; And
And a second sensing unit arranged symmetrically with respect to the center of the transport path with respect to the first sensing unit,
The position determining unit
A sensing start signal and a sensing end signal of the substrate are received from the first and second sensing units, respectively, and a detection time required from the sensing start signal to the sensing end signal is calculated,
Wherein when the detection time of the first sensing unit is different from the detection time of the second sensing unit, it is determined that the substrate is transported in a state in which the detection time is longer.
KR1020150020799A 2015-02-11 2015-02-11 Substrate aligning device, gate Valve And Cluster Apparatus Including The Same KR101620545B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020150020799A KR101620545B1 (en) 2015-02-11 2015-02-11 Substrate aligning device, gate Valve And Cluster Apparatus Including The Same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150020799A KR101620545B1 (en) 2015-02-11 2015-02-11 Substrate aligning device, gate Valve And Cluster Apparatus Including The Same

Publications (1)

Publication Number Publication Date
KR101620545B1 true KR101620545B1 (en) 2016-05-13

Family

ID=56023711

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150020799A KR101620545B1 (en) 2015-02-11 2015-02-11 Substrate aligning device, gate Valve And Cluster Apparatus Including The Same

Country Status (1)

Country Link
KR (1) KR101620545B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020171350A1 (en) * 2019-02-22 2020-08-27 이규옥 End effector measurement module and end effector monitoring device using same
CN115184373A (en) * 2022-07-01 2022-10-14 深圳中科飞测科技股份有限公司 Calibration method and related device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044263A (en) * 1999-08-04 2001-02-16 Nikon Corp Substrate carrying method and substrate carrying apparatus
JP2014099494A (en) * 2012-11-14 2014-05-29 Tokyo Electron Ltd Substrate processing device and substrate transfer method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044263A (en) * 1999-08-04 2001-02-16 Nikon Corp Substrate carrying method and substrate carrying apparatus
JP2014099494A (en) * 2012-11-14 2014-05-29 Tokyo Electron Ltd Substrate processing device and substrate transfer method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020171350A1 (en) * 2019-02-22 2020-08-27 이규옥 End effector measurement module and end effector monitoring device using same
CN115184373A (en) * 2022-07-01 2022-10-14 深圳中科飞测科技股份有限公司 Calibration method and related device

Similar Documents

Publication Publication Date Title
KR101031843B1 (en) Apparatus for detecting positional offset and processing system using the same
KR102386557B1 (en) Substrate processing method and substrate processing system
US9514974B2 (en) Process apparatus with on-the-fly substrate centering
KR101009092B1 (en) Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
KR20180031604A (en) Substrate processing apparatus and method of transferring substrate
US9929030B2 (en) Substrate processing device and substrate transfer method
WO1999016121A1 (en) Device and method for detecting substrate
KR20200034607A (en) Substrate transfer method and substrate transfer module
JP2013171872A (en) Substrate processing device and substrate processing method
KR100862702B1 (en) Apparatus and method for sensing alignment state of substrate, and semiconductor manufacturing facility with the apparatus
KR101620545B1 (en) Substrate aligning device, gate Valve And Cluster Apparatus Including The Same
CN113330547A (en) Load port module
CN115346903A (en) Substrate transfer apparatus and substrate transfer method
KR20160066824A (en) Method for transfering substrate
US7832353B2 (en) Semiconductor manufacturing apparatus equipped with wafer inspection device and inspection techniques
KR102126390B1 (en) Wafer position sensing system
KR20080078426A (en) Apparatus for treating substrate and method for aligning substrates in the apparatus
KR102411116B1 (en) Substrate process system and method for transfering substrates
CN112956010B (en) Substrate processing apparatus and substrate conveying method
KR20210093341A (en) Transfer detection method and substrate processing apparatus
KR101184596B1 (en) Apparatus for transfering wafer and method for operating the same
KR20060116912A (en) Apparatus for transferring wafer
KR20030047975A (en) The Transfering Method of Wafer and Glass for Manufacturing Semiconductor and LCD
KR102616703B1 (en) Transfer device and teaching method of robot arm
KR102344253B1 (en) Side storage unit and system for treating substrate with the side storage unit

Legal Events

Date Code Title Description
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190426

Year of fee payment: 4