KR101569938B1 - 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법 - Google Patents

임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법 Download PDF

Info

Publication number
KR101569938B1
KR101569938B1 KR1020090007729A KR20090007729A KR101569938B1 KR 101569938 B1 KR101569938 B1 KR 101569938B1 KR 1020090007729 A KR1020090007729 A KR 1020090007729A KR 20090007729 A KR20090007729 A KR 20090007729A KR 101569938 B1 KR101569938 B1 KR 101569938B1
Authority
KR
South Korea
Prior art keywords
layer
substrate
feature pattern
plasma
bias
Prior art date
Application number
KR1020090007729A
Other languages
English (en)
Other versions
KR20090084766A (ko
Inventor
아키테루 고
크리스토퍼 콜레
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090084766A publication Critical patent/KR20090084766A/ko
Application granted granted Critical
Publication of KR101569938B1 publication Critical patent/KR101569938B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

기판 상의 실리콘 함유 반사 방지 코팅(ARC)층을 가지는 다층 마스크를 건식 현상하는 방법을 기술한다. 이 방법은 기판 상에 다층 마스크를 형성하는 단계를 포함하며, 이 다층 마스크는 실리콘 함유 ARC 층 위에 놓이는 리소그래픽층을 구비한다. 그 후, 특징부 패턴을 리소그래픽 공정을 이용하여 리소그래픽층에 형성한다. 그 후, 리소그래픽층으로부터 실리콘 함유 ARC층으로 건식 플라즈마 에칭 공정을 이용하여 특징부 패턴을 전사하며, 임계 치수(CD)의 오프셋은 내포 구조들과 고립 구조들 사이에서 감소된다.
다층 마스크

Description

임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법{METHOD FOR ETCHING SILICON-CONTAINING ARC LAYER WITH REDUCED CD BIAS}
본 발명은 기판 상의 실리콘 함유 방사 방지 코팅(ARC: anti-reflective coating)층의 특징부(feature)를 에칭하는 방법에 관한 것으로, 보다 상세하게는 임계 치수(CD: critical dimension) 바이어스를 감소시키면서 실리콘 함유 ARC층의 특징부를 에칭하는 방법에 관한 것이다.
통상적으로, 집적 회로(IC)들의 제조 동안에, 반도체 제조 장비는, 반도체 기판 상의 미세 라인들 또는 이 반도체 기판 상에 패턴화된 비어들 또는 컨택트들 내의 재료를 제거 또는 에칭하는 건식 플라즈마 에칭 공정을 이용한다.
플라즈마 에칭 공정의 성공에는, 에칭 화학작용이 실질적으로 다른 재료를 에칭하지 않으면서 하나의 재료를 선택적으로 에칭하는데 적합한 화학 반응물을 포함해야 한다. 예를 들어, 반도체 기판 상에서, 보호층에 형성된 패턴을 플라즈마 에칭 공정을 이용하여 선택된 재료의 하부층으로 전사할 수 있다. 이 보호층은, 리소그래픽 공정을 이용하여 그 내부에 형성된 패턴을 가지는, 포토레지스트층과 같은 방사 감지층을 구비할 수 있다. 또한, 이 보호층은, 상기 레지스트층 아래에 놓이는 반사 방지 코팅(ARC: anti-reflective coating)층을 구비할 수 있으며, 레지스트 패턴에 형성된 패턴은 하부 ARC층으로 전사된다. 상기 레지스트층으로부터 상기 ARC층으로의 패턴의 전사 동안에, 상기 패턴의 임계 치수(CD: critical dimension)를 유지하거나 또는 감소시키는 것이 바람직하다. 또한, 기판 전반에 걸쳐서 CD 바이어스(패턴 전사 동안의 초기 CD와 최종 CD 사이의 차이)의 분포를 균일하게 하는 것이 바람직하다. 또한, 내포(nested)(조밀하게 이격된) 구조들과 고립(넓게 이격된) 구조들 양자에 대한 CD 바이어스를 동일하게 하는 것이 바람직하다.
본 발명은 기판의 특징부를 에칭하는 방법에 관한 것이다.
또한, 본 발명은 기판 상의 실리콘 함유 방사 방지 코팅(ARC: anti-reflective coating)층의 특징부(feature)를 에칭하는 방법에 관한 것으로, 보다 상세하게는 임계 치수(CD) 바이어스를 감소시키면서 실리콘 함유 ARC층의 특징부를 에칭하는 방법에 관한 것이다.
또한, 본 발명은 기판 상의 실리콘 함유 반사 방지 코팅(ARC)층을 가지는 다층 마스크를 건식 현상하는 방법에 관한 것이다. 이 방법은 기판 상에 다층 마스크를 형성하는 단계를 포함하며, 이 다층 마스크는 실리콘 함유 ARC 층 위에 놓이는 리소그래픽층을 구비한다. 그 후, 특징부 패턴을 리소그래픽 공정을 이용하여 리소그래픽층에 형성한다. 그 후, 리소그래픽층으로부터 실리콘 함유 ARC층으로 건식 플라즈마 에칭 공정을 이용하여 특징부 패턴을 전사하며, 여기서 임계 치수(CD)의 오프셋은 내포 구조들과 고립 구조들 사이에서 감소된다.
일 실시예에 따르면, 기판 상의 반사 방지 코팅(ARC: anti-reflective coating)층을 건식 현상하는 방법이 기술되며, 이 방법은, 플라즈마 처리 시스템에 다층 마스크를 구비하는 기판을 배치하는 단계로서, 상기 다층 마스크는 실리콘 함유 ARC 층 위에 놓이는 리소그래픽층을 구비하며, 상기 리소그래픽층은 리소그래픽 공정을 이용하여 그 내부에 형성되는 특징부(feature) 패턴을 구비하는, 기판 배치 단계; 상기 특징부 패턴의 내포(nested) 구조들에 대한 제 1 임계 치수(CD) 바이어스와 상기 특징부 패턴의 고립(isolated) 구조들에 대한 제 2 임계 치수(CD) 바이어스 사이의 오프셋을 감소시키도록 구성되는 공정 방법(process recipe)을 설정하는 단계로서, 상기 제 1 CD 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 내포 구조들에 대한 제 1 임계 치수(CD)와 상기 실리콘 함유 ARC층의 상기 특징부 패턴의 내포 구조들에 대한 제 2 임계 치수(CD) 사이의 제 1 차분으로서 측정되며, 상기 제 2 CD 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 고립 구조들에 대한 제 1 임계 치수(CD)와 상기 실리콘 함유 ARC층의 상기 특징부 패턴의 고립 구조들에 대한 제 2 임계 치수(CD) 사이의 제 2 차분으로서 측정되는, 공정 방법 설정 단계; 상기 공정 방법에 따라 상기 플라즈마 처리 시스템에, SF6를 포함하는 공정 가스를 도입하는 단계; 상기 공정 방법에 따라 상기 플라즈마 처리 시스템의 상기 공정 가스로부터 플라즈마를 형성하는 단계; 및 상기 리소그래픽층의 상기 특징부 패턴을 하부의 상기 실리콘 함유 ARC층에 전사하기 위하여, 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함한다.
또 다른 실시예에 따르면, 기판 상의 다층 마스크를 건식 현상하는 방법이 기술되며, 이 방법은 상기 기판 상에 상기 다층 마스크를 형성하는 단계로서, 상기 다층 마스크는 유기 유전층(ODL) 위에 놓인 실리콘 함유 ARC층 위에 놓여 있는 리소그래픽층을 구비하는, 다층 마스크 형성 단계; 상기 리소그래픽층에 리소그래픽 공정을 이용하여 특징부 패턴을 형성하는 단계; 제 1 건식 플라즈마 에칭 공정을 이용하여 상기 리소그래픽층으로부터 상기 실리콘 함유 ARC 층으로 상기 특징부 패턴을 전사하는 단계로서, 상기 제 1 건식 플라즈마 에칭 공정은, SF6를 포함하는 공정 가스를 도입하는 단계, 상기 공정 가스로부터 플라즈마를 형성하는 단계 및 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함하는, 특징부 패턴의 전사 단계; 제 2 건식 플라즈마 에칭 공정을 이용하여 상기 실리콘 함유 ARC 층으로부터 상기 유기 유전층(ODL)으로 상기 특징부 패턴을 전사하는 단계로서, 상기 제 2 건 식 플라즈마 에칭 공정은 CO2를 포함하는 제 2 공정 가스를 도입하는 단계, 상기 제 2 공정 가스로부터 제 2 플라즈마를 형성하는 단계 및 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함하는, 특징부 패턴의 전사 단계; 상기 특징부 패턴의 내포 구조들에 대한 제 1 임계 치수(CD) 바이어스와 상기 특징부 패턴의 고립 구조들에 대한 제 2 임계 치수(CD) 바이어스 사이의 오프셋을 감소시키는 단계로서, 상기 제 1 임계 치수(CD) 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 내포 구조들에 대한 제 1 CD와 상기 유기 유전층(ODL)의 상기 특징부 패턴의 내포 구조들에 대한 제 2 CD 사이의 제 1 차분으로서 측정되며, 상기 제 2 임계 치수(CD) 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 고립 구조들에 대한 제 1 CD와 상기 유기 유전층(ODL)의 상기 특징부 패턴의 고립 구조들에 대한 제 2 CD 사이의 제 2 차분으로서 측정되는, 오프셋 감소 단계를 포함한다.
본 발명에 의하면, 기판 상의 실리콘 함유 방사 방지 코팅(ARC: anti-reflective coating)층의 특징부(feature)를 에칭하는 방법을 제공되며, 임계 치수(CD) 바이어스를 감소시키면서 실리콘 함유 방사 방지 코팅층의 특징부를 에칭할 수 있다.
이하의 설명에서, 한정이 아닌 설명의 목적으로, 처리 시스템의 특정 형상 및 다양한 구성요소들의 설명 및 이들에 사용되는 공정들과 같은 구체적인 세부사항들을 설명한다. 그러나 본 발명은 이러한 구체적인 세부사항으로부터 벗어나는 다른 실시예들로 실행될 수도 있음을 이해해야 한다.
물질 처리 방법론에 있어서, 플라즈마는 기판으로부터 물질의 제거를 용이하게 하거나 기판 상에 물질을 증착하기 위한 필름 형성 반응이 용이하도록, 기판 상에 표면 화학작용을 생성 및 보조하는데 종종 이용된다. 기판의 에칭 동안, 플라즈마는 기판의 표면에서 임의의 물질과 반응하기에 적합한 반응성 화학종을 생성하는데 이용될 수도 있다. 또한, 기판의 에칭 동안에, 플라즈마는 에너지를 기판 상의 표면 반응에 전달하는데 유용한 대전된 종(species)들을 생성하는데 사용될 수도 있다.
일 예에 따르면, 패턴 에칭은, 에칭 동안에 기판 상의 하부 박막에 패턴을 전사하기 위한 마스크를 제공하기 위하여, 후속하여 패턴화되는 기판의 상부 표면에 방사선 감지 물질(예를 들어, 포토레지스트)의 박막층과 같은 리소그래픽층을 적용하는 것을 포함한다. 감광성 물질의 패턴화는 일반적으로 예를 들어, 마이크로 리소그래피 시스템을 사용하여 전자기(EM: electromagnetic) 방사의 기하학적 패턴에 대한 리소그래픽층의 노출을 수반하며, 이어서 방사선 감지 물질(양성 포토레지스트의 경우와 같이)의 조사 영역의 제거, 또는 현상액을 이용하여 비(non)조사 영역(음성 레지스트의 경우와 같이)의 제거를 수반한다.
종래의 리소그래피 기술들을 이용하여 리소그래픽층에 더 얇은 특징부들을 패턴화하기 위하여, 다층 마스크들이 제공될 수 있다. 예를 들어, 다층 마스크는 2층 마스크 또는 3층 마스크를 포함할 수도 있다. 제 2 또는 제 3 층의 포함에 따라서, 최상부 리소그래픽층은 후속 건식 에칭 공정(들)을 견디도록 통상적으로 선택된 두께보다 얇을 수도 있으므로, 종래의 리소그래피 기술을 이용하여, 더 얇은 리소그래픽층에 더 미세한 특징부들을 형성할 수도 있다. 그 후, 리소그래픽층에 형성된 더 미세한 특징부는, 건식 에칭 공정과 같은, 건식 현상 공정을 이용하여 하부의 제 2 층 또는 제 3 층으로 전사될 수도 있다.
그러나, 패턴 전사 동안에, 다층 마스크의 특징부들을 생성할 필요가 있으며, 여기서 임계 치수(CD)는 기판 전반에 걸쳐서 균일하게 유지되거나 또는 감소된다. 예를 들어, 기판 전반에 걸쳐서(예를 들어, 센터에서 에지까지) CD 바이어스(즉, 리소그래픽층의 초기 CD와 하부의 제 2 또는 제 3 층의 최종 CD 사이의 차이)의 분포를 균일하게 하는 것이 바람직하다. 또한, 패턴 전사 동안에, 다층 마스크의 특징부들을 생성할 필요가 있으며, 여기서 내포(조밀하게 이격됨) 구조들에 대한 CD 바이어스와 고립(넓게 이격됨) 구조들에 대한 CD 바이어스 사이의 오프셋이 최소화된다.
따라서, CD 바이어스 및 CD 바이어스 오프셋(내포 구조들과 고립 구조들 사이에서의 오프셋)과 같이, 다층 마스크에서의 패턴 결함들을 수정할 필요성이 여전히 존재한다. 패턴 전사 동안에, 종래의 공정 화학작용은, CD 바이어스와 CD 바이어스 오프셋을 감소시키지 못한다.
따라서, 실시예에 따르면, 기판의 특징부의 에칭 방법이, 도 1a 내지 도 1d에 개략적으로 도시되며, 도 2의 흐름도(500)로도 도시된다. 이 방법은 단계 510에서 기판(100)위에, 패턴이 전사될 다층 마스크(120)를 박막(110) 위에 형성하는 단계로부터 시작한다. 다층 마스크(120)는 리소그래픽층(126), 제 2 마스크층(124) 및 옵션적인 제 3 마스크층(122)을 포함한다.
기판(100)은 반도체 기판, 웨이퍼, 평판 디스플레이 또는 액정 디스플레이를 구비할 수도 있다.
박막(110)은 도전층, 비도전층 또는 반도전층을 구비할 수도 있다. 예를 들어, 박막(110)은, 금속, 산화 금속, 질화 금속, 옥시니트라이드 금속, 금속 규산염(silicate), 금속 실리사이드, 실리콘, 다결정 실리콘(폴리 실리콘), 도핑된 실리콘, 이산화규소, 질화규소, 탄화규소 또는 옥시니트라이드 실리콘 등을 포함할 수도 있다. 또한, 예를 들어, 박막(110)은, 대략 4(예를 들어, 열적(thermal) 이산화규소의 유전상수는 3.8 내지 3.9의 범위를 가짐)인, SiO2의 유전 상수보다 작은 공칭 유전 상수값을 가지는 낮은 유전 상수(즉, 로우 k) 또는 매우 낮은 유전 상수(즉, 울트라 로우 k)를 포함할 수도 있다. 보다 상세하게는, 박막(110)은 3.7보다 작은 유전 상수 또는 1.6 내지 3.7의 범위의 유전 상수를 가질 수도 있다.
이러한 유전층들은, 유기 하이브리드 물질, 무기 하이브리드 물질 또는 유무기 하이브리드 물질 중 하나 이상을 포함할 수도 있다. 또한, 이러한 유전층들은 다공성 또는 비다공성일 수도 있다.
예를 들어, 이러한 유전층들은, CVD 기술들을 이용하여 증착된 탄소 도핑된 산화 규소(또는 유기 실록산)와 같은 무기 규산염계 재료를 포함할 수도 있다. 이러한 필름들의 일례는 Applied Materials, Inc.로부터 상업적으로 입수가능한 Black Diamond® CVD 유기규산염 유리(OSG) 필름 또는 Novellus System, Inc로부터 상업적으로 입수가능한 Coral® CVD 필름을 포함한다.
대안적으로, 이러한 유전층들은, 경화 또는 증착 공정 동안에 필름의 완전한 고밀도화(densification)를 방해하는 CH3 결합들을 가지는 산화 규소계 매트릭스와 같은, 단일 상(phase)의 다공성 유무기 하이브리드 필름을 포함할 수도 있다. 또한 대안적으로, 이러한 유전층들은, 경화 공정 동안에 분해 및 증발되는 유기 물질의 세공(예를 들어, 포로젠(porogen))을 가지는 탄소 도핑된 산화규소계 매트릭스와 같은, 적어도 2 개의 상(phase)의 다공성 유무기 하이브리드 필름들을 포함할 수도 있다.
또한 대안적으로, 이러한 유전층들은, SOD(스핀 온 유전체) 기술을 이용하여 증착된 HSQ(hydrogen silsesquioxane) 또는 MSQ(methyl silsesquioxane)과 같은 무기 규산염게 물질을 포함할 수도 있다. 이러한 필름들의 일례는, Dow Corning으로부터 상업적으로 입수가능한 FOx® HSQ, Dow Corning으로부터 상업적으로 입수가능한 XLK 다공성 HSQ 및 JSR 마이크로일렉트로닉스로부터 상업적으로 입수가능한 JSR LKD-5109를 포함한다.
또한 대안적으로, 이러한 유전층들은, SOD 기술들을 이용하여 증착된 유기 물질을 구비할 수 있다. 이러한 필름들의 일례는, Dow Chemical로부터 상업적으로 입수가능한 SiLK-I, SiLK-J, SiLK-H, SiLK-D 및 다공성 SiLK® 반도체 유전 수지 및 Honeywell로부터 상업적으로 입수가능한 GX-3™ 및 GX-3P™ 반도체 유전 수지를 포함한다.
박막(110)은, 화학적 기상 증착(CVD), 플라즈마 인핸스드 CVD(PECVD), 원자층 증착(ALD), 플라즈마 인핸스드 ALD(PEALD), 물리적 기상 증착(PVD) 또는 이온화 PVD(iPVD) 또는 클린 트랙(Clean Track) ACT 8 SOD(스핀 온 유전체) 및 ACT 12 SOD에서 제공되는 것과 같은 스핀 온 기술 및 Tokyo Electron Limited(TEL)로부터 상업적으로 입수가능한 Lithius 코팅 시스템을 이용하여 형성될 수 있다. 클린 트랙 ACT 8(200 mm), ACT 12(300 mm) 및 Lithius(300 mm) 코팅 시스템은, SOD 물질에 대한 코팅, 소성 및 경화 툴을 제공한다. 이 트랙 시스템은 100 mm, 200 mm, 300 mm 및 이보다 큰 기판 크기를 처리하도록 구성될 수 있다. 기판 상에 박막을 형성하는 다른 시스템들 및 방법들은, 스핀 온 기술 및 기상 증착 기술의 당업자에게 잘 알려져 있다.
리소그래픽층(126)은 포토레지스트층과 같은 방사선 감지 물질층을 구비할 수도 있다. 포토레지스트층은 248 nm(나노미터) 레지스트, 193 nm 레지스트, 157 nm 레지스트, EUV(극자외선) 레지스트 또는 전자빔 감지 레지스트를 구비할 수도 있다. 포토레지스트층은 트랙 시스템을 이용하여 형성될 수 있다. 예를 들어, 트랙 시스템은, Tokyo Electron Limited(TEL)로부터 상업적으로 입수가능한 클린 트랙 ACT 8, ACT 12 또는 Lithius 레지스트 코팅 및 현상 시스템을 구비할 수 있다. 기판 상에 포토레지스트층을 형성하는 다른 시스템들 및 방법들은, 스핀 온 레지스트 기술의 분야의 당업자에게 잘 알려져 있다.
제 2 마스크층(124)은 실리콘 함유 반사 방지 코팅(ARC)층과 같은 실리콘 함유층을 포함할 수 있다. 예를 들어, 제 2 마스크층(124)은, Shin Etsu Chemical Co., Ltd 로부터 Sepr-Shr Aseries SiARC으로서 상업적으로 입수가능한 실리콘 함유 ARC를 포함할 수도 있다. 제 2 마스크층(124)은 예를 들어 스핀 코팅 기술 또는 기상 증착 공정을 이용하여 적용될 수 있다.
옵션적인 제 3 마스크층(122)은 무기층 또는 유기층을 포함할 수도 있다. d를 들어, 옵션적인 제 3 마스크층(122)은 유기 유전층(ODL: organic dielectric layer)을 포함할 수도 있다. 이 유기 유전층(ODL)은 감광성 유기 폴리머 또는 에칭형 유기 화합물을 포함할 수 있다. 예를 들어, 감광성 유기 폴리머는, 폴리아크릴레이트 수지, 에폭시 수지, 페놀 수지, 폴리아미드 수지, 폴리이미드 수지, 불포화 폴리에스테르 수지, 폴리페닐렌에테르 수지, 폴리페닐렌술파이드 수지 또는 벤조시클로부탄(BCB)일 수도 있다. 이러한 물질들은 스핀 온 기술들을 이용하여 형성될 수도 있다.
단계 520 및 도 1a에 도시된 바와 같이, 리소그래픽층(126)은 이미지 패턴으로 이미지화된다. 레티클을 통한 EM 방사선으로의 노출은, 건식 또는 습식 포토리소그래픽 시스템에서 수행된다. 이미지 패턴은, 어떤 적합한 종래의 스텝핑 리소그래픽 시스템 또는 스캐닝 리소그래픽 시스템을 이용하여 형성될 수 있다. 예를 들어, 포토 리소그래픽 시스템은, ASML Netherlands B.V.(De Run 6501, 5504 DR Veldhoven, The Netherlands) 또는 Canon USA, Inc., Semiconductor Equipment Division(3300 North First Street, San Jose, CA 95134)로부터 상업적으로 입수될 수 있다. 그 후, 이미지 패턴은, 제 1 임계 치수(CD)(132)를 가지는 특징부 패턴(130)을 형성하도록 리소그래픽 시스템(126)에서 현상된다. 현상 공정은, 트랙 시스템과 같은 현상 시스템에서 기판을 현상액에 노출시키는 단계를 포함할 수 있다. 예를 들어, 트랙 시스템은, Tokyo Electron Limited(TEL)로부터 상업적으로 입수가능한 클린 트랙 ACT 8, ACT 12 또는 Lithius 레지스트 코팅 및 현상 시스템을 구비할 수 있다.
단계 530 및 도 1b에 도시된 바와 같이, 특징부 패턴(130)은, 건식 플라즈마 에칭 공정을 이용하여 리소그래픽층(126)으로부터 실리콘 함유 ARC층과 같은 제 2 마스크층(124)으로 전사된다. 건식 플라즈마 에칭 공정은, 공정 방법에 따라 공정 가스를 플라즈마 처리 시스템으로 도입하는 단계, 공정 방법에 따라 플라즈마 처리 시스템의 공정 가스로부터 플라즈마를 형성하는 단계 및 상기 기판을 플라즈마에 노출시키는 단계를 포함한다.
예를 들어, 건식 플라즈마 에칭 공정은, 공정 방법을 설정하는 단계, 상기 공정 방법에 따라서 SF6를 포함하는 공정 가스를 플라즈마 처리 시스템으로 도입하는 단계, 공정 방법에 따라서 플라즈마 처리 시스템의 공정 가스로부터 플라즈마를 형성하는 단계 및 제 2 마스크층(124)을 가지는 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다.
공정 가스는 불활성 가스를 더 포함할 수 있다. 대안적으로, 공정 가스는 희가스를 포함할 수 있다. 또한 대안적으로, 공정 가스는 아르곤(Ar)을 포함할 수 있다.
공정 가스는 적어도 하나의 CxFyHz 함유 가스를 더 포함하며, 여기서 x 및 y는 1(unity) 이상의 정수이며, z는 0 이상의 정수이다. CxFyHz 함유 가스는, 탄소(C) 및 불소(F)를 함유하는 어떤 가스(예를 들어, 탄화불소 가스) 또는 C, F 및 수소(H)를 함유하는 어떤 가스(예를 들어, 수소불화탄소 가스)를 포함할 수도 있다. 예를 들어, 탄화불소 가스는, CF4, C3F6, C4F6, C4F8 또는 C5F8, 또는 이들의 2 개 이상의 조합을 포함할 수도 있다. 또한, 예를 들어, 수소불화탄소 가스는 트리플루오르메탄(CHF3) 또는 디플루오르메탄(CH2F2) 또는 이들 양자를 포함할 수 있다.
단계 540 및 도 1b에 도시된 바와 같이, 패턴 전사 동안에, 리소그래픽층(126)의 특징부 패턴(130)에 대한 제 1 CD(132)는, 제 1 마스크층(124)의 제 2 CD(142)와 같이 유지되거나 또는 제 2 CD(142)로 감소된다. 타겟 CD 바이어스 즉, 제 1 CD(132)와 제 2 CD(142) 사이의 차이는, 실질적으로 0, 양 또는 음이 될 수 있다. 공정 가스로부터 플라즈마를 형성하는 경우에, 공정 방법은, 특징부 패턴(130)의 내포 구조들에 대한 제 1 임계 치수(CD) 바이어스와 특징부 패턴(130)의 고립 구조들에 대한 제 2 임계 치수(CD) 바이어스 사이의 오프셋을 감소시키도록 선택되며, 여기서 제 1 CD 바이어스는, 리소그래픽층(124)의 특징부 패턴(130)의 내포 구조들에 대한 제 1 CD(132)와 제 2 마스크층(122)의 특징부 패턴의 내포 구조들에 대한 제 2 CD(142) 사이의 제 1 차분으로서 측정되며, 제 2 CD 바이어스는, 리소그래픽층(124)의 특징부 패턴(130')의 고립 구조들에 대한 제 1 CD(132')와 제 2 마스크층(122)의 특징부 패턴(130')의 고립 구조들에 대한 제 2 CD(142') 사이의 제 2 차분으로서 측정된다. 예를 들어, 공정 조건은, (1) 공정 가스량의 비율을 선택하는 것; (2) 공정 압력 및 플라즈마를 형성하기 위한 하나 이상의 전력 레벨을 선택하는 것; (3) 에칭 시간을 선택하는 것을 포함할 수 있다.
이하에서는, CD 바이어스 및 CD 바이어스 오프셋의 감소에 대한 공정 방법의 영향에 대한 세부사항을 추가적으로 제공한다.
일단 특징부 패턴(130)이 제 2 마스크층(124)의 두께를 넘어서 연장되면, 에칭 시간은, 제 1 CD(132)와 제 2 CD(142) 사이의 차분량을 증가 또는 감소시키기 위하여 연장될 수도 있다. 에칭 시간을 연장함으로써, 발명자는, 플라즈마 화학작용 및 이온 충격이 제 1 CD(132)에 대응하여 제 2 CD(142)를 확대시킬 수 있음을 관찰하였다.
도 1c에 도시된 바와 같이, 제 2 마스크층(124)에 형성된 제 2 CD(142)를 가지는 특징부 패턴(130)을 하부의 옵션적인 제 3 마스크층(122)으로 전사하고 하나 이상의 에칭 공정들을 이용하여 제 3 마스크층(122)에 제 3 CD(152)를 형성할 수 있다. 제 3 CD(152)는 실질적으로 제 2 CD(142)와 동일할 수 있거나 또는 제 3 CD(152)는 도 1c에 도시된 바와 같이 제 2 CD(142)보다 작게 될 수도 있다. 하나 이상의 에칭 공정들은 습식 또는 건식 에칭 공정들의 어떤 조합을 포함할 수도 있다. 건식 에칭 공정들은 건식 플라즈마 에칭 공정들 또는 건식 비(non)플라즈마 에칭 공정들을 포함할 수도 있다. 예를 들어, 하나 이상의 에칭 공정들은, CO2 를 함유하는 공정 가스로부터 형성된 플라즈마를 이용하는 건식 플라즈마 에칭 공정을 포함할 수도 있다. 공정 가스는 O2, He 및 Hbr을 더 포함할 수 있다.
도 1d에 도시된 바와 같이, 옵션적인 제 3 마스크층(122)에 형성된 제 3 CD(152)를 가지는 특징부 패턴(130)은, 하나 이상의 에칭 공정들을 이용하여 하부 박막(110)으로 전사될 수 있다. 예를 들어, 하나 이상의 에칭 공정들은 습식 또는 건식 에칭 공정들의 어떤 조합을 포함할 수도 있다. 건식 에칭 공정들은 건식 플라즈마 에칭 공정들 또는 건식 비(non)플라즈마 에칭 공정들을 포함할 수도 있다.
일 실시예에 따르면, 상기 식별된 공정 조건들을 수행하도록 구성되는 처리 시스템(1a)이 도 3에 도시되며, 이 처리 시스템(1a)은 플라즈마 처리 챔버(10), 처리될 기판(25)이 부착되는 기판 홀더(20) 및 진공 배기 시스템(50)을 포함한다. 기판(25)은 반도체 기판, 웨이퍼, 평판 디스플레이 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는 기판(25)의 표면에 인접한 처리 영역(45) 내에서 플라즈마가 용이하게 발생하도록 구성될 수 있다. 이온화 가스 또는 공정 가스의 혼합물이 가스 분배 시스템(40)을 통해 도입된다. 공정 가스의 소정의 유동에 대해, 처리 압력은 진공 배기 시스템(50)을 사용하여 조정된다. 플라즈마는 소정 물질 처리에 특유한 물질을 생성하기 위해, 그리고/또는 기판(25)의 노출면으로부터의 물질의 제거를 보조하기 위해 사용될 수 있다. 플라즈마 처리 시스템(1a)은 200mm 기판, 300mm 기판 또는 이 보다 큰 기판과 같이, 어떤 원하는 크기의 기판을 처리하도록 구성될 수 있다.
기판(25)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예를 들어, 정전기적 클램핑 시스템)과 같은 클램핑 시스템(28)을 통해 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는 기판(25) 및 기판 홀더(20)의 온도를 조정 및/또는 제어하도록 구성되는 냉각 시스템(도시되지 않음) 또는 가열 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 기판 홀더(20)로부터 열을 수용하고, 냉각시 열을 열 교환기 시스템(도시되지 않음)으로 전달하거나, 가열시 열 교환기 시스템으로부터 기판 홀더(20)로 열을 전달하는 열전달 유체의 재순환 유동을 포함할 수 있다. 다른 실시예에서, 저항성 가열 소자와 같은 가열/냉각 소자 또는 열전기 가열기/냉각기는 플라즈마 처리 챔버(10)의 챔버 벽 및 플라즈마 처리 시스템(1a) 내의 어떤 다른 구성요소뿐 아니라, 기판 홀더(20) 내에 포함될 수 있다.
또한, 열전달 가스는 기판(25)과 기판 홀더(20) 사이의 가스-갭 열 전도율을 개선하기 위해, 배면측 가스 공급 시스템(26)을 통해 기판(25)의 배면측으로 전달될 수 있다. 이러한 시스템은 상승 또는 감소된 온도로 기판의 온도 제어가 요구될 때 사용될 수 있다. 예를 들어, 배면측 가스 공급 시스템은 2-구역 가스 분배 시스템을 포함할 수 있으며, 헬륨 가스-갭 압력은 기판(25)의 중심과 에지 사이에서 독립적으로 변경될 수 있다.
도 3에 도시된 실시예에서, 기판 홀더(20)는 RF 전력이 처리 영역(45)에서 처리 플라즈마에 결합되는 전극을 포함할 수 있다. 예를 들어, 기판 홀더(20)는 RF 발전기(30)로부터 광학 임피던스 정합 네트워크(32)를 통해 전극(22)으로의 RF 전력의 전달을 통해 RF 전압으로 전기적으로 편향될 수 있다. RF 바이어스는 플라즈마를 형성 및 유지하기 위해 전자를 가열하는 역할을 할 수 있다. 이러한 구성에 있어서, 상기 시스템은 반응성 이온 에칭(RIE) 반응기로서 작용할 수 있으며, 챔버 및 상부 가스 주입 전극은 지표면으로서 역할을 한다. RF 바이어스에 대한 통상적인 주파수는 약 0.1MHz 내지 약 100MHz의 범위를 가질 수 있다. 플라즈마 처리용 RF 시스템은 당업자에게 잘 알려져 있다.
대안적으로, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 또한, 임피던스 정합 네트워크(32)는 플라즈마 처리 챔버(10) 내에서 반사 전력(reflected power)을 감소시킴으로써 플라즈마로의 RF 전력의 전달을 개선할 수 있다. 정합 네트워크 토폴로지(예를 들어, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
가스 분배 시스템(40)은, 공정 가스들의 혼합물을 도입하기 위한 샤워헤드 설계를 포함할 수도 있다. 대안적으로, 가스 분배 시스템(40)은, 공정 가스들의 혼합물을 도입하고 기판(25) 위에 공정 가스들의 혼합물의 분포를 조정하기 위한 멀티존 샤워헤드 설계를 포함할 수도 있다. 예를 들어, 멀티존 샤워헤드 설계는, 실질적으로 기판(25) 위의 중심 영역으로의 공정 가스 유량 또는 공정 가스 조성에 대응하여 실질적으로 기판(25) 위의 주변 영역으로의 공정 가스 유량 또는 공정 가스 조성을 조정하도록 구성될 수 있다.
진공 펌프 시스템(50)은 초당 약 5000리터(및 이 보다 더 큰 리터)까지의 배기 속도가 가능한 터보-분자 진공 펌프(TMP) 및 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 종래의 플라즈마 처리 장치에 있어서, 초당 1000 내지 3000리터의 TMP가 사용될 수 있다. TMP들은 저압 처리, 통상적으로 약 50mTorr 미만의 처리용으로 유용하다. 고압 처리(즉, 약 100mTorr 초과)용으로는, 기계적 부스터 펌프 및 건식 러핑 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시되지 않음)가 플라즈마 처리 챔버(10)에 결합될 수 있다. 압력 측정 장치는 예를 들어, MKS Instruments, Inc. (매사추세츠주 앤도버 소재)로부터 상업적으로 입수가능한 타입 628B 바라트론 앱솔루트 캐패시턴스 마노미터(628B Baratron absolute capacitance manometer)일 수 있다.
제어기(55)는 마이크로프로세서, 메모리 및 플라즈마 처리 시스템(1a)으로의 입력을 전달 및 활성화할 뿐 아니라, 플라즈마 처리 시스템(1a)으로부터의 출력을 모니터링하기에 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(55)는 기판 가열/냉각 시스템(도시되지 않음), 배면측 가스 공급 시스템(26) 및/또는 클램핑 시스템(28)뿐 아니라, RF 발전기(30), 임피던스 정합 네트워크(32), 가스 분배 시스템(40), 진공 펌프 시스템(50)과 결합될 있으며, 또한 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 기판(25) 상에 플라즈마 보조 처리를 실행하기 위해, 공정 방법에 따라 플라즈마 처리 시스템(1a)의 전술된 구성요소들로의 입력을 활성화시키도록 사용될 수 있다.
제어기(55)는 플라즈마 처리 시스템(1a)에 대해 국부적으로 위치될 수 있으며, 또는 플라즈마 처리 시스템(1a)에 대해 원거리에 위치될 수도 있다. 예를 들 어, 제어기(55)는 직접 연결, 인트라넷 및/또는 인터넷을 사용하여 플라즈마 처리 시스템(1a)과 데이터를 교환할 수 있다. 제어기(55)는 예를 들어, 소비자 측(즉, 장치 제조자 등)에서 인트라넷에 연결될 수 있으며, 또는 예를 들어, 판매상 측(즉, 장비 제조업자)에서 인트라넷에 연결될 수 있다. 대안적으로 또는 추가적으로, 제어기(55)는 인터넷에 연결될 수 있다. 또한, 또 다른 컴퓨터(즉, 제어기, 서버 등)가 직접 연결, 인트라넷 및/또는 인터넷을 통해 데이터를 교환하도록 제어기(55)에 접속될 수 있다.
도 4에 도시된 실시예에서, 플라즈마 처리 시스템(1b)은 도 2의 실시예와 유사할 수 있으며, 도 3을 참조하여 설명된 구성요소에 더해, 플라즈마 밀도를 잠재적으로 증가시키거나 및/또는 플라즈마 처리 균일성을 개선하기 위해, 고정식 또는 기계적 혹은 전기적 회전식 자기장 시스템(60) 중 하나를 더 포함한다. 또한, 제어기(55)는 회전 속도 및 필드(field)의 세기를 조절하기 위해 자계 시스템(60)에 연결될 수 있다. 회전식 자계의 설계 및 실행은 당업자에게 잘 알려져 있다.
도 5에 도시된 실시예에서, 플라즈마 처리 시스템(1c)은 도 3 또는 도 4의 실시예와 유사할 수 있으며, RF 전력이 광학 임피던스 정합 네트워크(74)를 통해 RF 발전기(72)로부터 연결될 수 있는 상부 전극(70)을 더 포함할 수 있다. 상부 전극으로의 RF 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 200MHz의 범위를 가질 수 있다. 또한, 하부 전극으로의 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 100MHz의 범위를 가질 수 있다. 또한, 제어기(55)는 상부 전극(70)으로의 전력의 인가를 제어하기 위해, RF 발전기(72) 및 임피던스 정합 네트워크(74)에 연 결된다. 상부 전극의 설계 및 실행은 당업자에게 잘 알려져 있다. 상부 전극(70) 및 가스 분배 시스템(40)은 도시된 바와 같이, 동일한 챔버 조립체 내에 설계될 수 있다.
도 6에 도시된 실시예에서, 처리 시스템(1c')는 도 5 의 실시예와 유사할 수 있으며, 기판(25)에 대향하는 상부 전극(70)에 연결된 직류(DC) 전원(90)을 더 포함할 수 있다. 상부 전극(70)은 전극판을 포함할 수도 있다. 이 전극판은 실리콘 함유 전극판을 포함할 수도 있다. 또한, 전극판은 도핑된 실리콘 함유 전극판을 포함할 수도 있다. DC 전원(90)은 가변 DC 전원을 포함할 수 있다. 또한, DC 전원은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(90)은, 조정을 모니터링하는 동작 또는 DC 전원(90)의 극성, 전류, 전압 또는 온/오프 상태를 제어하는 동작 중 하나 이상을 수행하도록 구성되는 시스템을 더 포함할 수 있다. 일단 플라즈마가 형성되면, DC 전원(90)은 발라스틱 전자빔의 형성을 용이하게 한다. 전자 필터는 DC 전원(90)으로부터 RF 전력을 단절시키는데 이용될 수도 있다.
예를 들어, DC 전원(90)에 의해 상부 전극(70)에 인가되는 DC 전압은 대략 -2000볼트(V) 내지 대략 1000(V)의 범위를 가질 수 있다. 바람직하기로는, DC 전압의 절대값은 대략 100V 이상의 값을 가지며, 더욱 바람직하기로는 DC 전압의 절대값은 대략 500V 이상의 값을 가진다. 또한, DC 전압은 음 극성을 가지는 것이 바람직하다. 또한, DC 전압은 상부 전극(70)의 표면 상에 생성되는 자기 바이어스 전압보다 큰 절대값을 가지는 음 전압을 가지는 것이 바람직하다. 기판 홀더(20)와 마주보는 상부 전극(70)의 표면은 실리콘 함유 물질로 구성될 수도 있다.
도 7에 도시된 실시예에서, 플라즈마처리 시스템(1d)은 도 3 및 4의 실시예와 유사할 수 있으며, RF 전력이 광학 임피던스 정합 네트워크(84)를 통해 RF 발전기(82)를 거쳐 연결되는 유도 코일(80)을 더 포함할 수 있다. RF 전력은 유도 코일(80)로부터 유전창(도시되지 않음)을 통해 플라즈마 처리 영역(45)으로 유도 결합된다. 유도 코일(80)로의 RF 전력의 인가를 위한 주파수는 10MHz 내지 100MHz의 범위를 가질 수 있다. 유사하게, 척 전극으로의 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 100MHz의 범위일 수 있다. 추가로, 슬롯형 페러데이 실드(slotted Faraday shield)(도시되지 않음)가 상기 처리 영역(45)에서 유도 코일(80)과 플라즈마 사이의 유도성 결합을 감소시키기 위해 사용될 수 있다. 또한, 제어기(55)는 유도 코일(80)로의 전력의 인가를 제어하기 위해, 임피던스 정합 네트워크(84) 및 RF 발전기(82)에 연결될 수 있다.
대안적인 실시예에서, 도 8에 도시된 바와 같이, 플라즈마 처리 시스템(1e)은 도 7의 실시예와 유사할 수 있으며, 변압기 결합식 플라즈마(TCP) 반응기에서와 같이 위에서부터 플라즈마 처리 영역(45)과 연통하는 "나선형" 코일 또는 "팬케이크" 코일인 유도 코일(80')을 더 포함한다. 유도 결합 플라즈마(ICP) 소스 또는 변압기 결합형 플라즈마(TCP) 소스의 설계 및 실행은 당업자에게 잘 알려져 있다.
대안적으로, 플라즈마는 전자 사이클로트론 공진(ECR)을 사용하여 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 헬리콘 파동의 개시로부터 형성된다. 또 다른 실시예에서, 플라즈마는 전파하는 표면파로부터 형성된다. 전술된 각각의 플라즈마 소스는 당업자에게 잘 알려져 있다.
도 9에 도시된 실시예에서, 플라즈마 처리 시스템(1f)은 도 3 및 도 4의 실시들과 유사할 수 있으며, 표면파 플라즈마(SWP) 소스(80”)를 더 포함할 수 있다. SWP 소스(80”)는 방사형 라인 슬롯 안테나(RLSA)와 같은 슬롯 안테나를 포함할 수 있으며, 마이크로파 전력은 광학 임피던스 정합 네트워크(84')를 통해 마이크로파 발전기(82')를 거쳐 상기 슬롯 안테나에 연결된다.
다음의 설명에서, 건식 플라즈마 에칭 시스템을 이용하여 기판 상에 다층 마스크의 특징부를 에칭하는 방법을 설명한다. 예를 들어, 건식 플라즈마 에칭 시스템은 도 3 내지 도 9에 기술된 바와 같은, 여러가지 엘리먼트들 및 이들의 조합을 포함할 수 있다. 또한, 예를 들어, 다층 마스크는 실리콘 함유 ARC층과 같은 제 2 마스크층 위에 놓이는 패턴화된 다층 마스크를 포함할 수 있다.
일 실시예에서, 제 2 마스크층의 특징부 패턴을 에칭하는 방법을 기술하며, 여기서 상기 방법은 특징부 패턴의 내포 구조들에 대한 제 1 임계 치수(CD)와 특징부 패턴의 격리 구조들에 대한 제 2 임계 치수(CD) 사이의 오프셋을 감소시키는 공정 방법을 이용한다. 제 2 마스크는 실리콘 함유 ARC층을 포함할 수도 있다.
공정 방법은, SF6, 옵션적인 불활성 가스 및 옵션적인 CxFyHz 함유 가스(예를 들어, CH2F2, CHF3, CF4)를 가지는 공정 화학(chemistry)을 포함한다. 예를 들어, 공정 파라미터 공간은, 약 5 mtorr 내지 약 100 mtorr의 챔버 압력, 약 1 내지 약 1000 sccm의 범위의 SF6 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 불활성 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 CxFyHz 함유 공정 가스 유량, 약 0 W 내지 약 1000 W의 범위의 하부 전극(예를 들어, 도 6에서의 전극(22))에 대한 제 1 전력 레벨, 약 0 V 내지 약 2500 V의 범위의 상부 전극 DC 전압, 및 약 0 W 내지 2000 W의 범위의 하부 전극(예를 들어, 도 6의 엘리먼트(70))에 대한 제 2 전력 레벨을 포함할 수 있다. 또한, 상부 전극 전력의 주파수는, 예를 들어 60 ㎒와 같이 약 0.1 ㎒ 내지 약 200 ㎒의 범위를 가질 수 있다. 또한, 하부 전극 전력의 주파수는, 예를 들어 2 ㎒와 같이 약 0.1 ㎒ 내지 약 100 ㎒의 범위를 가질 수 있다.
대안적으로, 챔버 압력은 약 100 mtorr 이하일 수도 있다. 대안적으로, 챔버 압력은 약 50 mtorr 이하일 수도 있다. 대안적으로 또한, 챔버 압력은 약 30 mtorr 이하일 수도 있다.
대안적으로, 제 1 전력 레벨은 약 200 W 이하일 수도 있다. 대안적으로 또한, 제 1 전력 레벨은 약 100 W 이하일 수도 있다.
대안적으로, 제 2 전력 레벨은 약 100 W 내지 약 500 W 이하일 수도 있다. 대안적으로 또한, 제 2 전력 레벨은 약 100 W 내지 약 300 W의 범위를 가질 수 있다.
대안적으로, SF6의 공정 가스 유량은 약 100 sccm 내지 약 300 sccm의 범위를 가질 수도 있다.
또 다른 실시예에서, 제 2 마스크층과 제 3 마스크층의 특징부 패턴의 에칭 방법을 설명하며, 여기서 상기 방법은 제 2 마스크층에 특징부 패턴을 전사하는 제 1 공정 방법 및 제 3 마스크층에 특징부 패턴을 전사하는 제 2 공정 방법을 이용한다. 제 2 마스크층은 실리콘 함유 ARC층을 포함할 수도 있으며, 제 3 마스크층은 ODL을 포함할 수도 있다. 제 1 공정 방법 및 제 2 공정 방법은, 특징부 패턴의 내포 구조들에 대한 제 1 임계 치수(CD) 바이어스와 특징부 패턴의 격리 구조들에 대한 제 2 임계 치수(CD) 바이어스 사이의 오프셋을 감소시키기 위하여 선택된다.
제 1 공정 방법은, SF6, 옵션적인 불활성 가스 및 옵션적인 CxFyHz 함유 가스(예를 들어, CH2F2, CHF3, CF4)를 가지는 공정 화학(chemistry)을 포함한다. 예를 들어, 공정 파라미터 공간은, 약 5 mtorr 내지 약 100 mtorr의 챔버 압력, 약 1 sccm 내지 약 1000 sccm의 범위의 SF6 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 불활성 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 CxFyHz 함유 공정 가스 유량, 약 0 W 내지 약 1000 W의 범위의 하부 전극(예를 들어, 도 6에서의 전극(22))에 대한 제 1 전력 레벨, 약 0 V 내지 약 2500 V의 범위의 상부 전극 DC 전압, 및 약 0 W 내지 2000 W의 범위의 하부 전극(예를 들어, 도 6의 엘리먼트(70))에 대한 제 2 전력 레벨을 포함할 수 있다. 또한, 상부 전극 전력의 주파수는, 예를 들어 60 ㎒와 같이 약 0.1 ㎒ 내지 약 200 ㎒의 범위를 가질 수 있다. 또한, 하부 전극 전력의 주파수는, 예를 들어 2 ㎒와 같이 약 0.1 ㎒ 내지 약 100 ㎒의 범위를 가질 수 있다.
대안적으로, 제 1 공정 방법에 대하여, 챔버 압력은 약 100 mtorr 이하일 수도 있다. 대안적으로, 챔버 압력은 약 50 mtorr 이하일 수도 있다. 대안적으로 또한, 챔버 압력은 약 30 mtorr 이하일 수도 있다.
대안적으로, 제 1 공정 방법에 대하여, 제 1 전력 레벨은 약 200 W 이하일 수도 있다. 대안적으로 또한, 제 1 전력 레벨은 약 100 W 이하일 수도 있다.
대안적으로, 제 1 공정 방법에 대하여, 제 2 전력 레벨은 약 100 W 내지 약 500 W의 범위를 가질 수도 있다. 대안적으로 또한, 제 2 전력 레벨은 약 100 W 내지 약 300 W의 범위를 가질 수도 있다.
대안적으로, 제 1 공정 방법에 대하여, SF6의 공정 가스 유량은 약 100 sccm 내지 약 300 sccm의 범위를 가질 수도 있다.
제 2 공정 방법은, CO2, 옵션적인 불활성 가스(예를 들어, He) 및 옵션적인 산소 함유 가스(예를 들어, O2), 및 옵션적인 할로겐 함유 가스(예를 들어, HBr)를 가지는 공정 화학(chemistry)을 포함한다. 예를 들어, 공정 파라미터 공간은, 약 5 mtorr 내지 약 100 mtorr의 챔버 압력, 약 1 sccm 내지 약 1000 sccm의 범위의 CO2 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 불활성 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 산소 함유 공정 가스 유량, 약 1 sccm 내지 약 1000 sccm의 범위의 옵션적인 할로겐 함유 공정 가스 유량, 약 0 내지 약 1000 W의 범위의 하부 전극(예를 들어, 도 6의 전극(22))에 대한 제 1 전력 레벨, 약 0 V 내지 약 2500V의 범위의 상부 전극 DC 전압, 및 약 0 W 내지 2000 W의 범위의 하부 전극(예를 들어, 도 6의 엘리먼트(70))에 대한 제 2 전력 레벨을 포함할 수 있다. 또한, 상부 전극 전력의 주파수는, 예를 들어 60 ㎒와 같 이 약 0.1 ㎒ 내지 약 200 ㎒의 범위를 가질 수 있다. 또한, 하부 전극 전력의 주파수는, 예를 들어 2 ㎒와 같이 약 0.1 ㎒ 내지 약 100 ㎒의 범위를 가질 수 있다.
대안적으로, 제 2 공정 방법에 대하여, 챔버 압력은 약 100 mtorr 이하일 수도 있다. 대안적으로, 챔버 압력은 약 50 mtorr 이하일 수도 있다. 대안적으로 또한, 챔버 압력은 약 30 mtorr 이하일 수도 있다.
대안적으로, 제 2 공정 방법에 대하여, 제 1 전력 레벨은 약 200 W 이하일 수도 있다. 대안적으로 또한, 제 1 전력 레벨은 약 100 W 이하일 수도 있다.
대안적으로, 제 2 공정 방법에 대하여, 제 2 전력 레벨은 약 100 W 내지 약 1000 W의 범위를 가질 수도 있다. 대안적으로 또한, 제 2 전력 레벨은 약 400 W 내지 약 600 W의 범위를 가질 수도 있다.
대안적으로, 제 2 공정 방법에 대하여, CO2의 공정 가스 유량은 약 50 sccm 내지 약 150 sccm의 범위를 가질 수도 있다. 대안적으로, 제 2 공정 방법에 대하여, O2의 공정 가스 유량은, 약 20 sccm 내지 약 100 sccm의 범위를 가질 수도 있다. 대안적으로, 제 2 공정 방법에 대하여, He의 공정 가스 유량은, 약 100 sccm 내지 약 300 sccm의 범위를 가질 수도 있다. 대안적으로, 제 2 공정 방법에 대하여, HBr의 공정 가스 유량은 약 10 sccm 내지 약 1000 sccm의 범위를 가질 수도 있다.
일 실시예에서는, 내포 구조들에 대한 제 1 CD 바이어스와 격리 구조들에 대한 제 2 CD 바이어스 사이의 오프셋을 감소시키면서 실리콘 함유 ARC 층과 하부 ODL에 특징부 패턴을 전사하는 방법을 제공한다. 표 1 은 특징부 패턴 전사 공정을 수행하는 3 개의 공정 방법을 제공한다.
Figure 112009006165371-pat00001
표 1은 2 단계의 실리콘 함유 ARC층 에칭 공정("Si-ARC 1" 및 "Si-ARC 2")을 포함하며, 여기서 제 1 단계("SiARC 1")는 CF4, O2 및 CH2F2를 가지는 공정 가스를 이용하며, 제 2 단계("SiARC 2")는 CF4 및 CHF3를 가지는 공정 가스를 이용한다. 또한, 방법 1은 2 단계의 ODL 에칭 공정("ODL-1" 및 "ODL-2")을 포함하며, 여기서 제 1 단계("ODL-1")는 CO2를 가지는 공정 가스를 이용하며, 제 2 단계("ODL-2")는 CO2, O2, He 및 HBr을 가지는 공정 가스를 이용한다.
방법 2 및 3은 실리콘 함유 ARC층 에칭 공정("Si-ARC")을 구비하며, 여기서, 공정은 SF6를 포함하는 공정 가스를 이용한다. 또한, 방법 2 및 3은 ODL 에칭 공정("ODL")을 포함하며, 여기서 공정은 CO2, O2, He 및 HBr을 포함하는 공정 가스를 이용한다.
각 공정 단계에 대하여, 에칭 공정은 도 5에 도시된 플라즈마 처리 시스템과 같은 플라즈마 처리 시스템에서 수행된다. 또한, 상부 전극의 RF 전력에 대한 주파수는 약 60㎒이고, 하부 전극의 RF 전력에 대한 주파수는 약 2㎒이다.
또한, 각 공정 방법에 대하여, 표 1 은 각 공정 단계에 대한 공정 조건을 제공하며, 이 공정 조건은, 압력(mtorr), 상부 전극의 RF 전력(W), 하부 전극의 RF 전력(W), 공정 가스 유량(sccm, standard cubic centimeter per minute), 기판의 이면으로 전달되는 센터/에지(C/E)(헬륨) 압력, 상부 전극(UEL)(예를 들어, 도 5의 전극(70)) 및 하부 전극 센터/에지(LEL)(예를 들어, 도 5의 전극(22)에 대한 온도 설정(섭씨 온도, C) 및 에칭 시간(초, sec)을 포함한다.
Figure 112009006165371-pat00002
표 2에 도시된 바와 같이, 내포 구조들에 대한 CD 바이어스와 고립 구조들에 대한 CD 바이어스는 각 공정 방법의 이용 결과로서 제공된다. 표 2에 제공된 각 CD 바이어스는, 리소그래픽층의 초기 CD와 ODL의 최종 CD 사이의 차분의 측정 크기이다. 또한, 내포 구조들과 고립 구조들 사이의 CD 바이어스의 오프셋은 각 공정 방법에 대하여 제공된다. 발명자들에 의해 관찰된 바와 같이, CD 바이어스의 오프셋은, 방법 2 또는 방법 3을 이용하는 경우에 실질적으로 감소된다. 방법 2 및 방법 3 사이의 주요한 차이는 하부 전극의 센터 에지 온도 설정이다.
비록, 본 발명의 소정의 실시예만이 전술된 상세한 설명에서 설명되었지만, 당업자는 많은 변형예가 본 발명의 신규한 개시 내용 및 장점으로부터 크게 벗어나지 않고 실시예들로 실현될 수 있음을 쉽게 인식할 것이다. 따라서, 이러한 모든 변형예는 본 발명의 범위 내에 포함될 것이다.
첨부된 도면에서,
도 1a 내지 도 1e는 실시예에 따라 기판의 특징부를 에칭하는 절차의 개략도.
도 2는 실시예에 따라 기판의 특징부를 에칭하는 방법을 나타내는 흐름도.
도 3은 실시예에 따른 처리 시스템의 개략도.
도 4는 또 다른 실시예에 따른 처리 시스템의 개략도.
도 5는 또 다른 실시예에 따른 처리 시스템의 개략도.
도 6은 또 다른 실시예에 따른 처리 시스템의 개략도.
도 7은 또 다른 실시예에 따른 처리 시스템의 개략도.
도 8은 또 다른 실시예에 따른 처리 시스템의 개략도.
도 9는 또 다른 실시예에 따른 처리 시스템의 개략도.
<도면의 주요 부분에 대한 부호의 설명>
100 : 기판 110 : 박막
122 : 옵션적인 제 3 마스크층 124 : 제 2 마스크층
126 : 리소그래픽층 130 : 특징부 패턴

Claims (20)

  1. 기판 상의 반사 방지 코팅(ARC: anti-reflective coating)층을 건식 현상하는 방법으로서,
    플라즈마 처리 시스템에 다층 마스크를 구비하는 기판을 배치하는 단계로서, 상기 다층 마스크는 실리콘 함유 ARC 층 위에 놓이는 리소그래픽층을 구비하며, 상기 리소그래픽층은 리소그래픽 공정을 이용하여 형성되는 특징부(feature) 패턴을 구비하는, 기판 배치 단계;
    상기 특징부 패턴의 조밀한(nested) 구조들에 대한 제 1 임계 치수(CD) 바이어스와 상기 특징부 패턴의 성긴(isolated) 구조들에 대한 제 2 임계 치수(CD) 바이어스 사이의 오프셋을 감소시키도록 구성되는 공정 방법(process recipe)을 선택하는 단계로서, 상기 제 1 CD 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 조밀한 구조들에 대한 제 1 임계 치수(CD)와 상기 실리콘 함유 ARC층의 상기 특징부 패턴의 조밀한 구조들에 대한 제 2 임계 치수(CD) 사이의 차이로서 측정되는 제 1 차분의 크기이며, 상기 제 2 CD 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 성긴 구조들에 대한 제 1 임계 치수(CD)와 상기 실리콘 함유 ARC층의 상기 특징부 패턴의 성긴 구조들에 대한 제 2 임계 치수(CD) 사이의 차이로서 측정되는 제 2 차분의 크기인 것인, 공정 방법 선택 단계;
    상기 공정 방법에 따라 상기 플라즈마 처리 시스템에, SF6를 포함하는 공정 가스를 도입하는 단계;
    상기 공정 방법에 따라 상기 플라즈마 처리 시스템에서 상기 공정 가스로부터 플라즈마를 형성하는 단계; 및
    상기 리소그래픽층의 상기 특징부 패턴을 하부의 상기 실리콘 함유 ARC층에 전사하기 위하여, 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함하는 반사 방지 코팅층의 건식 현상 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 공정 가스는 SF6로 이루어지는 것인 반사 방지 코팅층의 건식 현상 방법.
  4. 제 1 항에 있어서,
    상기 공정 가스는 희가스를 더 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  5. 제 1 항에 있어서,
    상기 공정 가스는 적어도 하나의 CxFyHz 함유 가스를 더 포함하며, 여기서 x 및 y는 1(unity) 이상의 정수이며, z는 0 이상의 정수인 것인 반사 방지 코팅층의 건식 현상 방법.
  6. 제 5 항에 있어서,
    상기 공정 가스를 도입하는 단계는, CF4, C3F6, C4F6, C4F8, C5F8, CHF3 또는 CH2F2, 또는 이들의 2 개 이상의 조합을 도입하는 단계를 더 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  7. 제 1 항에 있어서,
    상기 공정 방법은 상기 제 1 CD 바이어스와 상기 제 2 CD 바이어스 사이의 상기 오프셋을 10㎚ 값 아래로 감소시키도록 선택되는 것인 반사 방지 코팅층의 건식 현상 방법.
  8. 제 1 항에 있어서,
    상기 공정 방법을 선택하는 단계는,
    상기 플라즈마 처리 시스템의 챔버 압력을 설정하는 단계;
    상기 기판을 지지하는 기판 홀더 내의 하부 전극에 인가된 제 1 무선 주파수(RF) 신호에 대한 제 1 전력 레벨을 설정하는 단계; 및
    상기 기판 위의, 상기 하부 전극에 대향하는 상부 전극에 인가되는 제 2 RF 신호에 대한 제 2 전력 레벨을 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  9. 제 8 항에 있어서,
    상기 챔버 압력을 설정하는 단계는, 압력을 100 mtorr 이하로 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  10. 제 8 항에 있어서, 상기 챔버 압력을 설정하는 단계는, 압력을 50 mtorr 이하로 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  11. 제 8 항에 있어서,
    상기 챔버 압력을 설정하는 단계는, 압력을 30 mtorr 이하로 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  12. 제 8 항에 있어서, 상기 제 1 전력 레벨을 설정하는 단계는, 제 1 전력 레벨을 200W 보다 작게 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  13. 제 8 항에 있어서,
    상기 제 1 전력 레벨을 설정하는 단계는, 제 1 전력 레벨을 100W 보다 작게 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  14. 제 8 항에 있어서,
    상기 제 2 전력 레벨을 설정하는 단계는, 제 2 전력 레벨을 100 W 내지 500 W로 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  15. 제 8 항에 있어서,
    상기 제 2 전력 레벨을 설정하는 단계는, 제 2 전력 레벨을 100 W 내지 300 W로 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  16. 제 1 항에 있어서,
    상기 공정 방법을 선택하는 단계는, 상기 공정 가스의 유량을 100 sccm 내지 300 sccm 범위의 값으로 설정하는 단계를 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  17. 제 1 항에 있어서,
    상기 다층 마스크와 상기 기판 사이에 유기 유전층(ODL: organic dielectric layer)을 형성하는 단계;
    상기 실리콘 함유 ARC층의 상기 특징부 패턴을 건식 에칭 공정을 이용하여 상기 유기 유전층(ODL)에 전사하는 단계를 더 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  18. 제 17 항에 있어서,
    상기 유기 유전층(ODL)과 상기 기판 사이에 유전층을 형성하는 단계; 및
    상기 유기 유전층(ODL)의 상기 특징부 패턴을 또 다른 건식 에칭 공정을 이용하여 상기 유전층에 전사하는 단계를 더 포함하는 것인 반사 방지 코팅층의 건식 현상 방법.
  19. 기판 상의 다층 마스크를 건식 현상하는 방법으로서,
    상기 기판 상에 상기 다층 마스크를 형성하는 단계로서, 상기 다층 마스크는 유기 유전층(ODL) 위에 놓인 실리콘 함유 ARC층 위에 놓여 있는 리소그래픽층을 구비하는, 다층 마스크 형성 단계;
    상기 리소그래픽층에 리소그래픽 공정을 이용하여 특징부 패턴을 형성하는 단계;
    제 1 건식 플라즈마 에칭 공정을 이용하여 상기 리소그래픽층으로부터 상기 실리콘 함유 ARC 층으로 상기 특징부 패턴을 전사하는 단계로서, 상기 제 1 건식 플라즈마 에칭 공정은, SF6를 포함하는 공정 가스를 도입하는 단계, 상기 공정 가스로부터 플라즈마를 형성하는 단계 및 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함하는, 특징부 패턴의 전사 단계; 및
    제 2 건식 플라즈마 에칭 공정을 이용하여 상기 실리콘 함유 ARC 층으로부터 상기 유기 유전층(ODL)으로 상기 특징부 패턴을 전사하는 단계로서, 상기 제 2 건식 플라즈마 에칭 공정은 CO2를 포함하는 제 2 공정 가스를 도입하는 단계, 상기 제 2 공정 가스로부터 제 2 플라즈마를 형성하는 단계 및 상기 기판을 상기 제2 플라즈마에 노출시키는 단계를 포함하는, 특징부 패턴의 전사 단계
    를 포함하고,
    상기 특징부 패턴의 조밀한 구조들에 대한 제 1 임계 치수(CD) 바이어스와 상기 특징부 패턴의 성긴 구조들에 대한 제 2 임계 치수(CD) 바이어스 사이의 오프셋을 감소시키기 위해, 상기 제1 및 제2 건식 플라즈마 에칭 공정에 대한 공정 조건을 선택하며, 상기 제 1 임계 치수(CD) 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 조밀한 구조들에 대한 제 1 CD와 상기 유기 유전층(ODL)의 상기 특징부 패턴의 조밀한 구조들에 대한 제 2 CD 사이의 차이로서 측정되는 제 1 차분의 크기이고, 상기 제 2 임계 치수(CD) 바이어스는, 상기 리소그래픽층의 상기 특징부 패턴의 성긴 구조들에 대한 제 1 CD와 상기 유기 유전층(ODL)의 상기 특징부 패턴의 성긴 구조들에 대한 제 2 CD 사이의 차이로서 측정되는 제 2 차분의 크기인 것인 다층 마스크의 건식 현상 방법.
  20. 제 19 항에 있어서,
    상기 제 2 공정 가스는 O2, He 및 HBr를 더 포함하는 것인 다층 마스크의 건 식 현상 방법.
KR1020090007729A 2008-02-01 2009-01-30 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법 KR101569938B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/024,258 2008-02-01
US12/024,258 US7888267B2 (en) 2008-02-01 2008-02-01 Method for etching silicon-containing ARC layer with reduced CD bias

Publications (2)

Publication Number Publication Date
KR20090084766A KR20090084766A (ko) 2009-08-05
KR101569938B1 true KR101569938B1 (ko) 2015-11-18

Family

ID=40930645

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090007729A KR101569938B1 (ko) 2008-02-01 2009-01-30 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법

Country Status (4)

Country Link
US (1) US7888267B2 (ko)
JP (1) JP5349066B2 (ko)
KR (1) KR101569938B1 (ko)
TW (1) TWI545646B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020176502A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8748323B2 (en) * 2008-07-07 2014-06-10 Macronix International Co., Ltd. Patterning method
JP5180121B2 (ja) * 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
US8236700B2 (en) * 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US9117764B2 (en) 2010-08-27 2015-08-25 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
US9153457B2 (en) * 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning
US8945408B2 (en) 2013-06-14 2015-02-03 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity
US9576773B2 (en) * 2013-07-30 2017-02-21 Corporation For National Research Initiatives Method for etching deep, high-aspect ratio features into glass, fused silica, and quartz materials
US9171758B2 (en) 2014-03-31 2015-10-27 International Business Machines Corporation Method of forming transistor contacts
JP6501519B2 (ja) * 2014-12-26 2019-04-17 芝浦メカトロニクス株式会社 多層レジストの除去方法、およびプラズマ処理装置
KR102594444B1 (ko) * 2017-06-08 2023-10-25 도쿄엘렉트론가부시키가이샤 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
CN109494149B (zh) 2017-09-13 2020-10-23 联华电子股份有限公司 半导体结构的制作方法
US20220100088A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. In-Situ Deposition and Densification Treatment for Metal-Comprising Resist Layer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007505492A (ja) 2003-09-12 2007-03-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイスにおける造形部分のパターン形成技術

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03125427A (ja) * 1989-10-09 1991-05-28 Matsushita Electron Corp 半導体装置の製造方法
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US20020142252A1 (en) * 2001-03-29 2002-10-03 International Business Machines Corporation Method for polysilicon conductor (PC) Trimming for shrinking critical dimension and isolated-nested offset correction
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7209798B2 (en) * 2004-09-20 2007-04-24 Tokyo Electron Limited Iso/nested cascading trim control with model feedback updates
US7531461B2 (en) * 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007505492A (ja) 2003-09-12 2007-03-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体デバイスにおける造形部分のパターン形成技術

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020176502A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
US11495461B2 (en) 2019-02-25 2022-11-08 Applied Materials, Inc. Film stack for lithography applications

Also Published As

Publication number Publication date
TW200941575A (en) 2009-10-01
KR20090084766A (ko) 2009-08-05
JP5349066B2 (ja) 2013-11-20
JP2009188403A (ja) 2009-08-20
US20090194503A1 (en) 2009-08-06
US7888267B2 (en) 2011-02-15
TWI545646B (zh) 2016-08-11

Similar Documents

Publication Publication Date Title
KR101569938B1 (ko) 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법
US8809196B2 (en) Method of etching a thin film using pressure modulation
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
KR101713330B1 (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
US7858270B2 (en) Method for etching using a multi-layer mask
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
US7183201B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
KR101889107B1 (ko) 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법
KR20130102505A (ko) 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US7935640B2 (en) Method for forming a damascene structure
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181030

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 5