KR101485754B1 - Blank mask for euv and photomask manufactured thereof - Google Patents

Blank mask for euv and photomask manufactured thereof Download PDF

Info

Publication number
KR101485754B1
KR101485754B1 KR20080094994A KR20080094994A KR101485754B1 KR 101485754 B1 KR101485754 B1 KR 101485754B1 KR 20080094994 A KR20080094994 A KR 20080094994A KR 20080094994 A KR20080094994 A KR 20080094994A KR 101485754 B1 KR101485754 B1 KR 101485754B1
Authority
KR
South Korea
Prior art keywords
film
hard mask
layer
absorbing
photomask
Prior art date
Application number
KR20080094994A
Other languages
Korean (ko)
Other versions
KR20100035559A (en
Inventor
남기수
차한선
양신주
양철규
Original Assignee
주식회사 에스앤에스텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에스앤에스텍 filed Critical 주식회사 에스앤에스텍
Priority to KR20080094994A priority Critical patent/KR101485754B1/en
Publication of KR20100035559A publication Critical patent/KR20100035559A/en
Application granted granted Critical
Publication of KR101485754B1 publication Critical patent/KR101485754B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Abstract

본 발명은 극자외선용 블랭크 마스크 및 포토마스크를 제조 방법에 관한 것으로서 특히, 로딩 효과를 저감하기 위해 투명기판 위에 반사막, 캡핑막, 버퍼막, 흡수막, 하드마스크막 및 레지스트막을 포함하는 구조를 가지며, 이에 따른 Fidelity, 라인 에지 거칠기(LER), 선형성(Linearity)이 향상되어 우수한 품질의 극자외선용 포토마스크를 제조할 수 있는 극자외선용 블랭크 마스크를 제공하는 것이다. The present invention relates to a method of manufacturing a blank mask and a photomask for extreme ultraviolet rays, and more particularly, to a method of manufacturing a blank mask and a photomask for a EUV light source having a structure including a reflective film, a capping film, a buffer film, an absorbing film, (LER), and linearity of the bare mask, thereby improving the quality of the photomask for extreme ultraviolet rays.

또한, 본 발명은 극자외선용 포토마스크 제조 시 노광광의 입사각에 대한 그림자 효과 (Shadowing Effect)를 저감하기 위해 흡수막의 패턴 형성을 사다리꼴로 형성할 수 있도록 흡수막의 건식 식각 특성이 깊이 방향으로 느린 것을 특징으로 한다.In addition, the present invention is characterized in that the dry etching property of the absorbing film is slow in the depth direction so that the pattern formation of the absorbing film can be formed in a trapezoidal shape in order to reduce the shadowing effect on the incident angle of the exposure light during manufacturing of the extreme ultraviolet ray photomask .

이를 통해 우수한 품질의 극자외선용 포토마스크 제작이 가능한 우수한 품질의 극자외선용 블랭크 마스크를 제조하는 방법에 관한 것이다. The present invention relates to a method for manufacturing a high-quality extreme ultraviolet ray blank mask capable of producing a high quality ultraviolet ray photomask.

극자외선용 블랭크 마스크, 로딩 효과, 그림자 효과, 하드마스크, 표면 처리 Blank mask for extreme ultraviolet rays, loading effect, shadow effect, hard mask, surface treatment

Description

극자외선용 블랭크 마스크 및 이를 이용하여 제조되는 포토마스크{BLANK MASK FOR EUV AND PHOTOMASK MANUFACTURED THEREOF}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a blank mask for ultraviolet rays and a photomask manufactured using the same.

본 발명은 13.5nm의 극자외선 (EUV; Extreme Ultra Violet)광을 노광광으로 사용하는 EUV 블랭크 마스크와 포토마스크 및 그 제조 방법에 관한 것으로서, 32nm 이하 특히 22nm에서 적용이 가능한 블랭크 마스크 및 그를 이용한 포토마스크 제조방법에 관한 것이다. 상세하게는 하드마스크막을 적어도 함유하는 구조의 블랭크 마스크를 통해 로딩 효과 (Loading Effect)를 저감하고, 우수한 성능의 블랭크 마스크를 제조하는 방법에 관한 것이다.The present invention relates to an EUV blank mask and a photomask using 13.5 nm extreme ultra violet (EUV) light as exposure light, and a method of manufacturing the same. More particularly, the present invention relates to a blank mask applicable to 32 nm or less, particularly 22 nm, To a mask manufacturing method. More particularly, the present invention relates to a method for manufacturing a blank mask having excellent performance by reducing a loading effect through a blank mask having a structure containing at least a hard mask film.

제품의 고집직화에 따른 광 리소그래피 (Photo-Lithography) 기술발전은 해상도 (Resolution)을 향상시키기 위해 436nm의 g-line, 365nm의 i-line, 248nm의 KrF, 193nm의 ArF로 발전해 왔으며, 포토마스크 기술 또한 바이너리 인텐서티 (Binary Intensity) 블랭크 마스크에서 위상반전 (Phase Shift) 블랭크 마스크와 같은 구조 개발이 이루어져 왔다. 먼저 바이너리 인텐서티 블랭크 마스크의 경우 투명기판 위에 차광막, 반사방지막, 레지스트막이 순차적으로 형성된 구조를 가지며 위상반전 블랭크 마스크의 경우 투명기판 위에 위상반전막, 차광막, 반사방지 막, 레지스트막이 형성된 구조로 이루어진다. In order to improve the resolution, we have developed the g-line of 436nm, the i-line of 365nm, the KrF of 248nm, and the ArF of 193nm in order to improve the photolithography technology in accordance with the high- In addition, a structure such as a phase shift blank mask has been developed in a binary intensity blank mask. In the case of a binary intensifying blank mask, a light shielding film, an antireflection film, and a resist film are sequentially formed on a transparent substrate. In the case of a phase inversion blank mask, a phase reversal film, a light shielding film, an antireflection film, and a resist film are formed on a transparent substrate.

이러한 종래의 바이너리와 위상반전 블랭크 마스크는 빛의 투과 및 차단을 통해 웨이퍼 (Wafer)상에 패턴을 전사하는 기능을 가지게 되며, 이러한 블랭크 마스크를 통해 45nm, 32nm의 해상도를 가지는 패턴 (Pattern)을 ArF나 ArF 액침노광 (Immersion) Lithography 및 Double Patterning Lithography (DPL)등과 같은 투과형 리소그래피 기술을 통해 구현하였다. Such a conventional binary and phase inversion blank mask has a function of transferring a pattern onto a wafer through transmission and interruption of light. Through the blank mask, a pattern having a resolution of 45 nm and 32 nm is transferred to an ArF And ArF liquid immersion lithography and double patterning lithography (DPL).

그러나, 상기의 ArF Immersion Lithography 및 DPL 기술은 결함 (Defect) 문제, Align Error 해결과 같은 문제점 및 낮은 공정상수 k1으로 복잡한 근접 효과 보정 (OPC; Optical Proximity Correction)이 필요하게 되고 이에 따라 그의 기술을 대체할 수 있으며, 더 미세한 최소 선폭을 구현할 수 있는 극자외선 리소그래피 (EUVL; Extreme Ultaviolet Lithography)가 대두되고 있다. However, the above-mentioned ArF Immersion Lithography and DPL techniques require complicated optical proximity correction (OPC) with problems such as a defect problem and an alignment error solution and a low process constant k 1 , Extreme ultraviolet lithography (EUVL) is emerging which can be replaced and can realize a finer minimum line width.

극자외선 리소그래피 기술은 기존의 투과형 리소그래피 기술과는 달리 13.5nm의 극 자외선 노광광은 포토마스크를 구성하는 대부분의 물질들이 투과를 하지 못하기 때문에 기존의 투과형 리소그래피 방법이 아닌 반사형 리소그래피 방법을 적용하게 된다. 이러한 반사형 리소그래피 방법은 일반적인 투과형 리소그래피와는 다른 구조 및 특성이 필요하게 되며, 일반적으로 기판위에 노광광에 대하여 40% 이상의 반사율을 가지는 반사층, 노광광을 흡수하는 흡수층 및 레지스트막이 형성된 구조를 가지게 된다. Unlike the conventional transmission type lithography technique, extreme ultraviolet lithography technology uses a reflective lithography method instead of the conventional transmission type lithography method because most materials constituting the photomask can not transmit through the extreme ultraviolet exposure light of 13.5 nm do. The reflection type lithography method needs a different structure and characteristics from general transmission type lithography and generally has a reflective layer having a reflectance of 40% or more with respect to the exposure light, an absorption layer for absorbing exposure light, and a structure in which a resist film is formed .

그러나, 상기의 극자외선용 블랭크 마스크 및 포토마스크가 구현하고자 하는 최소선폭이 32nm 이하 22nm이고, 상대적으로 포토레지스트 (Photoresist)의 두께가 구현하고자 하는 최소선폭보다 두껍기 때문에 동일한 크기로 노광 되더라고 건식식각 (Dry Etch)시 매크로 로딩 효과 (Macro Loading Effect) 및 마이크로 로딩 효과 (Micro Loading Effect)에 의하여 높은 집적 패턴과 낮은 집적 패턴의 크기 및 단독패턴과 조밀패턴의 크기가 서로 달라지는 문제점이 있다. 상기의 문제점은 포토레지스트를 노광 및 현상 후 식각할 경우, 포토레지스트를 식각마스크로 하여 포토레지스트 하부의 막을 식각하게 되는데, 동일한 현상액, 또는 식각 가스량에 대해 단위 면적당 반응하는 반응물의 반응속도 및 제거속도가 패턴의 집적도가 높은 패턴이 상대적으로 집적도가 적은 패턴 또는 단독패턴보다 작으므로 식각이 잘 되지 않아 CD의 차이가 나타나는 것으로 알려져 있다. However, since the minimum line width to be realized by the extreme ultraviolet ray mask and the photomask is 32 nm or less and 22 nm, and since the thickness of the photoresist is thicker than the minimum line width to be implemented, There is a problem that the size of the integrated pattern, the size of the integrated pattern, and the size of the individual pattern and the dense pattern are different due to the macro loading effect and the micro loading effect at the time of dry etching. When the photoresist is etched after the exposure and development, the film under the photoresist is etched using the photoresist as an etching mask. The reaction rate and the removal rate of the reactants per unit area of the same developer, It is known that a pattern having a high degree of integration of a pattern is smaller than a pattern having a relatively low degree of integration or a single pattern, and thus the difference in CD is caused because the pattern is not well etched.

상기의 문제점을 해결하고자 포토레지스트의 두께를 낮추게 되면 로딩 효과와 미세 패턴의 선형성 (Linearity)과 피델리티 (Fidelity)가 향상되나 포토마스크를 건식식각하여 제조하는 경우, 포토레지스트와 식각 물질의 식각비 (Selectivity)가 높지 못하기 때문에 하부 층 식각 시 포토레지스트가 데미지 (Damage)를 받아 레지스트 패턴의 형상 변화 및 심각할 경우 하부의 막이 손상을 입게 되어, 본래의 포토레지스트 패턴을 흡수막상에 정확하게 전사하는 것이 곤란해진다. 이런 이유로, 포토마스크의 패턴형성에 있어서 식각마스크로서 이용되는 포토레지스트의 부담을 경감시킬 필요가 있다. In order to solve the above problems, the lowering of the thickness of the photoresist improves the loading effect and the linearity and fidelity of the fine pattern. However, when the photomask is dry-etched, the etch rate of the photoresist and the etchant The selectivity of the photoresist is not high. Therefore, the photoresist is damaged when the lower layer is etched, and the shape of the resist pattern is changed, and in the serious case, the underlying film is damaged, and the original photoresist pattern is accurately transferred onto the absorber It becomes difficult. For this reason, it is necessary to alleviate the burden on the photoresist used as the etching mask in the pattern formation of the photomask.

또한 형성하는 포토마스크 패턴의 미세화에 대응하여 포토레지스트 패턴도 미세화되게 되지만, 포토레지스트의 막 두께를 얇게 하는 일 없이 레지스트 패턴만 을 미세화하면, 식각마스크로서 기능하는 포토레지스트부의 레지스트 막 두께와 패턴폭과의 비 (Aspect Ratio)가 커져 버린다. 일반적으로 포토레지스트 두께와 패턴폭의 비가 커지면 그 패턴형상이 열화 되기 쉽고, 이것을 마스크로 하는 흡수막 패턴전사 정밀도가 나빠진다. 극단적인 경우에는, 레지스트 패턴의 일부가 쓰러지거나 박리를 일으켜 패턴 누락이 생기거나 하는 일도 일어난다. 따라서, 포토마스크 패턴의 미세화에 수반하여, 흡수막의 패터닝용의 마스크로서 이용하는 레지스트의 막 두께를 얇게 하여 두께 대비 패턴폭의 비가 너무 커지지 않게 할 필요가 있다. 그러나, 현실적으로 포토레지스트 마스크의 식각에 대한 내성과 고해상도, 패터닝 정밀도를 모두 만족시키는 것은 기술적으로 어렵고, 종래의 패터닝 프로세스를 유지하는 한, 상기 제시된 문제점을 근본적으로 해결하기엔 어려움이 있다. When the resist pattern is made finer without thinning the thickness of the photoresist, the resist film thickness and the pattern width of the photoresist portion which function as an etching mask are reduced, The aspect ratio becomes large. Generally, if the ratio of the photoresist thickness to the pattern width increases, the pattern shape tends to be deteriorated, and the accuracy of transferring the absorbing film pattern using the pattern as a mask is deteriorated. In an extreme case, a part of the resist pattern may collapse or peel off, resulting in a pattern missing. Therefore, it is necessary to make the thickness of the resist used as the mask for patterning the absorbing film thinner with the increase in the size of the photomask pattern, so that the ratio of the pattern width to the thickness does not become too large. However, in practice, it is technically difficult to satisfy both the resistance to etching of the photoresist mask and the high resolution and the patterning accuracy, and it is difficult to fundamentally solve the above-described problems as long as the conventional patterning process is maintained.

또한 포토레지스트로 사용되는 화학증폭형 레지스트는 그의 화학 증폭제인 강산(H+)에 의한 영향을 받게 된다. 따라서, 포토레지스트에 근접한 하부막은 노광 후 현상시 화학증폭작용제인 강산(H+)을 중화시킬 수 있는 염기성 물질로 인해 현상 시 스컴 (Scum)이 표면에 잔류하게 되어 소정의 원하는 패턴 형성이 어렵게 된다. 반면에 하부 박막 표면의 산성 물질은 이러한 화학증폭형 레지스트의 강산을 증폭시켜 소정의 원하는 두께의 패턴 형성보다 커지는 문제점을 야기시키게 되어 우수한 품질의 패턴 형성이 어렵게 된다.In addition, chemically amplified resist used as a photoresist is affected by strong acid (H +), which is a chemical amplification agent thereof. Therefore, the underlying film close to the photoresist is left on the surface due to a basic substance capable of neutralizing the strong acid (H + ) which is a chemical amplifying agent during the post-exposure development, so that a desired desired pattern formation is difficult . On the other hand, the acidic material on the surface of the lower thin film amplifies the strong acid of the chemically amplified resist to cause a problem that it becomes larger than the pattern formation of a predetermined desired thickness, making it difficult to form a pattern of high quality.

극자외선용 블랭크 마스크의 흡수막의 경우 EUV 리소그래피의 특성상 반사형 리소그래피 기술로 인해 노광광이 3 내지 7도로 입사각이 기울어져 있기 때문에 그 로 인한 그림자 효과 (Shadowing Effect)가 발생하게 된다. 이러한 그림자 효과는 소정의 원하는 패턴 크기를 제한 시키게 되며, 기존의 수직한 패턴 형성 시 목표 CD 대비 낮은 작은 CD (Under CD)가 형성되는 문제점을 가지게 된다. In the case of an absorptive film of an EUV-type blank mask, due to the nature of EUV lithography, the incident light is inclined at an incident angle of 3 to 7 due to the reflective lithography technique, thereby causing a shadowing effect. Such a shadow effect restricts a desired desired pattern size, and has a problem of forming a small CD (lower CD) which is lower than the target CD in forming a conventional vertical pattern.

본 발명은 상기의 문제점들을 해결하기 위하여 창출된 것으로서, 극자외선용 블랭크 마스크 및 포토마스크의 건식식각 시 로딩 효과의 감소, 선형성 개선, 식각 선택비가 개선되고, 흡수막의 두께에 의한 그림자 효과 (Shadowing Effect)를 저감할 수 있는 극자외선용 블랭크 마스크 및 포토마스크 제조방법을 제공하는 것을 목적으로 한다. SUMMARY OF THE INVENTION The present invention has been made in order to solve the above problems, and it is an object of the present invention to provide a method and apparatus for reducing the loading effect, improving linearity, and etching selectivity in the dry etching of a blank mask and a photomask for extreme ultraviolet rays, And a method of manufacturing a photomask. The present invention also provides a method of manufacturing a photomask.

또한 본 발명은 레지스트 패턴을 우수하게 하기 위한 하부 박막의 표면 오염농도를 제어하여 수직한 패턴이 가능하게 하며, 이를 이용한 우수한 품질의 블랭크 마스크 및 이를 이용한 포토마스크 제조방법을 제공하는 것이다.The present invention also provides a high-quality blank mask using the same, and a method for manufacturing a photomask using the blank mask.

상기의 목적을 달성하기 위하여 본 발명은, 극자외선 포토마스크의 원재료인 블랭크 마스크가 투명기판 위에 반사막, 캡핑막, 버퍼막, 흡수막을 적층하고 그 위에 하드마스크막 및 포토 레지스트막이 적층되어 있는 것을 특징으로 한다.In order to achieve the above object, the present invention is characterized in that a blank mask, which is a raw material of an extreme ultraviolet photomask, is formed by laminating a reflective film, a capping film, a buffer film, and an absorbing film on a transparent substrate, .

상기 본 발명에 의한 블랭크 마스크 제조 방법의 경우,In the method of manufacturing a blank mask according to the present invention,

a1) LTEM (Low Thermal Expansion Material) 기판 위에 다층의 반사막을 형성하는 단계;a1) forming a multilayer reflective film on an LTEM (Low Thermal Expansion Material) substrate;

b1) LTEM 기판 후면에 도전막을 형성하는 단계;b1) forming a conductive film on the back surface of the LTEM substrate;

c1) 상기 a1) 단계에서 형성된 반사막 보호를 위해 캡핑막 (Capping Layer) 을 형성하는 단계;c1) forming a capping layer to protect the reflective film formed in step a1);

d1) 상기 c1) 단계에서 형성된 캡핑막 위에 버퍼막 (Buffer Layer)을 형성하는 단계; d1) forming a buffer layer on the capping layer formed in the step c1);

e1) 상기 d1) 단계에서 형성된 버퍼막위에 흡수막을 형성하는 단계;e1) forming an absorption layer on the buffer layer formed in step d1);

f1) 상기 e1) 단계에서 형성된 흡수막 위에 하드마스크막을 형성하는 단계;f1) forming a hard mask film on the absorption film formed in the step e1);

g1) 상시 f1) 단계에서 형성된 하드마스크막위에 레지스트막을 형성하여 극자외선용 블랭크 마스크를 제조하는 단계를 포함하여 이루어지는 것을 특징으로 한다.g1) forming a resist film on the hard mask film formed in the step f1) to manufacture a blank mask for extreme ultraviolet rays.

특히, 본 발명에 따른 극자외선 블랭크 마스크는 버퍼막과 흡수막 사이에 선택적으로 위상반전을 위한 위상반전막이 단층 또는 다층으로 형성되어 있으며, 이로 인한 흡수막에서의 반사광 광도가 0.01% ∼ 30%, 위상반전이 반사막과 180도 반전되는 것을 특징으로 한다.In particular, the EUV blank mask according to the present invention is characterized in that a phase reversal film for selective phase inversion is formed between the buffer film and the absorbing film in a single layer or a multilayer, and the reflected light luminous intensity in the absorbing film is 0.01% to 30% And the phase inversion is reversed 180 degrees with the reflection film.

또한, 본 발명에 따른 극자외선 블랭크 마스크는 a1) 단계의 반사막과 e1) 단계의 흡수막 사이에 c1) 단계의 캡핑막과, d1) 단계의 버퍼막을 선택적으로 형성하거나, 하지 않는 것을 특징으로 한다.In addition, the extreme ultraviolet blank mask according to the present invention is characterized in that the buffer film of the c1) and the buffer film of the d1) step are selectively formed between the reflective film of the step a1) and the absorbing film of the step e1) .

다음으로, 상세한 본 발명의 극자외선 블랭크 마스크의 특징을 나타낸다.Next, the features of the extreme ultraviolet blank mask of the present invention will be described in detail.

상기 a1) 단계에 있어서, 반사막은 이온빔 (Ion-Beam) 스퍼터링에 의해 형성되는 것을 특징으로 한다. 반사막의 표면 거칠기 (Roughness)는 반사형 리소그래피 기술을 적용하는 EUVL 기술에서 노광광의 난반사를 발생시키는 요인으로 작용한다. 이러한 난반사는 높은 공간주파수 조도 (HSFR) 및 중앙 공간주파수 조도 (MSFR)로 분류할 수 있으며, 높은 공간주파는 주로 흡수막 및 반사막의 반사 감도(Contrast)를 감소시키는 요인이 되어 해상도를 저감시키며, 중앙 공간주파수 조도는 IPD (Image Placement Distribution)을 일으켜 CD 왜곡을 발생시키는 요인으로 작용한다. 따라서, 이러한 반사막 표면 조도 제어를 위해서는 반사막 증착 조건이 중요 요인으로 작용하며, 일반적인 스퍼터링 (Sputtering) 장비를 이용할 시 이온빔 스퍼터링 대비 증착 효율은 증가하나, 표면 조도 제어가 어렵게 되는 문제점이 있다. 따라서, 반사막의 우수한 표면 조도 제어를 위해서는 이온빔 스퍼터링 방법을 적용하는 것이 바람직하다. 더욱 바람직하게는 보조 이온빔 스퍼터링 방법을 적용하여 스퍼터링시 충돌되는 원자의 충돌 에너지를 저감시켜 표면 조도를 향상시키며 또한 박막의 스트레스를 저감할 수 있다.In the step a1), the reflective film is formed by ion-beam sputtering. The surface roughness of the reflective film acts as a cause of the diffuse reflection of the exposure light in the EUVL technique employing the reflection type lithography technique. This diffuse reflection can be classified into a high spatial frequency illuminance (HSFR) and a central spatial frequency illuminance (MSFR), and a high spatial frequency is a factor for reducing the reflection sensitivity of the absorption film and the reflection film, The central spatial frequency illuminance causes IPD (Image Placement Distribution), which causes CD distortion. Therefore, deposition conditions of the reflective film act as an important factor for controlling the surface roughness of the reflective film. In general, when the sputtering equipment is used, deposition efficiency compared to ion beam sputtering is increased, but surface roughness control becomes difficult. Therefore, in order to control the surface roughness of the reflective film, it is preferable to apply the ion beam sputtering method. More preferably, by applying the auxiliary ion beam sputtering method, the collision energy of atoms impinging upon sputtering can be reduced to improve the surface roughness and reduce the stress of the thin film.

상기 a1) 단계에 있어서, 반사막은 EUV 노광광에 대하여 50% 내지 80% 의 반사율을 가지는 다층막으로 구성되는 것을 특징으로 한다. 극자외선 리소그래피 기술의 특성상 반사형 리소그래피 구조로 인해 감도 (Contrast)는 반사막과 흡수막의 반사율 차이에 의해 결정된다. 따라서 정밀한 패턴 형성을 위해서는 흡수막과 반사막의 감도 차이가 커야 하며, 이를 위한 노광광이 반사막 표면에서의 반사율이 40% 이상이 되어야 하며, 바람직하게는 50% 이상인 것이 우수하다. 그러나, 극자외선 영역의 13.5nm에서 단일막으로 반사율이 50% 이상의 물질 개발은 극히 어렵다. 그 이유는 13.5nm 파장대 영역은 물질에 의한 자외선 흡수영역이 커져 반사, 투과보다는 흡수 영역이 크기 때문이다. 따라서, 반사막은 다층막의 내부반사를 이용하여 반사율을 설정해야 한다. 이 때 반사감도는 반사막과 흡수막의 반사 강도 차이에 의해 결정되므로 다층막의 반사율은 50% ∼ 80%의 특징을 가져야 한다.In the step a1), the reflective film is formed of a multilayer film having a reflectance of 50% to 80% with respect to EUV exposure light. Due to the nature of extreme ultraviolet lithography techniques, the contrast is determined by the reflectivity difference between the reflective and absorbing films due to the reflective lithographic structure. Therefore, in order to form a precise pattern, the sensitivity difference between the absorbing film and the reflecting film must be large, and the reflectance of the exposure light on the reflecting film surface should be 40% or more, preferably 50% or more. However, it is extremely difficult to develop a material having a reflectance of 50% or more as a single film at 13.5 nm in the extreme ultraviolet ray region. This is because the ultraviolet absorption region of the 13.5 nm wavelength region is larger due to the larger absorption region than reflection and transmission. Therefore, the reflectance should be set using the internal reflection of the multilayer film. In this case, since the reflection sensitivity is determined by the difference in reflection intensity between the reflection film and the absorption film, the reflectance of the multilayer film should be 50% to 80%.

상기 a1) 단계에 있어서, 반사막은 2 내지 4 종류의 서로 다른 물질이 구성된 막이 교대로 40층 이상으로 적층되는 것을 특징으로 한다.In the step a1), the reflective film is characterized in that films composed of two to four different materials are stacked alternately in 40 layers or more.

상기 a1) 단계에 있어서, 반사막은 몰리브데늄(Mo)/실리콘(Si), 또는 몰리브데늄(Mo)/루테늄(Ru) 또는 탄화 몰리브데늄(MoC)/실리콘(Si) 또는 탄화 몰리브데늄(MoC)/베릴륨(Be) 또는 몰르브데늄루테늄(MoRu)/베릴륨(Be) 또는 몰리브데늄(Mo)/루테늄(Ru)/실리콘(Si) 또는 몰리브데늄(Mo)/니오븀(Nb)인 것을 특징으로 한다.In the step a1), the reflective film may be formed of a material selected from the group consisting of molybdenum (Mo) / silicon (Si), molybdenum (Mo) / ruthenium (Ru), molybdenum carbide (MoC) (Mo) / beryllium (Be) or molybdenum ruthenium (MoRu) / beryllium (Be) or molybdenum (Mo) / ruthenium (Ru) / silicon (Si) or molybdenum (Mo) / niobium ).

상기 b1) 단계에 있어서, 도전막은 기판 후면에 선택적으로 적층 또는 적층하지 않는 구조를 가지는 것을 특징으로 한다.In the step b1), the conductive film has a structure that is not selectively stacked or laminated on the rear surface of the substrate.

상기 b1) 단계에 있어서, 도전막의 물질로는 실리콘(Si), 루테늄(Ru), 몰리브데늄(Mo), 티타늄(Ti), 크롬(Cr), 알루미늄(Al), 하프늄(Hf), 니오븀(Nb) 및 그의 산화물, 질화물, 탄화물, 산화질화물, 산화탄화물, 산화질화탄화물에서 선택되어진 1종 이상인 것을 특징으로 한다.In the step b1), the material of the conductive film may include silicon (Si), ruthenium (Ru), molybdenum (Mo), titanium (Ti), chromium (Cr), aluminum (Al), hafnium (Nb) and at least one oxide, nitride, carbide, oxynitride, oxycarbide, and oxynitride carbide thereof.

상기 b1) 단계에 있어서, 도전막의 조성비는 금속성분이 50at% ∼ 100at%, 산화물이 0at% ∼ 33at%, 탄화물이 0at% ∼ 33at%, 질화물이 0at% ∼ 33at% 인 것을 특징으로 한다.In the step b1), the composition ratio of the conductive film is 50 at% to 100 at% of the metal component, 0 at% to 33 at% of the oxide, 0 at% to 33 at% of the carbide, and 0 at% to 33 at% of the nitride.

상기 c1) 단계에 있어서, 반사막을 보호하기 위한 캡핑막은 실리콘(Si), 루테늄(Ru), 몰리브데늄(Mo), 티타늄(Ti), 크롬(Cr), 알루미늄(Al), 하프늄(Hf), 니오븀(Nb) 및 그의 산화물, 질화물, 탄화물, 산화질화물, 산화탄화물, 산화질화탄화물, 질화탄화물에서 선택되어진 1종 이상인 것을 특징으로 한다.In the step c1), the capping layer for protecting the reflective layer may be at least one selected from the group consisting of silicon (Si), ruthenium (Ru), molybdenum (Mo), titanium (Ti), chromium (Cr), aluminum (Al), hafnium , Niobium (Nb) and at least one oxide, nitride, carbide, oxynitride, oxycarbide, oxynitride carbide, and nitrided carbide thereof.

상기 c1) 단계에 있어서, 캡핑막의 조성비는 금속성분이 50at% ∼ 100at%, 산화물이 0at% ∼ 33at%, 탄화물이 0at% ∼ 33at%, 질화물이 0at% ∼ 33at% 인 것을 특징으로 한다.In the above step c1), the composition ratio of the capping film is 50 at% to 100 at% of the metal component, 0 at% to 33 at% of the oxide, 0 at% to 33 at% of the carbide, and 0 at% to 33 at% of the nitride.

상기 d1) 단계에 있어서, 버퍼막의 물질로는 실리콘(Si), 루테늄(Ru), 몰리브데늄(Mo), 티타늄(Ti), 크롬(Cr), 알루미늄(Al), 하프늄(Hf), 니오븀(Nb) 및 그의 산화물, 질화물, 탄화물, 산화질화물, 산화탄화물, 산화질화탄화물에서 선택되어진 1종 이상인 것을 특징으로 한다.In the step d1), the material of the buffer layer may be at least one selected from the group consisting of Si, Ru, Mo, Ti, Cr, Al, Hf, (Nb) and at least one oxide, nitride, carbide, oxynitride, oxycarbide, and oxynitride carbide thereof.

상기 d1) 단계에 있어서, 버퍼막의 조성비는 금속성분이 50at% ∼ 100at%, 산화물이 0at% ∼ 33at%, 탄화물이 0at% ∼ 33at%, 질화물이 0at% ∼ 33at% 인 것을 특징으로 한다.In the above step d1), the composition ratio of the buffer layer is 50 at% to 100 at% of the metal component, 0 at% to 33 at% of the oxide, 0 at% to 33 at% of the carbide, and 0 at% to 33 at% of the nitride.

상기 e1) 단계에 있어서, 흡수막은 탄탈륨(Ta), 티탄늄(Ti), 실리콘(Si), 몰리브데늄(Mo), 텅스텐(W), 크롬(Cr), 루테늄(Ru), 니오븀(Nb), 하프늄(Hf)의 1종 이상의 금속과 산소(O), 질소(N), 탄소(C), 수소(H), 붕소(B)를 1종 이상 포함하는 것을 특징으로 한다.In the e1), the absorbing layer may be formed of tantalum (Ta), titanium (Ti), silicon (Si), molybdenum (Mo), tungsten (W), chromium (Cr), ruthenium (Ru) ) And hafnium (Hf) and at least one of oxygen (O), nitrogen (N), carbon (C), hydrogen (H) and boron (B).

상기 e1) 단계에 있어서, 흡수막의 깊이 방향에 따른 조성비의 평균이 금속이 20at% ∼ 100at%, 비금속 성분이 20at% ∼ 50at%인 것을 특징으로 한다.The average of the composition ratio along the depth direction of the absorbing film in the e1) is 20 to 100 at% of the metal and 20 to 50 at% of the nonmetal component.

상기 e1) 단계에 있어서, 흡수막은 13.5nm의 EUV 노광광에 대하여 반사율이 0% ∼ 5% 이내인 것을 특징으로 한다.In the step e1), the absorption film has a reflectance within 0% to 5% with respect to EUV exposure light of 13.5 nm.

상기 e1) 단계에 있어서, 흡수막은 193nm, 248nm, 257nm의 검사파장에 대하여 반사율이 5% 내지 20%인 것을 특징으로 한다. 극자외선용 블랭크 마스크의 결합 (Defect) 검사는 193nm, 248nm 257nm의 검사파장을 이용할 수 있다. 이 때 흡수막의 검사광에 대한 반사율이 20% 이상이 되면 검사감도가 떨어지는 문제점이 발생하는 정밀한 검사가 어렵게 된다.In the step e1), the absorption film has a reflectance of 5% to 20% with respect to the inspection wavelengths of 193 nm, 248 nm and 257 nm. The inspection of the defect of the blank mask for extreme ultraviolet rays can use the inspection wavelengths of 193 nm and 248 nm and 257 nm. At this time, if the reflectance of the absorbing film with respect to the inspection light is 20% or more, it is difficult to perform precise inspection that the inspection sensitivity is deteriorated.

상기 e1) 단계에 있어서, 흡수막의 193nm, 248nm, 257nm의 검사파장에서의 반사율을 5% 내지 20%로 제어하기 위해 표면처리를 핫 플레이트, 퍼니스 (Furance), 급속 열처리 장치 (Rapid Thermal Process), 플라즈마 (Plasma)를 대기 및 또는 진공에서 표면처리 하는 것을 특징으로 한다.In the above step e1), the surface treatment is performed using a hot plate, a furnace, a rapid thermal process, a high-temperature annealing process, and the like to control the reflectance of the absorbing film at 193 nm, 248 nm and 257 nm at the inspection wavelength of 5% Characterized by subjecting the plasma to an atmospheric or a vacuum surface treatment.

상기 e1) 단계에 있어서, 흡수막의 표면처리 시 가스 분위기는 진공 및 질소 및/또는 산소 분위기에서 하는 것을 특징으로 한다. 흡수막의 금속성분으로 인해 검사파장에서 반사율이 높게 되면 검사시 감도가 낮아져 정밀한 검사를 어렵게 한다. 따라서, 흡수막의 표면을 진공에서 표면처리 하는 것이 바람직하며, 더욱 바람직하게는 질소 및/또는 산소 분위기에서 하는 것이 바람직하다. 이러한 이유는 질소 및 산소 분위기에서 표면처리시, 표면이 질화, 산화되어 반사율이 저감되기 때문이다. 또한 이렇게 질화된 박막은, 건식 식각 시 깊이 방향보다 질화율이 높아지기 때문에 플라즈마의 라디칼 (Radical) 이온이 반응하는 금속원자의 수가 줄어듦에 따라 빠른 식각 속도를 나타내게 된다. 따라서, 노광광의 입사각에 의해 발생하는 그림자효과에 적합한 사다리꼴 패턴을 형성할 수 있게 된다.The gas atmosphere in the surface treatment of the absorbent film in the step e1) is characterized by being performed in a vacuum, a nitrogen and / or an oxygen atmosphere. If the reflectance at the inspection wavelength is high due to the metal component of the absorbing film, the sensitivity at the inspection becomes low, making precise inspection difficult. Therefore, it is preferable to surface-treat the surface of the absorbent film in a vacuum, more preferably, in a nitrogen and / or oxygen atmosphere. This is because the surface is nitrided and oxidized during the surface treatment in the nitrogen and oxygen atmosphere to reduce the reflectance. Also, the nitrided thin film has a higher nitriding rate than the depth direction during dry etching, and therefore, the radical etching rate of the plasma decreases as the number of metal atoms reacted with the radical decreases. Therefore, it is possible to form a trapezoidal pattern suitable for the shadow effect generated by the incident angle of the exposure light.

상기 e1) 단계에 있어서 표면 처리 후 검사파장에서의 표면 반사율 균일도를 향상시키기 위해 냉각 처리하는 것을 특징으로 한다. 기판의 표면처리는 기판의 면적과 위치에 의해 받는 표면 에너지와 매질의 특성으로 인해 받는 에너지양이 달라지게 된다. 일례로, 표면 열처리의 경우 표면이 받는 열에너지는 기판의 중심을 기점으로 확산되어 가는 거리와 기판의 모서리 부분을 통해 열전달 및 냉각되는 온도 의 균일도는 매질안에서 변하게 된다. 즉, 비정질의 매질이 반응 또는 열역학적으로 안정화 되는 운동성 (Mobility)는 매질의 중심부를 중심으로 많은 활동성을 보이며, 외곽부분으로 갈수록 운동성이 떨어지게 된다. 따라서, 냉각시에도 이러한 에너지의 움직임 분포에 따라 매질 내부의 에너지 감소속도가 떨어지게 되며, 이로인해 표면의 반사율 균일도가 변하게 된다. 따라서, 동일하게 열처리 진행후 매질 내부의 에너지 감소속도를 빠르게 하기 위하여 냉각 처리 하는 것이 바람직하며, 이러한 방법을 적용하며 반사율 균일도를 제어할 수 있다. 더욱 바람직하게는 열 에너지의 확산성 (Mobility)에 따라 기판의 영역별 열처리 및 냉각 온도를 조절하는 것이 바람직하다. And cooling treatment is performed to improve the surface reflectance uniformity at the inspection wavelength after the surface treatment in the step e1). In the surface treatment of the substrate, the amount of energy received depends on the surface energy and the characteristics of the medium due to the area and position of the substrate. For example, in the case of surface heat treatment, the thermal energy received by the surface varies in the medium through the distance spreading from the center of the substrate and the uniformity of the temperature at which the heat is transferred and cooled through the edge of the substrate. That is, the mobility in which the amorphous medium is stabilized by the reaction or thermodynamically shows a lot of activity around the center of the medium, and the mobility decreases toward the outer portion. Therefore, even during cooling, the energy reduction rate in the medium is lowered according to the motion distribution of the energy, thereby changing the reflectance uniformity of the surface. Therefore, it is preferable to perform the cooling treatment in order to accelerate the energy reduction rate in the medium after the heat treatment in the same manner, and the reflectance uniformity can be controlled by applying this method. More preferably, it is preferable to control the heat treatment and cooling temperature for each region of the substrate according to the mobility of the thermal energy.

상기 e1) 단계에 있어서 흡수막의 표면 평탄도는 0.3um 이내인 것을 특징으로 한다. 흡수막의 평탄도는 건식 식각 시 플라즈마에 의한 라디칼 이온과 식각되는 매질의 거리 차이를 발생시키게 한다. 이러한 거리는 라디칼 이온의 공간내에서의 농도 변하를 가져오게 하며, 이러한 농도는 평탄도의 위치에 따라 국부적인 로딩효과를 발생시키게 되는 요인으로 작용한다. 따라서, 식각되는 흡수막의 평탄도는 0.3 um 이내인 것이 바람직하며, 더욱 바람직하게는 흡수막의 하부막 또한 0.3um 이내로 평탄도가 제어되는 것이 바람직하다. 이러한 평탄도 제어를 위해서는 공정 조건 및 표면 및 국부적인 플라즈마 처리를 하는 것이 바람직하며, 반면에 박막의 증착 후 발생하는 평탄도 변화를 고려하여 기판을 응력을 고려하는 것이 바람직하다. 이러한 방법으로는 또 다르게 기판의 후면에 응력 방지를 위한 금속막을 형성할 수 있으며, 이를 통해 발생한 후면의 응력과 반대방향으로 형성하는 박막을 증착하여 응력을 제어할 수도 있다.The surface flatness of the absorbing film in the step e1) is not more than 0.3 m. The flatness of the absorber film causes a difference in distance between the radical ions and the etched medium due to the plasma during dry etching. These distances lead to variations in the concentration of the radical ions in the space, and this concentration causes a local loading effect depending on the position of the flatness. Therefore, it is preferable that the flatness of the absorbing film to be etched is within 0.3 μm, and more preferably, the flatness of the lower film of the absorbing film is also controlled within 0.3 μm. For this flatness control, it is preferable to perform the process conditions and the surface and the local plasma treatment, while considering the stress of the substrate in consideration of the flatness change occurring after the deposition of the thin film. Alternatively, the metal film may be formed on the rear surface of the substrate in order to prevent stress, and the stress may be controlled by depositing a thin film formed in a direction opposite to the stress generated through the rear surface.

상기 e1) 단계에 있어서 흡수막은 깊이 방향으로 식각 속도가 동일하거나, 느린 것을 특징으로 한다. 극자외선용 포토마스크는 노광광의 입사각으로 인해 기존의 투과형 리소그래피 방법과는 달리 수직 패턴 형성시 그림자 효과로 인해 목표 CD 보다 낮은 CD를 형성하게 된다. 따라서, 이를 저감하기 위해 흡수막의 두께는 낮을수록 우수한 특성을 나타내게 한다. 반면에 입사각에 대한 각도를 조정하기 위해 흡수막의 패턴 모양을 노광광의 입사각에 맞추어 사다리꼴 형태의 모습으로 제조하는 방법들이 연구되고 있다. 이 때, 흡수막의 깊이방향으로 식각 속도가 동일한 특성을 가지며, 건식식각 시 지속적인 플라즈마 라디칼 (Radical) 성분에 대하여 표면 상부에 인접한 부분은 지속적인 라디칼 성분에 의해 식각이 지속적으로 이루어지며, 하부는 식각이 느려지게 된다. 동일하게, 흡수막의 물질 특성 예를 들어 질소(N) 성분이 깊이 방향으로 낮게 하는 방법을 통해 식각 속도를 느리게 해도 무방하다. 이러한 방법은 탄소(C), 수소(H), 산소(O), 붕소(B)와 같은 반응성 가스 및 압력, 파워의 조절과 같은 방법 및 표면 처리와 같은 방법을 통해서도 제어가 가능하다.In the e1), the absorption layer is characterized in that the etching rate in the depth direction is the same or slower. Unlike the conventional transmission type lithography method, an extreme ultraviolet photomask forms a CD lower than the target CD due to the shadow effect when forming a vertical pattern due to the incident angle of the exposure light. Therefore, in order to reduce this, the lower the thickness of the absorbent film, the better the characteristics are exhibited. On the other hand, in order to adjust the angle with respect to the incident angle, methods of manufacturing a shape of the absorbing film in a trapezoidal shape by matching the incident angle of the exposure light are being studied. In this case, the etch rate is the same in the depth direction of the absorbing film, and the portion adjacent to the upper surface of the plasma radical (Radical) component in the dry etching is continuously etched by the continuous radical component, It will slow down. Similarly, the etching rate may be slowed by a method of lowering the material property of the absorbing film, for example, nitrogen (N) component in the depth direction. This method can also be controlled by methods such as the control of reactive gases such as carbon (C), hydrogen (H), oxygen (O), boron (B)

상기 e1) 단계에 있어서 흡수막이 2층 이상으로 구성될 때 박막 밀도가 깊이 방향으로 높은 것을 특징으로 한다. 흡수막의 건식 식각 시 로딩 효과는 박막의 식각율에 의해서도 영향을 받게 되며, 이러한 식각률은 박막 밀도가 높을수록 식각율이 낮아진다. 따라서, 2층 구조의 흡수막이 형성되었을 경우, 그림자 효과를 저감 하기 위해 흡수막의 밀도가 하부층이 상부층보다 상대적으로 높은 박막 밀도를 가지는 것이 바람직하다.When the absorption layer is composed of two or more layers in the step e1), the thin film density is high in the depth direction. During the dry etching of the absorbing film, the loading effect is also influenced by the etching rate of the thin film, and the higher the film density, the lower the etching rate. Therefore, when a two-layered absorbing film is formed, it is preferable that the density of the absorbing film has a higher density of the lower layer than the upper layer in order to reduce the shadow effect.

상기 e1) 단계에 있어서 흡수막은 일반적인 스퍼터링 방법 및 이온 빔 스퍼터링 방법을 이용하여 증착하는 것을 특징으로 한다.In the e1), the absorption layer is deposited using a general sputtering method and an ion beam sputtering method.

상기 f1) 단계에 있어서, 하드마스크막는 루테늄(Ru), 보론(B), 리튬(Li), 베릴륨(Be), 탄탈륨(Ta), 코발트(Co), 텅스텐(W), 바나듐(V), 팔라듐(Pd), 티타늄(Ti), 니오븀(Nb), 하프늄(Hf), 플래티늄(Pt), 몰리브데늄(Mo), 로듐(Rh), 니켈(Ni), 지르코늄(Zr), 실리콘(Si), 크롬(Cr), 셀렌(Se), 이트륨(Y)으로 이루어진 군으로부터 선택되는 1종 이상의 금속의 단독 및/또는 질소(N), 산소(O), 탄소(C), 불소(F), 염소(Cl)의 하나 이상을 포함하는 것을 특징으로 한다.The hard mask layer may be formed of Ru, Boron, Li, Ber, Tantalum, Co, Tungsten, Vanadium, (Ti), niobium (Nb), hafnium (Hf), platinum (Pt), molybdenum (Mo), rhodium (Rh), nickel (Ni), zirconium (Zr) (N), oxygen (O), carbon (C), fluorine (F), and at least one metal selected from the group consisting of chromium (Cr), selenium (Se), and yttrium , And chlorine (Cl).

상기 f1) 단계에 있어서, 하드마스크막은 1층 및 또는 2층 이상으로 구성되는 것을 특징으로 한다.In the above step (f1), the hard mask film may be composed of one layer or two or more layers.

상기 f1) 단계에 있어서, 하드마스크막은 하부의 흡수막과 상이한 건식 식각 특성을 가지는 것을 특징으로 한다.In the above step (f1), the hard mask film has a dry etching characteristic different from that of the lower absorbing film.

상기 f1) 단계에 있어서, 하드마스크막이 불소 계열의 건식 식각 특성일 때 하부의 흡수막은 염소 계열의 가스에 의해 식각되는 것을 특징으로 한다.In the step (f1), when the hard mask film is a fluorine based dry etching property, the lower absorbing film is etched by chlorine-based gas.

상기 f1) 단계에 있어서, 하드마스크막의 두께가 5nm 내지 50nm 이하이며, 2nm 내지 10nm인 것이 바람직하다. 이렇게 하드마스크막이 더 적층된 EUV 반사형 블랭크 마스크를 사용하면 종래의 포토레지스트 패턴 대신에 하드마스크막 패턴을 식각마스크로 흡수막을 건식 식각할 수 있게 된다. 이 때, 하드마스크막 패턴의 두께가 포토레지스트의 두께에 비하여 매우 얇기 때문에 로딩효과가 거의 없다. 따라서 포토마스크에 작고 정밀한 패턴을 형성하는데 유리한 장점이 있다.In the step f1), it is preferable that the thickness of the hard mask film is 5 nm to 50 nm and 2 nm to 10 nm. When the EUV reflective blank mask having the hard mask film stacked thereon is used, the absorbing film can be dry-etched using the hard mask film pattern as an etching mask instead of the conventional photoresist pattern. At this time, since the thickness of the hard mask film pattern is very thin compared to the thickness of the photoresist, there is little loading effect. Therefore, there is an advantage in forming a small and precise pattern on the photomask.

상기 f1) 단계에 있어서, 하드마스크막은 흡수막보다 면저항이 같거나 낮은 것을 특징으로 한다.In the step f1), the hard mask film is characterized in that the sheet resistance is equal to or lower than that of the absorbing film.

상기 f1) 단계에 있어서, 하드마스크의 면저항 (Sheet Resistance)이 5Ω∼ 100kΩ/□이하인 것을 특징으로 한다. 흡수막 위에 적층된 하드마스크막이 상기 흡수막보다 면저항이 같거나 낮은 경우 차지업 현상을 방지하는 효과가 있으므로 더욱 높은 전압을 가지는 전자빔 묘화장치를 사용 가능하며, 작고 정밀한 포토마스크 패턴을 제조하기 쉬운 장점이 있다. 면저항이 5Ω/□ 이하로 하기 위해서는, 순수한 금속 성분을 적층하더라도 하드마스크의 두께를 50nm 이상의 두께로 하여야 하기 때문에 로딩효과가 커지는 문제 때문에 면저항이 5Ω/□ 이하로 하기 어려우며, 면저항이 100kΩ/□ 이상이 되면 차지업 현상이 발생하기 쉽다.In the step f1), the hard mask has a sheet resistance of 5? To 100 k? /? Or less. Since the charge-up phenomenon is prevented when the hard mask layer deposited on the absorber layer has the same or lower sheet resistance than that of the absorber layer, an electron beam drawing apparatus having a higher voltage can be used and advantageous in manufacturing a small and precise photomask pattern . In order for the sheet resistance to be 5 Ω / □ or less, it is difficult for the sheet resistance to be 5 Ω / □ or less because of the problem of the loading effect being increased because the thickness of the hard mask must be 50 nm or more even if the pure metal components are stacked. The charge-up phenomenon is likely to occur.

상기 f1) 단계에 있어서, 하드마스크막의 흡수막과의 건식 식각비가 3 내지 50이 되는 것을 특징으로 한다. 하드마스크막 패턴이 흡수막의 식각마스크로서의 역할을 충실히 하기 위하여 흡수막과의 식각비가 커야 하며, 3 이하가 되면 흡수막 식각시 하드마스크 패턴이 제거되어 그 하부의 흡수막이 손상을 받게 되며, 식각비가 50 이상이 되는 물질은 식각속도가 매우 느려 식각이 어렵다.The dry etching ratio of the hard mask film to the absorption film is 3 to 50 in the step f1). In order to fulfill the role of the hard mask film pattern as an etching mask, the etch rate with respect to the absorber film must be large. When the hard mask film pattern is less than 3, the hard mask pattern is removed during the absorption film etch, Substrates that are more than 50 are very slow etch rates and are difficult to etch.

상기 f1) 단계에 있어서, 하드마스크막을 구성하는 원소 중에서 금속 원소가 30at% ∼ 90at%이며, 비금속 원소가 10at% ∼ 70at%인 것을 특징으로 한다.In the above step (f1), among the elements constituting the hard mask film, the metal element is 30at% to 90at%, and the nonmetal element is 10at% to 70at%.

상기 f1) 단계에 있어서, 하드마스크막은 DC 마그네트론 스퍼터링법, RF 스퍼터링법, 이온빔 스퍼터링법, 보조 이온 스퍼터링법등의 물리 기상 증착법(PVD; Physical Vapor Deposition), 원자층 적층법(ALD; Atomic Layer Deposition), 화학 기상 증착법(CVD; Chemical Vapor Deposition)등의 진공 증착법에 의해 적층되는 것이 바람직하다. The hard mask layer may be formed by physical vapor deposition (PVD) such as DC magnetron sputtering, RF sputtering, ion beam sputtering, or assisted ion sputtering, atomic layer deposition (ALD) , A chemical vapor deposition (CVD) method, or the like.

상기 f1) 단계에서 하드마스크막에 질소가 필수적으로 포함되는 경우 하드마스크막 표면처리를 필수적으로 실시하는 것을 특징으로 한다. 이는 극자외선용 블랭크 마스크 제조시 사용되는 화학증폭형 레지스트막으로부터 발생되는 강산이 하드마스크막에 포함된 질소와의 결합이 발생하여 강산의 중화가 발생하게 된다. 이는 질소가 Lewis Base, 강산이 Lewis Acid의 역할을 하여 Lewis 결합으로 인해 강산의 중화 반응이 일어나게 된다. 이로 인해 화학 증폭형 레지스트와 하드마스크막의 계면에서 화학증폭형 레지스트의 강산이 중화가 되어 화학 증폭형 레지스트가 현상액에 현상이 되지 않는 Scum이 발생하게 되고 이러한 현상을 기판의존성이라 한다. 이러한 기판의존성으로 인해 원하는 목표 CD의 구현이 힘들게 되고 이로 인해 고품질의 포토마스크 제조가 힘들게 된다. 따라서 하드마스크에 질소가 필수적으로 포함될 때 표면처리를 필수적으로 실시해야만 한다.The hard mask film surface treatment is essentially performed when nitrogen is essentially contained in the hard mask film in the step f1). This is because the strong acid generated from the chemically amplified resist film used in the preparation of the blank mask for extreme ultraviolet rays is bonded to the nitrogen contained in the hard mask film and neutralization of the strong acid occurs. This is because the nitrogen acts as a Lewis Base and the strong acid acts as a Lewis Acid, so that a strong acid neutralization reaction occurs due to the Lewis bond. As a result, the strong acid of the chemically amplified resist is neutralized at the interface between the chemically amplified resist and the hard mask film, and the chemically amplified resist becomes scum which is not developed in the developer. This substrate dependency makes it difficult to implement the desired target CD, which makes it difficult to manufacture high quality photomasks. Therefore, when nitrogen is essentially contained in the hard mask, surface treatment must be performed.

상기 f1) 단계에서 하드마스크막에 질소가 포함되는 않은 경우 하드마스크막의 표면처리를 선택적으로 실시하는 것을 특징으로 한다. 하드마스크막에 질소가 포함되지 않은 경우 강산의 중화에 의한 화학 증폭형 레지스트의 기판의존성은 발 생하지 않지만 레지스트의 접착력 증가나 박막의 Stress 완화를 위해 표면처리를 실시하는 것이 바람직하다.The surface treatment of the hard mask film is selectively performed when nitrogen is not contained in the hard mask film in the step f1). In the case where nitrogen is not contained in the hard mask film, the substrate-dependence of the chemically amplified resist due to the neutralization of strong acid does not occur, but it is preferable to perform the surface treatment in order to increase the adhesion of the resist and relax the stress of the thin film.

상기 f1) 단계에서 하드마스크막에 의한 기판의존성을 제어하기 위해 하드마스크막의 불순물 이온 중 특히, 암모니아 (NH4+)를 포함한 염기성 불순물 이온이 1ppmv 이하인것을 특징으로 한다. 염기성 이온은 하드마스크막 상부에 증착되는 화학 증폭형 레지스트의 강산과 결합하게 되고 이러한 결합에 의해 중화된 강산으로 인해 기판 의존성 현상이 발생하게 된다. 따라서, 이러한 기판 의존성을 제어하기 위해 불순물 이온 중 특히 염기성 불순물 이온이 1ppmv 이하인 것이 바람직하다.In order to control the substrate dependency of the hard mask film in the step f1), the impurity ions of the hard mask film, in particular, the basic impurity ions including ammonia (NH4 + ) are 1 ppmv or less. The basic ion is bound to the strong acid of the chemically amplified resist deposited on the hard mask film, and the strong acid neutralized by the bond causes a substrate dependence phenomenon. Therefore, in order to control such substrate dependency, it is preferable that the basic impurity ion among the impurity ions is 1 ppmv or less.

상술한 바와 같이 본 발명의 블랭크 마스크 및 포토마스크는 다음과 같은 효과를 제공한다. As described above, the blank mask and the photomask of the present invention provide the following effects.

첫째, 하드마스크막을 포함하는 극자외선용 블랭크 마스크를 제조함으로서 종래의 레지스트막 대비 두께가 얇은 하드마스크막을 식각마스크로 하여 32nm 이하 22nm CD 구현 시 매크로 및 마이크로 로딩 효과를 저감할 수 있는 우수한 품질의 블랭크 마스크를 제공할 수 있다.First, by manufacturing an extreme ultraviolet blank mask including a hard mask film, a hard mask film having a thinner thickness than that of the conventional resist film is used as an etch mask, and a blank of excellent quality capable of reducing macroscopic and micro- Mask can be provided.

둘째, 흡수막의 조성비 및 밀도 및 증착 조건을 변경하여 노광광의 입사각에 근접하는 패턴 형성을 하여 그림자 효과를 저감하여 우수한 품질의 블랭크 마스크를 제공할 수 있다.Secondly, by changing the composition ratio of the absorptive film, the density and the deposition conditions, a pattern close to the incident angle of the exposure light is formed, thereby reducing the shadow effect and providing a blank mask of excellent quality.

셋째, 기판, 반사막, 캡핑막, 버퍼막, 흡수막 및 하드마스크막의 평탄도를 제어하여 초점심도 마진을 확보할 수 있으며, 국부적인 로딩효과를 저감하여 우수한 품질의 블랭크 마스크를 제공한다.Third, the depth of focus margin can be secured by controlling the flatness of the substrate, the reflective film, the capping film, the buffer film, the absorbing film and the hard mask film, and the local loading effect is reduced to provide a high quality blank mask.

이하 첨부된 도면 및 실시예를 참조하여 본 발명을 더욱 상세히 하고자 한다. 다음에 설명되는 실시예는 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술되는 실시예에 한정되는 것은 아니다.DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Reference will now be made in detail to the preferred embodiments of the present invention with reference to the accompanying drawings. The embodiments described below can be modified in various other forms, and the scope of the present invention is not limited to the embodiments described below.

(실시예 1)(Example 1)

열팽챙 개수가 1 x 10-6/℃ 이하이고, 기판 평탄도 값이 TIR 100nm 이하로 제어되고, 표면거칠기가 0.09nmRa 인 6025 (6inch x 6inch x 0.25inch) 투명기판을 준비하였다. 그 위에 이온빔 스퍼터링 장치를 이용하여 몰리브데늄 (Mo)을 2.8nm 두께로 하고 실리콘 (Si)을 4.2nm 두께로 각각 교대로 적층하여 40층씩 적층하였다. 이 때 스퍼터링 압력은 1 x 10-2 및 1 x 10-5 torr에서 적층하였으며, 몰리브데늄과 실리콘 반사막의 표면거칠기 및 스트레스를 감소시키기 위해 보조 이온빔 발생장치에서 헬륨 가스를 기판 방향으로 향하게 하여 이온빔이 기판에 적층되는 막에 충돌하게 하였다. 이때 반사막의 표면 거칠기를 Atomic Force Spectrometer(AFM)을 이용하여 측정한 결과 0.09nmRa를 나타내어 우수한 표면 거칠기를 나타내었다.A 6025 (6 inch x 6 inch x 0.25 inch) transparent substrate having a number of thermal extrusion of 1 x 10 < -6 > / DEG C or less, a substrate flatness value controlled to a TIR of 100 nm or less, and a surface roughness of 0.09 nmRa was prepared. Molybdenum (Mo) was deposited to a thickness of 2.8 nm, and silicon (Si) was deposited to a thickness of 4.2 nm alternately by using an ion beam sputtering apparatus. When the sputtering pressure is 1 x 10 -2 and 1 x 10 -5 were laminated in torr, molybdenum and the ion beam by directing the helium gas to the substrate direction from the secondary ion beam generating apparatus in order to reduce the surface roughness and stress of the silicon reflecting film To collide with the film deposited on the substrate. At this time, the surface roughness of the reflective film was measured using an atomic force spectrometer (AFM), and the surface roughness was 0.09 nmRa.

다음에 상기 반사막 상부에 동일한 압력에서 캡핑막으로 루테늄(Ru)을 7nm 두께로 적층하였다. 그 다음 실리콘 산화막을 버퍼막으로 적층하였다. 그 후 탄탈륨 타겟으로 교환하고, 아르곤(Ar)을 80sccm, 질소(N2)를 20sccm, 파워를 400W, 압력을 1.2 x 10-3 torr로 하여 질화탄탈륨(TaN)을 흡수막으로 50nm 두께로 적층하였다. 이후 흡수막 상부에 하드마스크막으로 몰리실리(MoSi) 타겟을 이용하여 아르곤 78sccm, 질소 20sccm 산소 2sccm로 동일한 압력에서 몰리실리사이드 산화질화물(MoSiON)을 10nm 두께로 적층하였다. 이 때, 반사막의 표면 반사율이 13.5nm의 노광광에 대하여 62%를 나타내었으며, 흡수막에서의 13.5nm의 노광광에 대한 반사율은 0.3%를 나타내었으며, 검사파장인 193nm에서 흡수막의 반사율이 20% 로서 우수한 품질의 EUV용 블랭크 마스크가 제조되었다. 또한 국부적인 로딩 효과를 저감하기 위해 하드마스크막에서의 평탄도를 Flatmaster 장비를 이용하여 측정한 결과 0.09 um의 TIR 값을 우수한 평탄도를 나타내었다. 이때 제조된 흡수막 및 하드마스크막에 대한 조성비를 분석하기 위한 Auger Electron Spectrometer(AES)를 이용하여 깊이방향에 따른 조성비를 분석하였다. 분석한 결과 흡수막의 TaN의 조성비는 탄탈륨(Ta):76at%, 질소(N):24at%의 조성비를 나타내었으며, 하드마스크막의 MoSiON의 조성비는 몰리브데늄(Mo):10at%, 실리콘(Si):54at%, 질소(N):21at%, 산소(O):15at%의 조성비를 나타내었다.Next, ruthenium (Ru) was deposited as a capping layer to a thickness of 7 nm on the reflective film at the same pressure. The silicon oxide film was then laminated with a buffer film. Thereafter, tantalum nitride (TaN) was deposited as an absorbing film to a thickness of 50 nm with argon (Ar) at 80 sccm, nitrogen (N2) at 20 sccm, power at 400 W and pressure at 1.2 x 10 -3 torr . Subsequently, molySilicide oxynitride (MoSiON) was deposited to a thickness of 10 nm on the absorber layer at a pressure of 78 sccm of argon and 20 sccm of oxygen and 2 sccm of oxygen using a MoSi target as a hard mask film. At this time, the reflectance of the reflective film was 62% for the exposed light of 13.5 nm, the reflectance for the exposed light of 13.5 nm was 0.3% at the absorbing film, and the reflectance of the absorbing film was 19 %, A high quality blank mask for EUV was produced. In order to reduce the local loading effect, the flatness of the hard mask film was measured using a flatmaster, and the TIR value of 0.09 μm was excellent. The composition ratio according to the depth direction was analyzed using an Auger Electron Spectrometer (AES) to analyze the composition ratio of the prepared absorption film and hard mask film. As a result, the composition ratio of TaN in the absorber layer was 76 atomic% and nitrogen atomic ratio was 24 atomic%, and the composition ratio of MoSiON in the hard mask layer was 10 atomic% of molybdenum (Mo) ): 54 at%, nitrogen (N): 21 at%, and oxygen (O): 15 at%.

이후 화학증폭형 레지스트인 FEP-171을 1500Å으로 도포한 후 소프트 베이거를 실시하고 50keV E-beam 묘화장치를 이용하여 Writing 및 현상을 실시한 후 SF6 및 O2 가스를 이용하여 하드마스크막을 건식 식각한 후 레지스트막을 제거하고, 하드마스크막을 식각마스크로 하여 흡수막을 Cl2 및 O2 가스를 이용하여 건식 식각 하였다. 이후 버퍼막을 식각하여 극자외선용 포토마스크를 제조하였다. 이 때 식각 패터닝을 FE-SEM으로 측정한 결과 Side Angle이 87도로서 수직한 패턴 형성과 식각 단면형상(LER)이 양호하였으며, 피델리티는 컨택홀 패턴의 특정비(측정된 CD 면적/설계한 면적)로 하였으며, 조밀 패턴 및 단독 패턴은 설계된 CD 와 패턴된 CD 차이를 가지고 평가하였다. Then, a chemical amplification type resist FEP-171 was applied in a thickness of 1500 Å, soft baking was performed, writing and development were performed using a 50 keV E-beam imaging apparatus, dry etching of the hard mask film using SF 6 and O 2 gas, The film was removed, and the absorption film was dry-etched using Cl2 and O2 gas using the hard mask film as an etching mask. Thereafter, the buffer film was etched to prepare a photomask for ultraviolet rays. In this case, FE-SEM measurement of the etching pattern showed that the side angle was 87 degrees and the vertical pattern and the LER were good. The Fidelity was the ratio of the contact hole pattern (measured CD area / designed area ), And dense patterns and single patterns were evaluated with the difference between the designed CD and the patterned CD.

다음은 비교를 위하여 하드마스크막이 없는 상태의 극자외선용 블랭크 마스크를 제조하였으며, 동일한 공정 과정을 통해 형성된 패턴을 FE-SEM을 통해 측정하였다. 측정결과 Side Angle이 86도로 수직한 패턴이 형성되었으며, 피델리티와 특정비를 동일하게 측정하였다.Next, for comparison, a blank mask for extreme ultraviolet rays in the state without a hard mask film was prepared, and patterns formed through the same process were measured through FE-SEM. As a result, the side angle of 86 degrees vertical pattern was formed, and the fidelity and the specific ratio were measured in the same manner.

평가항목Evaluation items Design CD - Pattern CD [nm]Design CD - Pattern CD [nm] 3232 4545 6060 하드마스크 有Has a hard mask FidelityFidelity 0.960.96 0.970.97 0.990.99 조밀패턴Dense pattern 1.01.0 0.60.6 0.40.4 단독패턴Sole pattern 0.60.6 0.30.3 0.10.1 하드마스크 無Without hard mask FidelityFidelity 0.850.85 0.890.89 0.930.93 조밀패턴Dense pattern 3.93.9 2.72.7 1.81.8 단독패턴Sole pattern 1.21.2 0.70.7 0.50.5

<표 1. 하드마스크에 따른 Fidelity, 조밀패턴 및 단독 패턴의 CD 차이 평가 결과><Table 1> CD Difference Results of Fidelity, Dense Pattern, and Individual Pattern According to Hard Mask>

(실시예 2)(Example 2)

실시예 2는 도 2를 참조하여 상기 실시예 1의 하드마스크를 증착한 실시예의 동일한 방법으로 형성된 극자외선 블랭크 마스크에 단지, 질소의 유량을 20sccm, 30sccm, 40sccm으로 증가시켜 형성된 3층으로 구성된 흡수막으로 변경하여 극자외선용 블랭크 마스크 제조 후 동일한 공정 과정을 통해 건식 식각을 실시하였다. 이후 FE-SEM을 통해 Side Angle을 측정한 결과 79도의 사다리꼴 패턴 형성이 이루어져 노광광의 입사각에 대하여 그림자 효과를 저감할 수 있음을 확인할 수 있었다.Example 2 is a three-layered absorption formed by increasing the flow rate of nitrogen to 20 sccm, 30 sccm, and 40 sccm in an extreme ultraviolet blank mask formed in the same manner as in the embodiment in which the hard mask of Example 1 was deposited, And dry etching was performed through the same process steps after manufacturing a blank mask for extreme ultraviolet rays. The side angle was measured through the FE-SEM. As a result, the trapezoidal pattern was formed at 79 degrees and the shadow effect could be reduced with respect to the incidence angle of the exposure light.

이 때 흡수막의 조성비를 AES 장비를 이용하여 질소의 조성비를 분석한 결과 하부층은 24at%, 중간층은 28at%, 상부층은 35at%으로 점점 질소의 조성비가 증가함을 알 수 있었다. 이러한 결과는 질소의 막내 함유율이 증가함에 따라 건식 식각 속도가 높아짐을 알 수 있다. 따라서, 건식 식각시 상부층의 식각 속도가 높아지고 하부층의 식각 속도가 낮아짐에 따라 패턴 형성이 사다리꼴의 형태로 나타남을 알 수 있다.As a result of analyzing composition ratio of nitrogen using AES equipment, it was found that the composition ratio of nitrogen gradually increased to 24at% for the lower layer, 28at% for the middle layer and 35at% for the upper layer. These results show that the dry etch rate increases as the nitrogen content increases. Therefore, it can be seen that the pattern formation becomes a trapezoidal shape as the etching rate of the upper layer becomes higher and the etching rate of the lower layer becomes lower in dry etching.

(비교예 1)(Comparative Example 1)

비교예 1은 도 3를 참조하여 상기 실시예 2와는 달리 흡수막의 형성을 질소의 유량을 40sccm, 30sccm, 20sccm으로 감소시켜 가면서 형성된 3층으로 구성된 흡수막으로 변경하여 제조된 극자외 블랭크 마스크를 동일한 공정 과정을 통해 건식 식각을 실시하였다. 이후 FE-SEM을 통해 Side Angle을 측정한 결과 89도의 수직한 패턴이 형성됨을 관측하였다. 동일하게 AES 장비를 이용하여 흡수막내의 질소의 조성비를 분석한 결과 하부층은 32at%, 중간층은 25at%, 상부층은 23at%의 조성비를 나타내어 건식 식각시 식각 속도가 깊이 방향으로 빨라질수록 수직한 패턴 형성이 이루어짐을 알 수 있다Comparative Example 1 was the same as Example 2 except that an extreme ultraviolet blank mask prepared by changing to an absorbing film composed of three layers formed while decreasing the flow rate of nitrogen to 40 sccm, 30 sccm and 20 sccm, Dry etching was performed through the process. The side angle was measured by FE-SEM, and it was observed that a vertical pattern of 89 degrees was formed. As a result of analyzing the composition ratio of nitrogen in the absorbing film by the same AES equipment, the composition ratio of the lower layer was 32 at%, the middle layer was 25 at% and the upper layer was 23 at%. Can be seen

(실시예 3)(Example 3)

상기 실시예 3은 실시예 1과 동일한 방법으로 형성된 흡수막 상부에 질소 분위기에서 퍼니스 장비를 이용하여 300도/15분간 열처리한 후 냉각 장치를 이용하여 23도까지 냉각한 후 흡수막에 대하여 반사율을 측정하였다. 이때 냉각에 소요된 시간은 10분이었으며, 144㎟ 영역의 49 Point에 대한 반사율 측정결과 노광광에 대한 평균 반사율은 0.19%, 균일도는 0.02%로 측정되었으며, 동일하게 검사파장인 193nm에서 평균 반사율이 17.4%, 균일도가 0.12%로 양호한 결과를 나타내었다. 이는 냉각 시 매질에 남겨있는 에너지 방출 속도를 증가시킴으로서 표면에 잔류하고 있는 에너지 분포의 균일도를 대기 냉각보다 우수하게 함을 알 수 있었다.In Example 3, the upper part of the absorptive film formed in the same manner as in Example 1 was heat-treated at 300 ° C. for 15 minutes using a furnace in a nitrogen atmosphere, and then cooled to 23 ° C. using a cooling device. Respectively. In this case, the cooling time was 10 minutes, and the reflectance was measured at 49 points in the area of 144 mm 2. The average reflectance was 0.19% and the uniformity was 0.02% for the exposure light, and the average reflectance was 193 nm 17.4%, and the uniformity was 0.12%. It was found that the uniformity of the energy distribution on the surface was better than the atmospheric cooling by increasing the energy release rate in the medium during cooling.

(비교예 2)(Comparative Example 2)

상기 실시예 3의 비교를 위해 동일한 공정으로 형성된 흡수막을 질소 분위기에서 퍼니스 장비를 이용하여 열처리를 실시예 3과 동일하게 실시하였다. 이후 냉각을 대기중에서 실시하여 23도까지 냉각하는데 43분의 소요시간이 걸렸다. 이 후 상온상태의 흡수막에 대하여 노광광에서의 반사율을 측정한 결과 49 Point에 대한 평균 반사율은 0.17%, 균일도 0.05%로 측정되었으며, 검사파장에서의 반사율은 평균 반사율이 17.2% 균일도가 0.32%로 측정되었다. 이러한 결과는 실시예 3과 비교시 균일도가 떨어짐을 알 수 있는데, 그 이유는 매질내의 에너지가 오래 지속될수 록 에너지에 의한 막내 균일도가 저하됨을 알 수 있다. 따라서, 냉각장치를 이용하여 냉각속도를 저감함으로서 막내 에너지 방출의 시간을 저감하고, 이에 따라 균일도 차이가 발생함을 알 수 있다.For the comparison of Example 3, the absorbent film formed in the same process was subjected to heat treatment in the furnace equipment in a nitrogen atmosphere in the same manner as in Example 3. The cooling was then carried out in the atmosphere and it took 43 minutes to cool down to 23 degrees. As a result, the average reflectance was found to be 0.17% and the uniformity was 0.05%. The average reflectance was 17.2% and the average reflectance was 0.32% Respectively. These results show that the uniformity is lowered in comparison with Example 3 because the energy uniformity of the film is lowered as the energy in the medium is prolonged. Thus, it can be seen that by reducing the cooling rate by using the cooling device, the time for energy release in the film is reduced, thereby resulting in a difference in uniformity.

<도면의 주요부분에 대한 간단한 설명>BRIEF DESCRIPTION OF THE DRAWINGS FIG.

100 : 본 발명에 따른 극자외선용 블랭크 마스크100: Blank mask for extreme ultraviolet ray according to the present invention

200 : 본 발명에 따른 극자외선용 포토마스크 200: Extreme ultraviolet ray photomask according to the present invention

10 : LTEM 투명기판10: LTEM transparent substrate

20 : 반사막20:

30 : 캡핑막30: Capping film

40 : 버퍼막40: buffer membrane

50 : 흡수막50: absorbing membrane

60 : 하드마스크막60: Hard mask film

70 : 레지스트막70: resist film

Claims (22)

LTEM 기판;LTEM substrate; 상기 LTEM 기판 위에 형성되는 다층의 반사막;A multilayer reflective film formed on the LTEM substrate; 상기 다층의 반사막 상부에 형성되는 흡수막;An absorbing film formed on the reflective film of the multi-layered structure; 상기 흡수막 위에 형성되는 하드마스크막; 및A hard mask film formed on the absorber film; And 상기 하드마스크막 위에 형성되는 레지스트;를 포함하며,And a resist formed on the hard mask film, 상기 흡수막은 사다리꼴 형상을 갖기 위하여 깊이 방향으로 동일하거나 상부로부터 하부로 갈수록 느린 식각 속도를 갖는 극자외선용 블랭크 마스크.The absorber film has the same etching depth in the depth direction to have a trapezoidal shape or a lower etch rate from the upper part to the lower part. 제 1항에 있어서,The method according to claim 1, 상기 LTEM 기판의 후면에 구비된 도전막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크. Further comprising a conductive film provided on a rear surface of the LTEM substrate. 제 1항에 있어서, The method according to claim 1, 상기 반사막 및 흡수막 사이에 구비된 캡핑막 및 버퍼막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크. Further comprising a capping layer and a buffer layer provided between the reflection layer and the absorption layer. 제 3항에 있어서,The method of claim 3, 상기 흡수막과 버퍼막 사이에 구비된 위상반전막을 더 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크. And a phase reversal film provided between the absorption layer and the buffer layer. 제 1항, 제 3항, 제4항 중 어느 한 항에 있어서,The method according to any one of claims 1, 3, and 4, 상기 흡수막은 탄탈륨(Ta), 티탄늄(Ti), 실리콘(Si), 몰리브데늄(Mo), 텅스텐(W), 크롬(Cr), 루테늄(Ru), 니오븀(Nb), 하프늄(Hf)의 1종 이상의 금속과 산소(O), 질소(N), 탄소(C), 수소(H), 붕소(B)를 1종 이상 포함하는 것을 특징으로 하는 극자외선용 블랭크 마스크. The absorbing layer may be formed of tantalum, titanium, silicon, molybdenum, tungsten, chromium, ruthenium, niobium, hafnium, And at least one of oxygen (O), nitrogen (N), carbon (C), hydrogen (H) and boron (B). 제 1항, 제 3항, 제4항 중 어느 한 항에 있어서,The method according to any one of claims 1, 3, and 4, 상기 흡수막의 13.5nm의 노광광에 대하여 반사율이 5% 이하이며,금속 성분의 함유량은 20at% ∼ 100at%이며, 비금속 성분의 함유량은 20at% ∼ 50at%인 것을 특징으로 하는 극자외선용 블랭크 마스크. Wherein the reflective film has a reflectance of 5% or less with respect to exposure light of 13.5 nm of the absorbing film, the content of the metal component is 20 to 100 at%, and the content of the nonmetal component is 20 to 50 at%. 제 1항, 제 3항, 제4항 중 어느 한 항에 있어서,The method according to any one of claims 1, 3, and 4, 상기 흡수막은 193nm, 248nm, 257nm의 검사파장에 대하여 반사율을 5% ∼ 20%로 제어하기 위해 표면처리되며, 상기 표면 처리는 핫 플레이트, 퍼니스(Furance), 급속 열처리 장치(Rapid Thermal Process), 플라즈마(Plasma) 중 하나의 방법을 이용하여 수행된 것을 특징으로 극자외선용 블랭크 마스크. The absorption layer is surface-treated to control the reflectance to 5% to 20% with respect to the inspection wavelengths of 193 nm, 248 nm and 257 nm, and the surface treatment is performed using a hot plate, a furnace, a rapid thermal process, (Plasma). &Lt; RTI ID = 0.0 &gt; 21. &lt; / RTI &gt; 제 7항에 있어서,8. The method of claim 7, 상기 흡수막의 표면처리는 진공 및 질소 및 산소 중 하나의 분위기에서 수행된 것을 특징으로 하는 극자외선용 블랭크 마스크. Characterized in that the surface treatment of the absorbent film is performed in an atmosphere of vacuum and one of nitrogen and oxygen. 제 7항에 있어서,8. The method of claim 7, 상기 흡수막은 상기 표면 처리 후 검사파장에서의 표면 반사율 균일도를 향상시키기 위해 냉각 처리된 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the absorbing film is subjected to a cooling treatment to improve the surface reflectance uniformity at the inspection wavelength after the surface treatment. 제 1항, 제 3항, 제4항 중 어느 한 항에 있어서,The method according to any one of claims 1, 3, and 4, 상기 흡수막은 로딩효과를 저감하기 위해 0.3㎛ 이하의 평탄도를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the absorbent film has a flatness of 0.3 占 퐉 or less in order to reduce a loading effect. 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막는 루테늄(Ru), 보론(B), 리튬(Li), 베릴륨(Be), 탄탈륨(Ta), 코발트(Co), 텅스텐(W), 바나듐(V), 팔라듐(Pd), 티타늄(Ti), 니오븀(Nb), 하프늄(Hf), 플래티늄(Pt), 몰리브데늄(Mo), 로듐(Rh), 니켈(Ni), 지르코늄(Zr), 실리콘(Si), 크롬(Cr), 셀렌(Se), 이트륨(Y)으로 이루어진 군으로부터 선택되는 1종 이상의 금속으로 이루어지거나 상기 금속에 질소(N), 산소(O), 탄소(C), 불소(F), 염소(Cl) 중 하나 이상의 물질을 더 포함하여 이루어진 것을 특징으로 극자외선용 블랭크 마스크.The hard mask layer may be formed of at least one selected from the group consisting of Ru, Boron, Li, Ber, Ta, Co, W, V, (Ti), niobium (Nb), hafnium (Hf), platinum (Pt), molybdenum (Mo), rhodium (Rh), nickel (Ni), zirconium (Zr) (N), oxygen (O), carbon (C), fluorine (F), chlorine (Cl), or a mixture of at least one metal selected from the group consisting of cerium, &Lt; / RTI &gt; further comprising one or more of the following materials. 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막은 1층 및 또는 2층 이상의 막으로 구성된 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the hard mask film is composed of one or more layers of two or more layers. 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막은 상기 흡수막과 건식 식각 물질에 대하여 3 ∼ 50의 식각 선택비를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the hard mask film has an etch selectivity of between 3 and 50 for the absorbent film and the dry etch material. 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막은 2nm ∼ 50nm의 두께를 갖는 것을 특징으로 하는 극자외선용 블랭크 마스크. Wherein the hard mask film has a thickness of 2 nm to 50 nm. 제 1항에 있어서,The method according to claim 1, 상기 하드마스크의 면저항은 5Ω ∼ 100kΩ/□이며, 상기 하드마스크막의 면저항은 상기 흡수막의 면저항에 대비하여 같거나 낮은 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the sheet resistance of the hard mask is 5? To 100 k? / ?, and the sheet resistance of the hard mask film is equal to or lower than the sheet resistance of the absorbing film. 삭제delete 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막을 구성하는 원소 중에서 금속 원소의 함유량은 30at% ∼ 90at%이며, 비금속 원소의 함유량은 10at% ∼ 70at%인 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the content of the metallic element in the element constituting the hard mask film is 30 at% to 90 at%, and the content of the non-metallic element is in the range of 10 at% to 70 at%. 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막은 선택적으로 표면처리되며, 상기 하드마스크막이 질소(N)를 포함되는 경우, 상기 하드마스크막은 필수적으로 표면처리된 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the hard mask film is selectively surface-treated, and when the hard mask film contains nitrogen (N), the hard mask film is essentially surface-treated. 삭제delete 제 1항에 있어서,The method according to claim 1, 상기 하드마스크막의 암모니아 (NH4+)를 포함한 염기성 불순물 이온이 1ppmv 이하로 포함된 것을 특징으로 하는 극자외선용 블랭크 마스크. Wherein the hard mask film contains 1 ppmv or less of basic impurity ions including ammonia (NH 4+ ). 제 4항에 있어서,5. The method of claim 4, 상기 위상반전막은 단층 또는 다층으로 형성되고, 상기 위상반전막에 의해 상기 흡수막에서의 반사광 광도가 0.01% ∼ 30%, 위상반전이 상기 반사막과 180도 반전되는 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the phase reversal film is formed as a single layer or a multilayer and the phase inversion is reversed by 180 degrees with respect to the reflective film by 0.01 to 30% . 제 1항, 제 3항, 제4항 중 어느 한 항에 있어서,The method according to any one of claims 1, 3, and 4, 상기 흡수막이 2층 이상으로 구성되는 경우, 상기 흡수막은 상부층에 대비하여 하부층의 박막 밀도가 높은 것을 특징으로 하는 극자외선용 블랭크 마스크.Wherein the absorption layer has a higher density of the lower layer than the upper layer when the absorption layer is composed of two or more layers.
KR20080094994A 2008-09-26 2008-09-26 Blank mask for euv and photomask manufactured thereof KR101485754B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR20080094994A KR101485754B1 (en) 2008-09-26 2008-09-26 Blank mask for euv and photomask manufactured thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20080094994A KR101485754B1 (en) 2008-09-26 2008-09-26 Blank mask for euv and photomask manufactured thereof

Publications (2)

Publication Number Publication Date
KR20100035559A KR20100035559A (en) 2010-04-05
KR101485754B1 true KR101485754B1 (en) 2015-01-26

Family

ID=42213421

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20080094994A KR101485754B1 (en) 2008-09-26 2008-09-26 Blank mask for euv and photomask manufactured thereof

Country Status (1)

Country Link
KR (1) KR101485754B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10290509B2 (en) 2016-07-27 2019-05-14 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor devices using a multilayer lithography process
US20220214608A1 (en) * 2019-03-18 2022-07-07 Hoya Corporation Mask blank, method for manufacturing transfer mask, and method for manufacturing semiconductor device

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
WO2014159427A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
KR102109129B1 (en) 2013-07-02 2020-05-08 삼성전자주식회사 Reflective photomask blank and reflective photomask
KR102171266B1 (en) * 2013-08-01 2020-10-28 삼성전자 주식회사 Reflective photomask blank, reflective photomask and integrated circuit device manufactured by using photomask
KR101615890B1 (en) * 2014-03-07 2016-04-27 주식회사 에스앤에스텍 Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR102221906B1 (en) * 2014-04-24 2021-03-02 에스케이하이닉스 주식회사 Surface modification of phase shift mask and cleaning method using thereof
US9964850B2 (en) 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern
KR101579852B1 (en) * 2015-03-25 2015-12-23 주식회사 에스앤에스텍 Blankmask for extreme ultra-violet lithography and photomask using the same
JP7193344B2 (en) * 2016-10-21 2022-12-20 Hoya株式会社 Reflective mask blank, method for manufacturing reflective mask, and method for manufacturing semiconductor device
WO2021060253A1 (en) * 2019-09-26 2021-04-01 Hoya株式会社 Substrate having multilayer reflective film, reflective mask blank, reflective mask, and method for producing semiconductor device
KR102511751B1 (en) * 2019-11-05 2023-03-21 주식회사 에스앤에스텍 Blankmask and Photomask for Extreme Ultra-Violet Lithography
KR102444967B1 (en) * 2021-04-29 2022-09-16 에스케이씨솔믹스 주식회사 Blank mask and photomask using the same
KR102465982B1 (en) * 2021-07-13 2022-11-09 에스케이씨솔믹스 주식회사 Blank mask and photomask using the same
KR102503790B1 (en) * 2021-10-07 2023-02-23 에스케이엔펄스 주식회사 Blank mask and photomask using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010004043A (en) * 1999-06-28 2001-01-15 김영환 Method of manufacturing reflective mask for EUV exposure apparatus
KR100604938B1 (en) * 2005-05-27 2006-07-28 삼성전자주식회사 Reflection mask for euvl lithography, fabricating method of the same
KR20070060529A (en) * 2005-12-08 2007-06-13 주식회사 에스앤에스텍 Blank mask with antireflective film and manufacturing method thereof and photomask using the same
KR20080001023A (en) * 2006-06-29 2008-01-03 주식회사 에스앤에스텍 Reflective type euv blank mask and photomask and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010004043A (en) * 1999-06-28 2001-01-15 김영환 Method of manufacturing reflective mask for EUV exposure apparatus
KR100604938B1 (en) * 2005-05-27 2006-07-28 삼성전자주식회사 Reflection mask for euvl lithography, fabricating method of the same
KR20070060529A (en) * 2005-12-08 2007-06-13 주식회사 에스앤에스텍 Blank mask with antireflective film and manufacturing method thereof and photomask using the same
KR20080001023A (en) * 2006-06-29 2008-01-03 주식회사 에스앤에스텍 Reflective type euv blank mask and photomask and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10290509B2 (en) 2016-07-27 2019-05-14 Samsung Electronics Co., Ltd. Methods for fabricating semiconductor devices using a multilayer lithography process
US20220214608A1 (en) * 2019-03-18 2022-07-07 Hoya Corporation Mask blank, method for manufacturing transfer mask, and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20100035559A (en) 2010-04-05

Similar Documents

Publication Publication Date Title
KR101485754B1 (en) Blank mask for euv and photomask manufactured thereof
KR101567057B1 (en) Blankmask for Extreme Ultra-Violet Lithography and Photomask using the same
KR101625382B1 (en) Reflective Type EUV Blankmask, Photomask and Its Manufacturing Method
US9207529B2 (en) Reflective mask blank for EUV lithography, and process for its production
JP5348140B2 (en) Reflective mask blank for EUV lithography
US11789357B2 (en) Method of manufacturing reflective mask blank, and reflective mask blank
KR101172698B1 (en) Blankmask, photomask and method of manufacturing the same
US6908714B2 (en) Absorber layer for EUV
KR100948770B1 (en) Blankmask, Photomask and it&#39;s Manufacturing Method
KR100906026B1 (en) Reflective photomask blank, reflective photomask, and method for manufacturing semiconductor device using same
WO2011108470A1 (en) Reflection-type mask blank for euv lithography and method for producing the same
JP5348141B2 (en) Reflective mask blank for EUV lithography
JP5211824B2 (en) Method for manufacturing a reflective mask blank for EUV lithography
KR20130034624A (en) Mask blank, transfer mask, manufacturing method of transfer mask, and manufacturing method of semiconductor device
TWI784139B (en) Mask substrate, phase transfer mask and manufacturing method of semiconductor device
JP5187060B2 (en) Method of manufacturing a reflective mask for EUV lithography
JP2008116583A (en) Method of manufacturing mask blank and method of manufacturing photomask, and method of manufacturing reflective mask
US11467485B2 (en) Blankmask and photomask for extreme ultraviolet lithography
JP2002319542A (en) Reflective mask blank for euv exposure, reflective mask for euv exposure, and manufacturing method thereof
JP3806711B2 (en) REFLECTIVE MASK BLANK, REFLECTIVE MASK MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
CN112740105A (en) Mask blank, transfer mask, and method for manufacturing semiconductor device
JP2012159855A (en) Mask blank manufacturing method and mask manufacturing method
US20210318607A1 (en) Reflective mask blank, method of manufacturing thereof, and reflective mask
KR101567058B1 (en) Phase shift blank mask and Photomask
KR20120057488A (en) Method for manufacturing photomask blank and photomask blank and photomask

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200120

Year of fee payment: 6