KR101409808B1 - Substrate treatment system - Google Patents

Substrate treatment system Download PDF

Info

Publication number
KR101409808B1
KR101409808B1 KR1020100099389A KR20100099389A KR101409808B1 KR 101409808 B1 KR101409808 B1 KR 101409808B1 KR 1020100099389 A KR1020100099389 A KR 1020100099389A KR 20100099389 A KR20100099389 A KR 20100099389A KR 101409808 B1 KR101409808 B1 KR 101409808B1
Authority
KR
South Korea
Prior art keywords
chamber
main
substrate
chambers
processing system
Prior art date
Application number
KR1020100099389A
Other languages
Korean (ko)
Other versions
KR20120037744A (en
Inventor
이상용
Original Assignee
주식회사 프로트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 프로트 filed Critical 주식회사 프로트
Priority to KR1020100099389A priority Critical patent/KR101409808B1/en
Publication of KR20120037744A publication Critical patent/KR20120037744A/en
Application granted granted Critical
Publication of KR101409808B1 publication Critical patent/KR101409808B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K3/00Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing
    • F16K3/02Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor
    • F16K3/16Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together
    • F16K3/18Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members
    • F16K3/188Gate valves or sliding valves, i.e. cut-off apparatus with closing members having a sliding movement along the seat for opening and closing with flat sealing faces; Packings therefor with special arrangements for separating the sealing faces or for pressing them together by movement of the closure members by means of hydraulic forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 기판처리시스템에 관한 것으로서, 보다 상세하게는 메인챔버 외측에 서로 다른 공정을 수행하는 복수의 공정챔버를 배치하고, 상기 메인챔버의 내부에서 기판을 회전에 의한 스탭운동으로 공정 순서에 따라 각 공정챔버에 순차적으로 공급하기 때문에 공간활용을 극대화할 수 있는 기판처리시스템에 관한 것이다.
본 발명에 의한 기판처리시스템은 로딩챔버를 통해서 기판이 반입되며 측벽에 복수의 개구부가 형성된 메인챔버; 상기 메인챔버의 개구부 외측에 구비되며, 상기 메인 챔버로부터 반입된 기판이 처리되는 복수의 공정챔버; 일측에 개구부가 형성되고, 상기 메인챔버의 내부에 구비되어 회전에 의해 스탭운동하면서 상기 로딩챔버를 통해서 메인챔버로 이송된 기판을 상기 공정챔버에 공급하는 반송챔버; 및 회전테이블로 이루어져서 상기 회전테이블의 상면에 장착된 상기 반송챔버를 회전시키는 회전수단;을 포함한다.
The present invention relates to a substrate processing system, and more particularly, to a substrate processing system in which a plurality of process chambers for performing different processes are disposed outside a main chamber, And more particularly, to a substrate processing system capable of maximizing space utilization because it is sequentially supplied to each process chamber.
The substrate processing system according to the present invention includes: a main chamber having a substrate loaded through a loading chamber and having a plurality of openings formed in a side wall thereof; A plurality of process chambers provided outside the openings of the main chambers and in which the substrates transferred from the main chambers are processed; A transfer chamber provided inside the main chamber for supplying a substrate transferred to the main chamber through the loading chamber while being moved in a stepping motion by rotation to the process chamber; And rotating means for rotating the transfer chamber mounted on the upper surface of the rotating table, the rotating means comprising:

Description

기판처리시스템{SUBSTRATE TREATMENT SYSTEM}[0001] SUBSTRATE TREATMENT SYSTEM [0002]

본 발명은 기판처리시스템에 관한 것으로서, 보다 상세하게는 메인챔버 외측에 서로 다른 공정을 수행하는 복수의 공정챔버를 배치하고, 상기 메인챔버의 내부에서 기판을 회전에 의한 스탭운동으로 공정 순서에 따라 각 공정챔버에 순차적으로 공급하기 때문에 공간활용을 극대화할 수 있는 기판처리시스템에 관한 것이다. The present invention relates to a substrate processing system, and more particularly, to a substrate processing system in which a plurality of process chambers for performing different processes are disposed outside a main chamber, And more particularly, to a substrate processing system capable of maximizing space utilization because it is sequentially supplied to each process chamber.

일반적으로, 반도체 공정이나 평판표시소자 또는 태양전지를 제조하는 과정에서는 웨이퍼 또는 유리기판(이하, “기판”이라 한다)에 소정의 처리를 하는데, 이러한 공정에 요구되는 기판처리시스템이 요구된다. Generally, in a process of manufacturing a semiconductor process, a flat panel display device, or a solar cell, a predetermined process is performed on a wafer or a glass substrate (hereinafter referred to as " substrate ").

예를 들어 유기발광소자는 기판상에 양극(anode), 정공 주입층(hole injection layer), 정공 운송층(hole transfer layer), 발광층(emitting layer), 전자 운송층(eletron transfer layer), 전자 주입층(eletron injection layer), 음극(cathode)이 순서대로 적층되어 형성된다. 여기에서 양극으로는 면저항이 작고 투과성이 좋은 ITO(Indium Tin Oxide)이 주로 사용된다. 그리고 유기 박막은 발광 효율을 높이기 위하여 정공 주입층, 정공 운송층, 발광층, 전자 운송층, 전자 주입층의 다층으로 구성되며, 발광층으로 사용되는 유기물질은 Alq3, TPD, PBD, m-MTDATA, TCTA 등이다. 또한, 음극으로는 LiF-Al 금속막이 사용된다. For example, an organic light emitting device includes an anode, a hole injection layer, a hole transfer layer, an emitting layer, an electron transport layer, An eletron injection layer, and a cathode are stacked in this order. Here, ITO (Indium Tin Oxide), which has small sheet resistance and good transparency, is mainly used as an anode. The organic thin film is composed of a multilayer of a hole injection layer, a hole transporting layer, a light emitting layer, an electron transporting layer, and an electron injecting layer, and the organic materials used for the light emitting layer are Alq 3 , TPD, PBD, m-MTDATA, And TCTA. As the cathode, a LiF-Al metal film is used.

이와 같이 유기발광소자를 제조하기 위하여 종래에는 인라인(In-line)방식의 기판처리시스템이 개시되었다. 인라인방식의 기판처리시스템은 기판을 로딩하여 상기 각 층에 해당하는 박막을 형성하는 공정챔버를 공정순서에 따라 1열로 배치하여 구성된다. 이와 같이 구성된 종래의 인라인방식의 기판처리시스템은 장비가 차지하는 공간이 길고, 그에 따라 기판을 이송하는 영역이 커져 공정택타임이 길다는 문제점이 있다. Conventionally, an in-line substrate processing system has been disclosed for manufacturing an organic light emitting device. The in-line type substrate processing system is constituted by arranging process chambers for loading a substrate and forming a thin film corresponding to each layer in one line according to a process sequence. The conventional in-line type substrate processing system configured in this manner has a problem that the space occupied by the equipment is long and the area for transferring the substrate is large, and the time required for the treatment is long.

또한, 이러한 문제점을 해결하기 위하여 내부에 반송로봇이 구비된 트랜스퍼챔버와, 상기 트랜스퍼챔버의 주위에 로드락챔버와 복수의 공정챔버를 구비하여 구성된 클러스터타입의 기판처리시스템이 개시되었다. In order to solve these problems, a cluster type substrate processing system having a transfer chamber provided with a transfer robot inside thereof, and a load lock chamber and a plurality of process chambers around the transfer chamber has been disclosed.

그러나 클러스터타입의 기판처리시스템의 경우, 반송로봇이 고가이고, 그의 제어가 어려울 뿐 아니라 반송과정에서 기판이 손상되는 등의 문제점이 있었다. However, in the case of the cluster type substrate processing system, there is a problem that the transportation robot is expensive, its control is difficult, and the substrate is damaged in the transportation process.

본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로서, 본 발명의 목적은 메인챔버 외측에 서로 다른 공정을 수행하는 복수의 공정챔버를 배치하고, 상기 메인챔버의 내부에서 기판을 회전에 의한 스탭운동으로 공정 순서에 따라 각 공정챔버에 순차적으로 공급하기 때문에 공간활용을 극대화할 수 있는 기판처리시스템을 제공함에 있다. SUMMARY OF THE INVENTION The present invention has been made in order to solve the above-mentioned problems, and it is an object of the present invention to provide a plasma processing apparatus and a plasma processing method in which a plurality of process chambers for performing different processes are disposed outside a main chamber, In order to maximize the utilization of the space because it is sequentially supplied to the respective process chambers in accordance with the process order.

위와 같은 기술적 과제를 해결하기 위하여 본 발명에 의한 기판처리시스템은 측벽에 복수의 개구부가 형성된 메인챔버; 상기 메인챔버의 개구부 외측에 구비된 복수의 공정챔버; 및 일측에 개구부가 형성되고, 상기 메인챔버의 내부에 구비되어 회전에 의해 스탭운동하면서 상기 공정챔버에 기판을 공급하는 반송챔버;를 포함한다. According to an aspect of the present invention, there is provided a substrate processing system comprising: a main chamber having a plurality of openings formed in a side wall thereof; A plurality of process chambers provided outside the openings of the main chamber; And a transfer chamber provided inside the main chamber for supplying the substrate to the process chamber while moving in a stepping motion by rotation.

또한 상기 메인챔버는 평면형상이 원형 또는 다각형인 것이 바람직하다. It is preferable that the main chamber has a circular or polygonal planar shape.

또한 상기 메인챔버와 공정챔버 사이에는 상기 개구부를 개폐하는 게이트밸브가 더 구비되는 것이 바람직하다. And a gate valve for opening and closing the opening is further provided between the main chamber and the process chamber.

또한 상기 반송챔버를 회전시키는 회전수단이 더 구비되는 것이 바람직하다. It is also preferable that a rotating means for rotating the transfer chamber is further provided.

또한 상기 회전수단은 상면에 상기 반송챔버가 장착된 회전테이블인 것이 바람직하다. Preferably, the rotating means is a rotary table on which the transfer chamber is mounted.

또한 상기 메인챔버와 반송챔버의 개구부를 기류적으로 연통시키는 실링수단이 더 구비되는 것이 바람직하다. It is further preferable that a sealing means for air-communicating the main chamber with the opening of the transfer chamber is further provided.

또한 상기 실링수단은 밸로우즈인 것이 바람직하다. The sealing means is preferably a bellows.

또한 상기 기판을 고정한 상태에서 상기 반송챔버와 공정챔버 사이로 왕복운동하는 기판홀더가 더 구비되는 것이 바람직하다. And a substrate holder for reciprocating between the transfer chamber and the process chamber in a state where the substrate is fixed.

또한 상기 기판홀더는 상기 기판을 기립하여 고정하는 것이 바람직하다. It is also preferable that the substrate holder stands up and fixes the substrate.

또한 상기 기판홀더는 양면에 각각 기판을 고정시키는 것이 바람직하다. Further, it is preferable that the substrate holder fixes the substrates on both surfaces thereof.

본 발명에 따르면, 메인챔버 외측에 서로 다른 공정을 수행하는 복수의 공정챔버를 배치하고, 상기 메인챔버의 내부에서 기판을 회전에 의한 스탭운동으로 공정 순서에 따라 각 공정챔버에 순차적으로 공급하기 때문에 공간활용을 극대화할 수 있는 효과가 있다. According to the present invention, a plurality of process chambers for performing different processes are disposed outside the main chamber, and the substrates are sequentially supplied to the respective process chambers in accordance with the process sequence in the step movement by rotation within the main chamber It is possible to maximize the space utilization.

또한 기판의 이동경로가 단축되기 때문에 공정 택타임을 단축시킬 수 있는 효과도 있다. In addition, since the movement path of the substrate is shortened, the process time can be shortened.

또한 실질적으로 클러스터타입의 기판처리시스템의 장점을 가지면서도 고가의 반송로봇이 불필요하고, 정밀한 제어가 가능하다. In addition, an expensive conveying robot is unnecessary and has precise control while having the advantages of a substantially cluster-type substrate processing system.

도 1 및 도 2는 본 발명에 의한 실시예의 구성을 나타낸 것이다.
도 3 내지 도 7은 도 1에 도시된 실시예의 작동상태를 나타낸 것이다.
Fig. 1 and Fig. 2 show the configuration of an embodiment according to the present invention.
3 to 7 show the operating states of the embodiment shown in Fig.

이하, 첨부된 도면을 참조하여 본 발명에 의한 실시예의 구성 및 작동상태를 설명한다. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, configurations and operating states of embodiments of the present invention will be described with reference to the accompanying drawings.

도 1 및 도 2를 참조하면, 본 발명에 의한 실시예(1)는 로딩/언로딩챔버(20)와, 메인챔버(10)와, 복수의 공정챔버(30)와, 반송챔버(50)와, 회전테이블(40)을 포함한다. 1 and 2, an embodiment 1 according to the present invention includes a loading / unloading chamber 20, a main chamber 10, a plurality of process chambers 30, a transfer chamber 50, And a rotary table (40).

상기 로딩/언로딩챔버(20)는 기판을 메인챔버(10)내로 반입하기 위한 구성요소로서, 대기와 진공분위기 조성이 가능하도록 진공펌프가 구비된다. 상기 로딩/언로딩챔버(20)와 메인챔버(10) 사이에는 게이트밸브(G)가 구비된다. The loading / unloading chamber 20 is a component for bringing the substrate into the main chamber 10, and is equipped with a vacuum pump so as to be capable of forming atmospheric air and a vacuum atmosphere. A gate valve (G) is provided between the loading / unloading chamber (20) and the main chamber (10).

상기 메인챔버(10)는 평면형상이 원형 또는 다각형으로 이루어진 챔버로서, 진공도 조성을 위한 진공펌프가 연결되어 있다. 또한 상기 메인챔버(10)는 측벽에 기판의 출입을 위한 복수의 개구부(11)가 형성되어 있다. 본 실시예에서 기판(S1,S2)은 기판홀더(100)의 양측면에 수직 또는 수직으로부터 소정각도 경사진 상태로 기립되어 고정, 반송되고 공정이 수행된다. The main chamber 10 is a chamber having a circular or polygonal planar shape and connected to a vacuum pump for forming a vacuum degree. In addition, the main chamber 10 has a plurality of openings 11 formed in the side wall for the entrance and exit of the substrate. In this embodiment, the substrates S1 and S2 stand upright and are transported and processed on both sides of the substrate holder 100 in a state of being inclined at a predetermined angle from the vertical or vertical direction.

상기 공정챔버(30)는 메인챔버(10)의 개구부(11) 외측에 고정설치되고, 본 실시예에서 상기 공정챔버(30)는 기판의 출입을 위한 개구부(31)가 형성되고 양측에 건(32,33)이 구비된 스퍼터이다. The process chamber 30 is fixed to the outside of the opening 11 of the main chamber 10. In the present embodiment, the process chamber 30 is provided with an opening 31 for entering and exiting the substrate, 32, and 33).

또한 상기 공정챔버(30)와 메인챔버(10) 사이에는 게이트밸브(G)가 구비된다. 상기 게이트밸브(G)는 유지보수시 각 공정챔버(30)를 별도로 개방하기 위한 것이다. 따라서 유지보수가 아닌 공정 중에는 게이트밸브(G)는 개방되어 있다. A gate valve G is provided between the process chamber 30 and the main chamber 10. The gate valve G is for separately opening each process chamber 30 during maintenance. Therefore, the gate valve (G) is open during maintenance, not maintenance.

또한 상기 반송챔버(50)는 일측벽에 개구부가 형성되고 메인챔버(10)의 내부에 구비된다. 본 실시예에서는 반송챔버가 한개 구비되지만, 이와 달리 적절한 수로 구성할 수 있다. 또한 상기 반송챔버(50)는 회전테이블(40)상에 구비되기 때문에 회전운동이 가능하다. 구체적으로는 반송챔버(50)는 각 공정챔버(30)와 일직선상에 위치하도록 스텝운동한다. Further, the transfer chamber 50 has an opening formed in one side wall thereof and is provided inside the main chamber 10. In this embodiment, one conveyance chamber is provided, but the conveyance chamber may be formed in an appropriate number. Further, since the transfer chamber 50 is provided on the rotary table 40, rotation of the transfer chamber 50 is possible. Specifically, the transfer chamber 50 is stepped so as to be in line with each of the process chambers 30.

상기 반송챔버(50)와 공정챔버(30)를 기류적으로 연통시키는 실링수단이 구비된다. 본 실시예에서 상기 실링수단은 메인챔버의 개구부를 둘러싸는 신축가능한 밸로우즈(B)로 구성된다. 즉, 반송챔버(50)의 회전에 의한 이동시에는 간섭되지 않도록 상기 밸로우즈는 수축되고, 반송챔버(50)의 스탭운동을 끝내고 공정시에는 상기 밸로우즈를 반송챔버방향으로 신장시켜 메인챔버의 개구부와 반송챔버의 개구부를 기류적으로 연통시키는 것이다. And sealing means for air-communicating the transfer chamber (50) and the process chamber (30). In this embodiment, the sealing means is composed of a stretchable bellows (B) surrounding the opening of the main chamber. That is, the bellows is contracted so as not to interfere with the movement of the transfer chamber 50 by rotation, and the bellows is extended in the direction of the transfer chamber to finish the step movement of the transfer chamber 50, And the opening of the transfer chamber.

이와 같이 반송챔버(50)와 공정챔버(30)가 기류적으로 연통된 상태에서 양측에 구비된 한 쌍의 스퍼터건(32,33)을 이용하여 한 쌍의 기판(S1,S2)에 각각 소정의 박막을 형성할 수 있는 것이다. 또한 상기 기판은 공정챔버(30)와 반송챔버(50) 사이로 스캐닝하면서 박막을 형성할 수도 있고, 이와 달리 기판을 공정챔버 내에 고정된 상태에서 공정수행하는 것도 가능하다. 또는 공정챔버 내에 고정된 상태에서 건이 스캐닝하면서 박막을 형성할 수도 있다. In this way, the pair of substrates S1 and S2 are provided with a predetermined number of sputtering guns 32 and 33 using a pair of sputter guns 32 and 33 provided on both sides in a state in which the transfer chamber 50 and the process chamber 30 are air- Can be formed. The substrate may be scanned between the process chamber 30 and the transfer chamber 50 to form a thin film, or alternatively, the substrate may be processed in a fixed state within the process chamber. Alternatively, the film may be formed while the gun is scanning in a fixed state within the process chamber.

도 3 내지 도 7을 참조하여 본 실시예의 작동상태를 설명한다. The operating state of this embodiment will be described with reference to Figs. 3 to 7. Fig.

먼저, 기판홀더(100)의 양측면에 각각 한 쌍의 기판(S1,S2)을 고정한 상태에서 로딩/언로딩챔버(20)로 반입한다(도 3 참조). First, a pair of substrates S1 and S2 are fixed to both sides of the substrate holder 100, and the substrates are transferred into the loading / unloading chamber 20 (see FIG. 3).

다음으로, 상기 로딩/언로딩챔버(20)에 있는 기판홀더(100)를 수평이동하여 반송챔버(50)로 반입한다(도 4 참조). Next, the substrate holder 100 in the loading / unloading chamber 20 is horizontally moved to the transfer chamber 50 (see FIG. 4).

다음으로, 회전테이블(40)을 회전하여 상기 반송챔버(50)를 회전시킨다. 보다 구체적으로 설명하면, 로딩/언로딩챔버(20)와 일직선상에 위치되어 있던 상기 반송챔버(50)가 제1공정챔버(30a)와 일직선상에 위치되도록 스텝운동하는 것이다(도 5 참조).Next, the rotary table 40 is rotated to rotate the transfer chamber 50. More specifically, stepping is performed so that the transfer chamber 50, which is positioned in line with the loading / unloading chamber 20, is positioned in line with the first process chamber 30a (see FIG. 5) .

다음으로, 상기 메인챔버(10)에 구비된 밸로우즈(B)를 신장시켜 반송챔버(50)와 제1공정챔버(30a)를 기류적으로 연통시킨다. 이 상태에서 상기 기판홀더(100)를 수평이동시켜 제1공정챔버(30a)로 반입시켜 스퍼터건(32a,33a)을 이용하여 상기 기판에 박막을 형성한다(도 6 참조). Next, the bellows (B) provided in the main chamber 10 is extended to air-flow the transfer chamber 50 and the first process chamber 30a. In this state, the substrate holder 100 is horizontally moved to the first process chamber 30a, and a thin film is formed on the substrate by using the sputter guns 32a and 33a (see FIG. 6).

다음으로, 제1공정챔버에서의 공정이 완료되면, 다시 기판홀더를 반송챔버(50)로 수평이동하고, 상기 밸로우즈(B)를 수축시킨다. 이 상태에서 상기 회전테이블(40)을 회전하여 상기 반송챔버(50)가 제2공정챔버(30b)와 일직선상에 위치하도록 스텝운동한다. Next, when the process in the first process chamber is completed, the substrate holder is horizontally moved again to the transfer chamber 50 to shrink the bellows (B). In this state, the rotary table 40 is rotated so that the transfer chamber 50 is placed in a line with the second process chamber 30b.

이와 같은 방식으로 순차적으로 각 공정챔버에서 박막을 형성하거나 또는 소정의 처리를 수행하고, 한 사이클을 돌면 모든 공정이 완료되어 로딩/언로딩챔버로 언로딩된다. In this manner, a thin film is sequentially formed in each of the process chambers or a predetermined process is performed, and when one cycle is completed, all processes are completed and unloaded into the loading / unloading chamber.

로딩/언로딩챔버를 복수개 구비하거나, 또는 로딩챔버와 언로딩챔버를 별도로 구성하는 것도 가능하다. 이 때 로딩챔버와 언로딩챔버를 복수개 구비할 수 있다. 예를 들어 어느 하나의 공정챔버 대신에 로딩챔버 또는 언로딩챔버로 치환하는 것이다. 또는 로딩/언로딩챔버를 별도로 구비하지 않고 메인챔버의 주위에 공정챔버를 배치하고, 어느 하나의 공정챔버의 전방에 로딩챔버 또는 언로딩챔버를 배치할 수 있는 것이다. It is also possible to provide a plurality of loading / unloading chambers, or to separately configure the loading chamber and the unloading chamber. At this time, a plurality of loading chambers and unloading chambers may be provided. For example replacing one of the process chambers with a loading chamber or an unloading chamber. Alternatively, the processing chamber may be disposed around the main chamber without separately providing a loading / unloading chamber, and a loading chamber or an unloading chamber may be disposed in front of any one of the processing chambers.

공정챔버는 스퍼터 이외에 공정의 성막장치이거나 표면개질을 위한 전처리 또는 봉지공정, 합착공정 등 다양한 공정 수행이 가능하다. In addition to sputtering, the process chamber may be a film deposition apparatus for a process, or may be subjected to various processes such as a pretreatment or sealing process and a laminating process for surface modification.

1: 기판처리시스템 10: 메인챔버
11: 개구부 20: 로딩/언로딩챔버
30: 공정챔버 31: 개구부
32,33: 스퍼터건 40: 회전테이블
50: 반송챔버 B: 밸로우즈
G: 게이트밸브
1: substrate processing system 10: main chamber
11: opening 20: loading / unloading chamber
30: process chamber 31: opening
32, 33: sputter gun 40: rotary table
50: Transfer chamber B: Bellows
G: Gate valve

Claims (10)

로딩챔버를 통해서 기판이 반입되며 측벽에 복수의 개구부가 형성된 메인챔버;
상기 메인챔버의 개구부 외측에 구비되며, 상기 메인 챔버로부터 반입된 기판이 처리되는 복수의 공정챔버;
일측에 개구부가 형성되고, 상기 메인챔버의 내부에 구비되어 회전에 의해 스탭운동하면서 상기 로딩챔버를 통해서 상기 메인챔버로 이송된 기판을 상기 공정챔버에 전달하는 반송챔버; 및
회전테이블로 이루어져서 상기 회전테이블의 상면에 장착된 상기 반송챔버를 회전시키는 회전수단;을 포함하며,
상기 메인챔버와 반송챔버 사이를 실링하는 신축가능한 밸로우즈가 더 구비되며, 상기 밸로우즈는 상기 반송챔버의 회전에 의한 이동시 수축되는 것을 특징으로 하는 기판처리시스템.
A main chamber in which a substrate is loaded through a loading chamber and a plurality of openings are formed in side walls;
A plurality of process chambers provided outside the openings of the main chambers and in which the substrates transferred from the main chambers are processed;
A transfer chamber provided inside the main chamber for transferring a substrate transferred to the main chamber through the loading chamber while moving in a stepping motion by rotation, to the process chamber; And
And rotating means for rotating the transfer chamber mounted on the upper surface of the rotary table,
Further comprising an expandable bellows for sealing between the main chamber and the transfer chamber, wherein the bellows contracts when moved by rotation of the transfer chamber.
삭제delete 제1항에 있어서,
상기 메인챔버와 공정챔버 사이에는 게이트밸브가 더 구비되는 것을 특징으로 하는 기판처리시스템.

The method according to claim 1,
And a gate valve is further provided between the main chamber and the process chamber.

삭제delete 삭제delete 삭제delete 삭제delete 제1항에 있어서,
상기 기판을 기립하여 고정한 상태에서 상기 반송챔버와 공정챔버 사이로 왕복운동하는 기판홀더가 더 구비되는 것을 특징으로 하는 기판처리시스템.

The method according to claim 1,
Further comprising a substrate holder which reciprocates between the transfer chamber and the process chamber in a state where the substrate stands up and is fixed.

삭제delete 삭제delete
KR1020100099389A 2010-10-12 2010-10-12 Substrate treatment system KR101409808B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020100099389A KR101409808B1 (en) 2010-10-12 2010-10-12 Substrate treatment system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100099389A KR101409808B1 (en) 2010-10-12 2010-10-12 Substrate treatment system

Publications (2)

Publication Number Publication Date
KR20120037744A KR20120037744A (en) 2012-04-20
KR101409808B1 true KR101409808B1 (en) 2014-07-02

Family

ID=46138781

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100099389A KR101409808B1 (en) 2010-10-12 2010-10-12 Substrate treatment system

Country Status (1)

Country Link
KR (1) KR101409808B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220017654A (en) 2020-08-05 2022-02-14 주식회사 이노헨스 Substrate treatment apparatus

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101971276B1 (en) * 2018-07-18 2019-04-22 주식회사 필옵틱스 Glass molding apparatus
CN113130345B (en) * 2019-12-31 2023-12-08 中微半导体设备(上海)股份有限公司 Substrate processing system and maintenance method thereof
CN111661605B (en) * 2020-06-23 2021-12-17 邳州市景鹏创业投资有限公司 Turnover device and turnover method for fragile sheet

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060088286A (en) * 2005-02-01 2006-08-04 주식회사 야스 System for manufacturing multi-layered thin film
KR20070029032A (en) * 2005-09-08 2007-03-13 주성엔지니어링(주) Movable transfer chamber and substrate processing apparatus comprising the same
KR20100023204A (en) * 2008-08-21 2010-03-04 주식회사 선익시스템 Apparatus for depositing film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060088286A (en) * 2005-02-01 2006-08-04 주식회사 야스 System for manufacturing multi-layered thin film
KR20070029032A (en) * 2005-09-08 2007-03-13 주성엔지니어링(주) Movable transfer chamber and substrate processing apparatus comprising the same
KR20100023204A (en) * 2008-08-21 2010-03-04 주식회사 선익시스템 Apparatus for depositing film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220017654A (en) 2020-08-05 2022-02-14 주식회사 이노헨스 Substrate treatment apparatus

Also Published As

Publication number Publication date
KR20120037744A (en) 2012-04-20

Similar Documents

Publication Publication Date Title
US8802488B2 (en) Substrate depositing system and depositing method using the same
JP6741594B2 (en) System for depositing one or more layers on a substrate supported by a carrier, and methods of using the system
JP5173699B2 (en) Organic EL device manufacturing equipment
KR101152578B1 (en) Apparatus for depositing Organic material and organic material depositing system using the same and depositing method thereof
US9644258B2 (en) Apparatus and method of manufacturing display device
KR102039090B1 (en) Manufacturing method of light emitting element
US10233528B2 (en) Mask for deposition system and method for using the mask
KR101409808B1 (en) Substrate treatment system
KR101027509B1 (en) Apparatus for depositing film
KR102527121B1 (en) Film forming apparatus, manufacturing apparatus of organic device, and manufacturing method of organic device
US9136476B2 (en) Method of manufacturing organic light-emitting display apparatus, and organic light-emitting display apparatus manufactured by the method
KR101151234B1 (en) Upright type deposition apparatus and transfer method for substrate
US20190393064A1 (en) Apparatus for routing a carrier in a processing system, a system for processing a substrate on the carrier, and method of routing a carrier in a vacuum chamber
KR101739012B1 (en) Substrate processing system
KR20140130972A (en) Apparatus for organic layer deposition, and method for manufacturing of organic light emitting display apparatus using the same
KR101218972B1 (en) Substrate treatment system
KR101700608B1 (en) Substrate treatment system
KR102430349B1 (en) Cluster deposition system
KR101225213B1 (en) Oled encapsulation apparatus and method of the same
KR101225212B1 (en) Oled manufacturing apparatus and method of the same
US9797038B2 (en) Organic material deposition apparatus, and organic material deposition method using same
KR101175988B1 (en) Substrate treatment apparatus and method of the same
KR20140007683A (en) Organic light emitting display device and the fabrication method thereof
KR102413664B1 (en) Cluster deposition system
JP2013110114A (en) Apparatus for manufacturing organic el device and angle correction mechanism

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20130121

Effective date: 20140224

Free format text: TRIAL NUMBER: 2013101000411; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20130121

Effective date: 20140224

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
FPAY Annual fee payment

Payment date: 20170614

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190610

Year of fee payment: 6