KR101380861B1 - Plasma etching chamber - Google Patents

Plasma etching chamber Download PDF

Info

Publication number
KR101380861B1
KR101380861B1 KR1020070114335A KR20070114335A KR101380861B1 KR 101380861 B1 KR101380861 B1 KR 101380861B1 KR 1020070114335 A KR1020070114335 A KR 1020070114335A KR 20070114335 A KR20070114335 A KR 20070114335A KR 101380861 B1 KR101380861 B1 KR 101380861B1
Authority
KR
South Korea
Prior art keywords
distribution plate
gas distribution
wafer
plate
concave groove
Prior art date
Application number
KR1020070114335A
Other languages
Korean (ko)
Other versions
KR20090048114A (en
Inventor
이희세
정성현
박세문
Original Assignee
참엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 참엔지니어링(주) filed Critical 참엔지니어링(주)
Priority to KR1020070114335A priority Critical patent/KR101380861B1/en
Priority to JP2010533002A priority patent/JP5485904B2/en
Priority to US12/734,576 priority patent/US20110139371A1/en
Priority to PCT/KR2008/006442 priority patent/WO2009061104A1/en
Priority to CN2008801151016A priority patent/CN101855712B/en
Priority to TW097142923A priority patent/TWI502641B/en
Publication of KR20090048114A publication Critical patent/KR20090048114A/en
Application granted granted Critical
Publication of KR101380861B1 publication Critical patent/KR101380861B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges

Abstract

본 발명은 플라즈마 에칭 챔버에 관한 것으로서, 웨이퍼의 가장자리로 반응가스를 유도하는 가스 분배판; 상기 가스 분배판에 이격 배치되는 플레이트; 및 상기 가스 분배판과 플레이트의 서로 대향하는 면 중 적어도 어느 일면에 돌출되어 상기 웨이퍼의 가장자리로 진행하는 반응가스의 압력을 균일하게 하는 완충부를 포함하는 플라즈마 에칭 챔버를 제공한다.The present invention relates to a plasma etching chamber, comprising: a gas distribution plate for inducing a reaction gas to an edge of a wafer; Plates spaced apart from the gas distribution plate; And a buffer part which protrudes on at least one of the opposite surfaces of the gas distribution plate and the plate so as to equalize the pressure of the reaction gas that proceeds to the edge of the wafer.

플라즈마, 에칭, 웨이퍼, 완충부 Plasma, Etch, Wafer, Buffer

Description

플라즈마 에칭 챔버{PLASMA ETCHING CHAMBER}Plasma Etching Chamber {PLASMA ETCHING CHAMBER}

본 발명은 플라즈마 에칭 챔버에 관한 것으로서, 더욱 상세하게는 플라즈마 건식 에칭된 웨이퍼의 가장자리 끝에 남는 막질 및 그 주변에 퇴적되는 파티클을 플라즈마로 에칭하여 제거할 수 있는 플라즈마 에칭 챔버에 관한 것이다.The present invention relates to a plasma etching chamber, and more particularly, to a plasma etching chamber capable of etching and removing film remaining on the edge of a plasma dry etched wafer and particles deposited around the plasma.

통상 웨이퍼를 제조함에 있어서, 패턴으로 적층되는 막질은 웨이퍼의 전체면에 걸쳐 가장자리 끝까지 형성된다. 여기서, 웨이퍼의 상면 전체를 플라즈마로 에칭하는 건식 세정공정 중에 발생하는 부산물은 완전하게 배기되지 않고, 웨이퍼의 가장자리부에서 상면과 측면 및 밑면에 걸쳐 파티클로서 퇴적되어 남게 된다.Usually, in manufacturing a wafer, the film quality laminated in a pattern is formed to the edge end over the entire surface of the wafer. Here, by-products generated during the dry cleaning process in which the entire upper surface of the wafer is etched by plasma are not completely exhausted, and are deposited as particles over the upper surface, the side surfaces, and the bottom surface at the edge of the wafer.

이렇게 웨이퍼의 가장자리 부분에 집중하여 남겨지는 막질과 파티클은 제거되지 않으면, 얻고자 하는 반도체 칩에 심각한 손상을 불러 일으키는 요인으로 작용한다.If the film quality and particles that are concentrated on the edge of the wafer are not removed, they cause serious damage to the semiconductor chip to be obtained.

상기와 같이 웨이퍼의 가장자리에 잔존하는 막질과 파티클을 제거하기 위한 플라즈마 에칭 챔버가 개시되고 있다. 도 5는 종래 플라즈마 에칭 챔버의 부분 단 면도이다.As described above, a plasma etching chamber for removing film and particles remaining on the edge of a wafer is disclosed. 5 is a partial end shaving of a conventional plasma etch chamber.

도 5를 참고하면, 종래의 플라즈마 에칭 챔버는 웨이퍼가 놓이는 웨이퍼 척(10)과, 웨이퍼 척(10)의 외측에 설치되는 링상의 하부 전극(11)과, 하부 전극(11)에 대향 배치되는 상부 전극(12) 및 상부 전극(12)의 내측에 배치되는 플레이트(13)를 포함한다.Referring to FIG. 5, the conventional plasma etching chamber is disposed opposite to the wafer chuck 10 on which the wafer is placed, the lower electrode 11 on the ring provided outside the wafer chuck 10, and the lower electrode 11. An upper electrode 12 and a plate 13 disposed inside the upper electrode 12 are included.

또한, 플레이트(13)의 하부에는 가스 분배판(14)이 설치되어 반응 가스를 웨이퍼의 가장자리 측으로 안내하며, 플레이트(13)의 상부에는 승강 로드(15)가 설치되어 플레이트(13)의 상하 운동을 가능하게 한다.In addition, a gas distribution plate 14 is installed below the plate 13 to guide the reaction gas toward the edge of the wafer, and a lifting rod 15 is installed above the plate 13 to move the plate 13 up and down. To make it possible.

상기와 같은 구조의 플라즈마 에칭 챔버에서, 상부 전극(12)과 하부 전극(11) 및 웨이퍼 척(10)에 전원을 인가하면, 상부 전극(12)과 하부 전극(11) 사이로 공급된 반응가스에 의해 플라즈마가 발생하며, 이 플라즈마는 웨이퍼의 가장자리에 축적된 파티클 또는 막질을 제거한다.In the plasma etching chamber having the above structure, when power is applied to the upper electrode 12, the lower electrode 11, and the wafer chuck 10, the reaction gas supplied between the upper electrode 12 and the lower electrode 11 is applied to the reaction gas. Plasma is generated, and this plasma removes particles or film quality accumulated at the edge of the wafer.

그런데, 상기와 같은 종래 플라즈마 에칭 챔버는 절연 패널(13)과 가스 분배판(14) 사이의 간격으로 공급된 반응 가스를 웨이퍼의 가장자리로 유도하는 과정에서, 웨이퍼 가장자리에서의 반응 가스의 압력이 균일하지 않은 문제점이 있었다.However, in the conventional plasma etching chamber as described above, the pressure of the reaction gas at the wafer edge is uniform in the process of inducing the reaction gas supplied at the interval between the insulating panel 13 and the gas distribution plate 14 to the edge of the wafer. There was a problem that did not.

즉, 웨이퍼의 가장자리에서의 반응 가스의 압력이 위치마다 상이하여 플라즈마에 의한 웨이퍼 가장자리의 식각율에 차이가 발생하여, 웨이퍼의 위치마다 파티클 또는 막질의 제거량이 달라지는 문제점이 있었다.That is, the pressure of the reaction gas at the edge of the wafer is different from position to position, resulting in a difference in the etching rate of the edge of the wafer by the plasma, and there is a problem in that the removal amount of particles or film quality varies for each position of the wafer.

또한, 종래 플라즈마 에칭 챔버는 플라즈마에 의해 가스 분배판(14)의 가장자리가 오염되는 문제점이 있었다.In addition, the conventional plasma etching chamber has a problem that the edge of the gas distribution plate 14 is contaminated by plasma.

본 발명은 상기와 같은 문제점을 해결하기 위한 것으로서, 본 발명의 목적은 웨이퍼의 가장자리로 유도되는 반응가스의 압력을 위치마다 균일하게 유지할 수 있으며, 플라즈마에 의한 가스 분배판의 오염을 최소화할 수 있는 플라즈마 에칭 챔버를 제공함에 있다.The present invention is to solve the above problems, an object of the present invention is to maintain the pressure of the reaction gas induced to the edge of the wafer uniformly for each position, it is possible to minimize the contamination of the gas distribution plate by the plasma In providing a plasma etching chamber.

상기한 목적을 달성하기 위한 본 발명의 실시예에 따른 플라즈마 에칭 챔버는 웨이퍼의 가장자리로 반응가스를 유도하는 가스 분배판; 상기 가스 분배판에 이격 배치되는 플레이트; 및 상기 가스 분배판과 상기 플레이트의 서로 대향하는 면 중 적어도 어느 일면에 돌출되어 상기 웨이퍼의 가장자리로 진행하는 반응가스의 압력을 균일하게 하는 완충부를 포함한다.Plasma etching chamber according to an embodiment of the present invention for achieving the above object comprises a gas distribution plate for inducing a reaction gas to the edge of the wafer; Plates spaced apart from the gas distribution plate; And a buffer unit which protrudes on at least one of the gas distribution plates and the surfaces facing each other so as to uniform the pressure of the reaction gas that proceeds to the edge of the wafer.

또한, 상기 플라즈마 에칭 챔버는 상기 웨이퍼를 사이에 두고 상기 웨이퍼의 가장자리에 서로 대응하여 배치된 상부 전극과 하부 전극을 포함할 수 있다.In addition, the plasma etching chamber may include an upper electrode and a lower electrode disposed to correspond to each other at edges of the wafer with the wafer interposed therebetween.

또한, 상기 완충부는 상기 가스 분배판과 상기 플레이트에 교대로 형성될 수 있다.In addition, the buffer unit may be alternately formed on the gas distribution plate and the plate.

또한, 상기 완충부는 상기 가스 분배판 또는 상기 플레이트의 가장자리에 형성될 수 있으며, 이때, 상기 완충부는 링 형상일 수 있다.In addition, the buffer portion may be formed at the edge of the gas distribution plate or the plate, wherein the buffer portion may be a ring shape.

또한, 상기 가스 분배판과 상기 플레이트는 스페이서에 의해 소정 간격을 유 지할 수 있으며, 상기 간격은 1 mm일 수 있다.In addition, the gas distribution plate and the plate may be maintained at a predetermined interval by a spacer, the interval may be 1 mm.

또한, 상기 완충부의 높이는 0.6 mm일 수 있다.In addition, the height of the buffer portion may be 0.6 mm.

또한, 상기 가스 분배판은 그 가장자리의 하부면과 측면을 덮는 실드 링을 더 포함할 수 있다.In addition, the gas distribution plate may further include a shield ring covering the lower surface and the side of the edge.

또한, 상기 실드 링의 폭은 상기 가스 분배판의 반경의 20% 내지 25% 범위 내에 있을 수 있다.In addition, the width of the shield ring may be in the range of 20% to 25% of the radius of the gas distribution plate.

또한, 본 발명의 실시예에 따른 플라즈마 에칭 챔버는 하부면에 오목 홈을 형성하는 가스 분배판; 상기 가스 분배판의 오목 홈 내측에 이격 배치되어 상기 오목 홈으로 공급되는 불활성 가스를 안내하는 배플; 및 상기 가스 분배판과 배플의 서로 대향하는 면 중 적어도 일면에 돌출되어 불활성 가스의 압력을 균일하게 하는 완충부를 포함할 수 있다.In addition, the plasma etching chamber according to an embodiment of the present invention comprises a gas distribution plate forming a recessed groove in the lower surface; A baffle disposed in the concave groove of the gas distribution plate to guide the inert gas supplied to the concave groove; And a buffer part that protrudes on at least one surface of the gas distribution plate and the baffle facing each other to uniform the pressure of the inert gas.

본 발명의 실시예에 따른 플라즈마 에칭 챔버는 상기 웨이퍼의 가장자리로 반응가스를 유도하는 가스 분배판; 및 상기 가스 분배판의 가장자리에 설치되어 상기 가스 분배판의 오염을 방지하는 실드 링을 포함하며, 상기 실드 링의 폭은 상기 가스 분배판의 반경의 15% 내지 40% 범위 내에 있을 수 있다.Plasma etching chamber according to an embodiment of the present invention comprises a gas distribution plate for inducing a reaction gas to the edge of the wafer; And a shield ring installed at an edge of the gas distribution plate to prevent contamination of the gas distribution plate, wherein the width of the shield ring may be in a range of 15% to 40% of a radius of the gas distribution plate.

또한, 상기 실드 링의 표면은 이트륨으로 코팅될 수 있다.In addition, the surface of the shield ring may be coated with yttrium.

이상에서 살펴본 바와 같이, 본 발명의 실시예에 따른 플라즈마 에칭 챔버는 가스 분배판 또는 플레이트에 완충부를 구비함으로써, 웨이퍼의 가장자리에 축적된 막질 또는 파티클을 균일하게 제거할 수 있다. 또한, 본 발명의 실시예에 따른 플라즈마 에칭 챔버는 최적의 실드 링 폭을 가짐으로써, 가스 분배판과 실드 링 사이로 침입하는 부산물의 양을 감소시키고, 가스 분배판의 오염을 감소시켜 가스 분배판의 교체 비용을 감소시킬 수 있다.As described above, the plasma etching chamber according to the embodiment of the present invention may be provided with a buffer in the gas distribution plate or plate, thereby uniformly removing the film or particles accumulated on the edge of the wafer. In addition, the plasma etching chamber according to the embodiment of the present invention has an optimal shield ring width, thereby reducing the amount of by-products penetrating between the gas distribution plate and the shield ring and reducing contamination of the gas distribution plate. Replacement cost can be reduced.

이하, 본 발명의 실시예에 따른 플라즈마 에칭 챔버에 대하여 첨부한 도면을 참조하여 상세히 설명한다.Hereinafter, a plasma etching chamber according to an embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 실시예에 따른 플라즈마 에칭 챔버의 부분 단면도이고, 도 2는 승강 로드가 하강한 후의 본 발명의 실시예에 따른 플라즈마 에칭 챔버의 부분 단면도이고, 도 3은 도 2의 A부분을 확대한 도면이고, 도 4는 본 발명의 실시예에 따른 가스 분배판의 개략적인 평면도이다.1 is a partial cross-sectional view of a plasma etching chamber according to an embodiment of the present invention, FIG. 2 is a partial cross-sectional view of a plasma etching chamber according to an embodiment of the present invention after the lifting rod is lowered, and FIG. 3 is part A of FIG. 4 is an enlarged view, and FIG. 4 is a schematic plan view of a gas distribution plate according to an embodiment of the present invention.

도 1 및 도 2를 참고하면, 본 발명의 실시예에 따른 플라즈마 에칭 챔버(100)는 외부와 격리된 공간을 제공하는 챔버 벽(20)과, 챔버 벽(20)의 상부를 관통하여 액추에이터(미도시)에 의해 작동되는 승강 로드(21) 및 승강 로드(21)의 하단에 형성되는 플레이트를 포함한다. 플레이트는 상부 플레이트(22)와 하부 플레이트(23)를 포함한다.1 and 2, the plasma etching chamber 100 according to the embodiment of the present invention may include a chamber wall 20 that provides a space isolated from the outside, and an actuator through an upper portion of the chamber wall 20. And a plate formed at a lower end of the elevating rod 21 and the elevating rod 21 operated by the not shown. The plate comprises an upper plate 22 and a lower plate 23.

상부 플레이트(22)의 밑면에는 하부 플레이트(23)가 설치되고, 하부 플레이트(23)의 가장자리에는 링 형상의 상부 전극(24)이 배치된다. 상부 전극(24)은 제1 볼트(241)에 의해 상부 플레이트(22)에 장착된다.The lower plate 23 is disposed on the bottom of the upper plate 22, and a ring-shaped upper electrode 24 is disposed at the edge of the lower plate 23. The upper electrode 24 is mounted to the upper plate 22 by the first bolt 241.

상부 및 하부 플레이트(22, 23)의 하부에는 소정 간격을 두고 배치되는 가스 분배판(Gas Distribution Plate, 25)이 설치된다. 가스 분배판(25)은 하부 플레이트(23)와 가스 분배판(25) 사이의 간격에 공급되는 반응 가스를 웨이퍼의 가장자리로 유도하는 기능을 한다. 가스 분배판(25)은 산화 알루미나(Al2O3)와 같은 절연 물질로 이루어질 수 있다.Gas distribution plates 25 disposed at predetermined intervals are provided below the upper and lower plates 22 and 23. The gas distribution plate 25 functions to guide the reaction gas supplied in the gap between the lower plate 23 and the gas distribution plate 25 to the edge of the wafer. The gas distribution plate 25 may be made of an insulating material such as alumina oxide (Al 2 O 3 ).

한편, 상기 하부 플레이트(23)는 선택적으로 설치될 수 있으며, 하부 플레이트(23)가 없는 경우 가스 분배판(25)은 상부 플레이트(22)에 직접 고정 설치될 수 있다. 또한, 상부 플레이트(22)가 하부 플레이트에 대응하는 만큼 하향으로 돌출된 경우, 가스 분배판(25)은 상기 상부 플레이트(22)의 돌출부에 고정 설치될 수 있다. 요컨대, 하부 플레이트(23)가 없는 경우, 상부 플레이트(22)는 제거된 하부 플레이트 만큼 하향으로 돌출되거나, 돌출되지 않을 수 있다.On the other hand, the lower plate 23 may be selectively installed, and if there is no lower plate 23, the gas distribution plate 25 may be directly fixed to the upper plate 22. In addition, when the upper plate 22 protrudes downward as much as the lower plate, the gas distribution plate 25 may be fixed to the protrusion of the upper plate 22. In other words, when the lower plate 23 is absent, the upper plate 22 may protrude downward or not protrude as much as the lower plate removed.

가스 분배판(25)의 하부면 중심에는 오목 홈(251)이 형성되고, 이 오목 홈(251) 내측에는 배플(baffle, 26)이 설치된다. 배플(26)은 웨이퍼의 중앙으로 공급되는 불활성 가스(예를 들면, 질소 가스)를 분산시키는 기능을 한다. 상기 배플(26)은 선택적으로 설치될 수 있다.A concave groove 251 is formed in the center of the lower surface of the gas distribution plate 25, and a baffle 26 is provided inside the concave groove 251. The baffle 26 functions to disperse an inert gas (for example, nitrogen gas) supplied to the center of the wafer. The baffle 26 may be optionally installed.

가스 분배판(25)의 가장자리 하부면과 측면에는 실드 링(27)이 설치되어 웨이퍼의 에칭시 생성될 수 있는 폴리머와 같은 부산물을 흡착한다. 실드 링(27)은 가스 분배판(25)에 제2 볼트(252)로 고정되며, 가스 분배판(25)과 서로 다른 유전율을 갖도록 형성될 수 있다. 일례로, 실드 링(27)은 유전율 11의 이트륨 소결체 로 이루어질 수 있고, 가스 분배판(25)은 유전율 8.4의 산화 알루미나로 이루어질 수 있다. 상기와 같은 유전율의 차이로 인하여 실제 플라즈마의 방전에 의해 불필요한 부분에 쌓이는 전하의 양이 줄어들게 된다.Shield rings 27 are installed on the lower and side edges of the gas distribution plate 25 to adsorb the byproducts such as polymers that may be generated during etching of the wafer. The shield ring 27 is fixed to the gas distribution plate 25 by the second bolt 252 and may be formed to have a different dielectric constant from the gas distribution plate 25. For example, the shield ring 27 may be made of a yttrium sintered body having a dielectric constant of 11, and the gas distribution plate 25 may be made of alumina oxide having a dielectric constant of 8.4. Due to the difference in dielectric constant as described above, the amount of charge accumulated in unnecessary parts by the actual discharge of the plasma is reduced.

그리고, 실드 링(27)의 폭(L1)은 가스 분배판(25)의 반경(L2)의 15% 내지 40% 범위로 형성하는 것이 바람직하다. 실드 링(27)의 폭(L1)이 15% 미만이 되면, 고밀도의 플라즈마 형성시 가스 분배판(25)을 커버하지 못할 뿐만 아니라 식각 부산물들이 가스 분배판(25)과 실드 링(27) 사이의 틈으로 유입되는 문제점이 있고, 실드 링(27)의 폭(L1)이 40%를 초과하면 불필요한 부분까지 가스 분배판(25)을 커버하고 제작 비용을 증가시키는 문제점이 있다.The width L1 of the shield ring 27 is preferably formed in a range of 15% to 40% of the radius L2 of the gas distribution plate 25. When the width L1 of the shield ring 27 is less than 15%, not only does not cover the gas distribution plate 25 during the formation of a high density plasma, but also the etching by-products are formed between the gas distribution plate 25 and the shield ring 27. There is a problem flowing into the gap of the, and when the width (L1) of the shield ring 27 exceeds 40% there is a problem to cover the gas distribution plate 25 to the unnecessary portion and increase the manufacturing cost.

일례로, 가스 분배판(25)의 반경(L2)은 290 mm 내지 300 mm 범위 내에 형성될 수 있으며, 실드 링(27)의 폭(L1)은 45 내지 116 mm 범위 내에 형성될 수 있다.In one example, the radius L2 of the gas distribution plate 25 may be formed in the range of 290 mm to 300 mm, and the width L1 of the shield ring 27 may be formed in the range of 45 to 116 mm.

또한, 가스 분배판(25)과 실드 링(27)의 표면에는 이트륨이 코팅될 수 있다. 이트륨은 폴리머 흡착성이 양호하면서 내화학성이 뛰어난 물성이 있으므로 세정중에 화학적 변화를 일으키지 않으면서 파티클을 흡착 제거해 주는 작용을 한다.In addition, yttrium may be coated on the surfaces of the gas distribution plate 25 and the shield ring 27. Yttrium has the property of having good polymer adsorption and excellent chemical resistance, and thus serves to remove and adsorb particles without causing chemical change during cleaning.

그리고, 상부 전극(24)의 하부에는 링 형상의 하부 전극(28)이 소정 간격을 두고 대향 배치된다. 하부 전극(28)의 내측에는 절연 링(30)을 사이에 두고 웨이퍼 척(32)이 위치하며, 이 웨이퍼 척(32)은 그 상면에 놓이는 웨이퍼를 진공 흡착하면서 통상의 플라즈마 발진기(도시 생략)와 전기적으로 접속되어서 고주파를 인가받는다.A ring-shaped lower electrode 28 is disposed below the upper electrode 24 at predetermined intervals. A wafer chuck 32 is positioned inside the lower electrode 28 with an insulating ring 30 interposed therebetween. The wafer chuck 32 is a conventional plasma oscillator (not shown) while vacuum-absorbing a wafer placed on an upper surface thereof. It is electrically connected to and receives high frequency.

이때, 상부 전극(24)과 하부 전극(28)의 외경은 동일하게 형성하는 것이 바 람직하다. 이는 생성되는 플라즈마의 모양을 완전한 대칭으로 형성함으로써, 낮은 용량의 플라즈마 발진기로도 가동될 수 있도록 하기 위함이다.At this time, the outer diameters of the upper electrode 24 and the lower electrode 28 are preferably formed to be the same. This is to form the shape of the plasma generated in perfect symmetry, so that it can be operated even with a low capacity plasma oscillator.

또한, 상기 웨이퍼 척(32)은 그 하측에 놓이는 절연층(34)를 통하여 하부 전극(28)과 완전하게 절연된다.In addition, the wafer chuck 32 is completely insulated from the lower electrode 28 through an insulating layer 34 underlying the wafer chuck 32.

도 3을 참고하면, 본 발명의 실시예에 따른 플라즈마 에칭 챔버(100)는 반응 가스가 공급되는 하부 플레이트(23)와 가스 분배판(25) 사이의 간극에 완충부(36)를 포함한다. 완충부(36)는 하부 플레이트(23)와 가스 분배판(25) 중 적어도 어느 일면에 간격보다 낮은 높이(H)로 돌출 형성된다. 일례로, 가스 분배판(25)과 하부 플레이트(23)의 간격은 1 mm일 수 있으며, 이때, 완충부(36)의 높이는 0.6 mm로 형성하는 것이 바람직하다.Referring to FIG. 3, the plasma etching chamber 100 according to the embodiment of the present invention includes a buffer portion 36 in a gap between the lower plate 23 and the gas distribution plate 25 to which the reaction gas is supplied. The buffer part 36 is formed to protrude to a height H lower than the gap on at least one surface of the lower plate 23 and the gas distribution plate 25. For example, the distance between the gas distribution plate 25 and the lower plate 23 may be 1 mm, and in this case, the height of the shock absorbing part 36 is preferably formed to be 0.6 mm.

완충부(36)은 반응 가스의 진행을 블럭킹(blocking)하여 웨이퍼 가장자리에서의 반응 가스의 압력을 균일하게 맞추는 기능을 한다. 완충부(36)는 반응 가스의 진행 방향(화살표 참조)을 따라 하부 플레이트(23)와 가스 분배판(25)에 교대로 형성되는 것이 바람직하다. 이는 반응 가스의 진행 경로를 더욱 길게하여 반응 가스의 간격 내 머무르는 시간을 길게할 수 있기 때문이다. 완충부(36)의 개수는 반응 가스의 압력에 따라 다양하게 변형될 수 있다.The buffer unit 36 functions to block the progress of the reaction gas so as to uniformly adjust the pressure of the reaction gas at the edge of the wafer. It is preferable that the buffer part 36 is alternately formed in the lower plate 23 and the gas distribution plate 25 along the advancing direction (refer the arrow) of a reaction gas. This is because the advancing path of the reaction gas can be further lengthened to lengthen the time of stay in the interval of the reaction gas. The number of buffers 36 may be variously modified according to the pressure of the reaction gas.

도 4에 도시된 바와 같이, 완충부(36)는 가스 분배판(25)의 가장자리에 링 형상으로 돌출 형성될 수 있다. 한편, 가스 분배판(25)의 상부면에는 하부 플레이트(23)와의 간격을 형성하기 위한 스페이서(37)가 소정 간격으로 복수 개로 형성된다. 이때, 완충부(36)는 스페이서(37)의 높이 보다 작게 형성된다. 상기에서 완 충부(36)는 링 형상으로 형성되었으나, 그 형상은 한정되지 않으며, 사각형 등 다양하게 변형될 수 있다.As shown in FIG. 4, the buffer part 36 may protrude in a ring shape at the edge of the gas distribution plate 25. On the other hand, a plurality of spacers 37 are formed on the upper surface of the gas distribution plate 25 to form a gap with the lower plate 23 at predetermined intervals. At this time, the buffer unit 36 is formed smaller than the height of the spacer 37. Although the buffer portion 36 is formed in a ring shape in the above, the shape is not limited, and may be variously modified, such as a square.

상기와 같은 구성을 가지는 플라즈마 에칭 챔버(100)의 작동을 살펴보면, 가스 분배판(25)을 통하여 공급되는 불활성 가스는 배플(26)에 의해 분산되어 웨이퍼의 내측은 비방전 영역이 형성된다. 이와 동시에 하부 플레이트(23)와 가스 분배판(25)으로 공급되는 반응 가스는 웨이퍼의 가장자리로 이동하여, 상부 전극(24)과 하부 전극(28)에 각각 인가된 전압에 의해 플라즈마를 생성한다. 이와 같이 생성된 플라즈마는 웨이퍼의 가장자리 상면에서 밑면에 걸친 부분으로 퇴적된 파티클을 식각한다.Looking at the operation of the plasma etching chamber 100 having the above configuration, the inert gas supplied through the gas distribution plate 25 is dispersed by the baffle 26 to form a non-discharge region on the inside of the wafer. At the same time, the reaction gas supplied to the lower plate 23 and the gas distribution plate 25 moves to the edge of the wafer to generate plasma by the voltages applied to the upper electrode 24 and the lower electrode 28, respectively. The plasma generated in this way etches the deposited particles into a portion extending from the top surface to the bottom edge of the wafer.

상기와 같은 과정에서, 완충부(36)는 반응 가스의 진행을 억제하여 웨이퍼 가장자리에서의 반응 가스의 압력을 위치별로 균일하게 유지하도록 함으로써, 플라즈마는 균일하게 생성되고, 그에 따라 웨이퍼에 대한 파티클의 식각율도 위치별로 동일해진다. 또한, 실드 링(27)은 최적의 폭을 유지함으로써, 플라즈마로부터 발생하는 가스 분배판(25)의 오염을 효과적으로 방지한다.In the above process, the buffer unit 36 suppresses the progress of the reaction gas to maintain the pressure of the reaction gas at the edge of the wafer uniformly by position, so that the plasma is uniformly generated, and thus the particle The etching rate is also the same for each position. In addition, the shield ring 27 maintains an optimum width, thereby effectively preventing contamination of the gas distribution plate 25 generated from the plasma.

한편, 상기에서는 반응가스의 압력을 균일하게 하기 위해, 가스 분배판(25)과 하부 플레이트(23)에 완충부(36)를 형성하였다. 상기와 동일한 방식으로, 오목 홈(251)으로 공급되는 불활성 가스의 압력을 균일하게 하기 위해서, 오목 홈(251)과 배플(26)의 서로 대향하는 일면 중 적어도 일면에 상기와 같은 완충부를 형성할 수도 있다.On the other hand, in the above, in order to make the pressure of a reaction gas uniform, the buffer part 36 was formed in the gas distribution plate 25 and the lower plate 23. As shown in FIG. In the same manner as described above, in order to make the pressure of the inert gas supplied to the concave groove 251 uniform, the above-described buffer portion may be formed on at least one surface of the concave groove 251 and the baffle 26 facing each other. It may be.

상기에서는 본 발명의 바람직한 실시예에 대하여 설명하였지만, 본 발명은 이에 한정되는 것이 아니고 특허청구범위와 발명의 상세한 설명 및 첨부한 도면의 범위 안에서 여러 가지로 변형하여 실시하는 것이 가능하고 이 또한 본 발명의 범위에 속하는 것은 당연하다.While the present invention has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, Of course.

도 1은 본 발명의 실시예에 따른 플라즈마 에칭 챔버의 부분 단면도이다.1 is a partial cross-sectional view of a plasma etching chamber in accordance with an embodiment of the present invention.

도 2는 승강 로드가 하강한 후의 본 발명의 실시예에 따른 플라즈마 에칭 챔버의 부분 단면도이다.2 is a partial cross-sectional view of a plasma etching chamber in accordance with an embodiment of the present invention after the elevating rod is lowered.

도 3은 도 1의 A부분을 확대한 도면이다.3 is an enlarged view of a portion A in Fig.

도 4는 본 발명의 실시예에 따른 가스 분배판의 개략적인 평면도이다.4 is a schematic plan view of a gas distribution plate according to an embodiment of the present invention.

도 5는 종래 플라즈마 에칭 챔버의 부분 단면도이다.5 is a partial cross-sectional view of a conventional plasma etching chamber.

Claims (13)

웨이퍼의 가장자리를 에칭하는 플라즈마 에칭 챔버로서,A plasma etching chamber for etching edges of a wafer, 상기 웨이퍼의 가장자리로 반응가스를 유도하고, 하부면에 오목 홈을 형성하는 가스 분배판;A gas distribution plate for inducing a reaction gas to an edge of the wafer and forming a concave groove in a lower surface thereof; 상기 가스 분배판에 이격 배치되는 플레이트; Plates spaced apart from the gas distribution plate; 상기 가스 분배판의 오목 홈 내측에 이격 배치되어 상기 오목 홈으로 공급되는 불활성 가스를 안내하는 배플;A baffle disposed in the concave groove of the gas distribution plate to guide the inert gas supplied to the concave groove; 상기 가스 분배판과 상기 플레이트 사이에 공급되는 반응 가스의 압력을 균일하게 하기 위하여 상기 가스 분배판과 상기 플레이트의 서로 대향하는 면 중 하나 이상의 면에 그리고 상기 오목 홈으로 공급되는 불활성 가스의 압력을 균일하게 하기 위하여 상기 가스 분배판과 배플의 서로 대향하는 면 중 하나 이상의 면에 돌출되는 완충부를 포함하는 것을 특징으로 하는 플라즈마 에칭 챔버.Uniformize the pressure of the inert gas supplied to the concave groove and on at least one of the opposite sides of the gas distribution plate and the plate to equalize the pressure of the reactive gas supplied between the gas distribution plate and the plate. And a buffer portion protruding from at least one of the opposing faces of the gas distribution plate and the baffle so as to be adapted. 제1항에 있어서,The method according to claim 1, 상기 웨이퍼를 사이에 두고 상기 웨이퍼의 가장자리에 서로 대응하여 배치된 상부 전극과 하부 전극을 포함하는 것을 특징으로 하는 플라즈마 에칭 챔버.And an upper electrode and a lower electrode disposed corresponding to each other at edges of the wafer with the wafer interposed therebetween. 제1항에 있어서,The method according to claim 1, 상기 완충부는 상기 가스 분배판과 상기 플레이트에 교대로 형성되는 것을 특징으로 하는 플라즈마 에칭 챔버.And the buffer part is alternately formed on the gas distribution plate and the plate. 제1항 또는 제2항에 있어서,3. The method according to claim 1 or 2, 상기 완충부는 상기 가스 분배판 또는 상기 플레이트의 가장자리에 형성되는 것을 특징으로 하는 플라즈마 에칭 챔버.And the buffer part is formed at an edge of the gas distribution plate or the plate. 제1항에 있어서,The method according to claim 1, 상기 완충부는 링 형상으로 형성되는 것을 특징으로 하는 플라즈마 에칭 챔버.The buffer portion is plasma etching chamber, characterized in that formed in a ring shape. 제1항에 있어서,The method according to claim 1, 상기 가스 분배판과 상기 플레이트는 스페이서에 의해 소정 간격을 유지하는 것을 특징으로 하는 플라즈마 에칭 챔버.And the gas distribution plate and the plate are spaced by a spacer. 제6항에 있어서,The method according to claim 6, 상기 간격은 1 mm인 것을 특징으로 하는 플라즈마 에칭 챔버.The spacing is 1 mm. 제7항에 있어서,8. The method of claim 7, 상기 완충부의 높이는 0.6 mm인 것을 특징으로 하는 플라즈마 에칭 챔버.The height of the buffer portion is plasma etching chamber, characterized in that. 제1항 또는 제2항에 있어서,3. The method according to claim 1 or 2, 상기 가스 분배판은 그 가장자리의 하부면과 측면을 덮는 실드 링을 더 포함하는 것을 특징으로 하는 플라즈마 에칭 챔버.And the gas distribution plate further comprises a shield ring covering the bottom and side surfaces of the edge thereof. 제9항에 있어서,10. The method of claim 9, 상기 실드 링의 폭은 상기 가스 분배판의 반경의 15% 내지 40% 범위 내에 있는 것을 특징으로 하는 플라즈마 에칭 챔버.And the width of the shield ring is in the range of 15% to 40% of the radius of the gas distribution plate. 웨이퍼의 가장자리를 에칭하는 플라즈마 에칭 챔버로서,A plasma etching chamber for etching edges of a wafer, 하부면에 오목 홈을 형성하는 가스 분배판;A gas distribution plate forming a concave groove in the lower surface; 상기 가스 분배판의 오목 홈 내측에 이격 배치되어 상기 오목 홈으로 공급되는 불활성 가스를 안내하는 배플; 및A baffle disposed in the concave groove of the gas distribution plate to guide the inert gas supplied to the concave groove; And 상기 오목 홈으로 공급되는 불활성 가스의 압력을 균일하게 하기 위하여 상기 가스 분배판과 배플의 서로 대향하는 면 중 하나 이상의 면에 돌출되는 완충부를 포함하는 것을 특징으로 하는 플라즈마 에칭 챔버.And a buffer portion protruding from at least one of the opposing surfaces of the gas distribution plate and the baffle so as to equalize the pressure of the inert gas supplied to the concave groove. 웨이퍼의 가장자리를 에칭하는 플라즈마 에칭 챔버로서,A plasma etching chamber for etching edges of a wafer, 상기 웨이퍼의 가장자리로 반응가스를 유도하고, 하부면에 오목 홈을 형성하는 가스 분배판;A gas distribution plate for inducing a reaction gas to an edge of the wafer and forming a concave groove in a lower surface thereof; 상기 가스 분배판의 오목 홈 내측에 이격 배치되어 상기 오목 홈으로 공급되는 불활성 가스를 안내하는 배플;A baffle disposed in the concave groove of the gas distribution plate to guide the inert gas supplied to the concave groove; 상기 오목 홈으로 공급되는 불활성 가스의 압력을 균일하게 하기 위하여 상기 가스 분배판과 배플의 서로 대향하는 면 중 하나 이상의 면에 돌출되는 완충부; 및A buffer part protruding from at least one of the opposite surfaces of the gas distribution plate and the baffle to equalize the pressure of the inert gas supplied to the concave groove; And 상기 가스 분배판의 가장자리에 설치되어 상기 가스 분배판의 오염을 방지하는 실드 링을 포함하며,A shield ring installed at an edge of the gas distribution plate to prevent contamination of the gas distribution plate, 상기 실드 링의 폭은 상기 가스 분배판의 반경의 15% 내지 40% 범위 내에 있는 것을 특징으로 하는 플라즈마 에칭 챔버.And the width of the shield ring is in the range of 15% to 40% of the radius of the gas distribution plate. 제12항에 있어서,13. The method of claim 12, 상기 실드 링의 표면은 이트륨으로 코팅된 것을 특징으로 하는 플라즈마 에칭 챔버.And the surface of the shield ring is coated with yttrium.
KR1020070114335A 2007-11-09 2007-11-09 Plasma etching chamber KR101380861B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020070114335A KR101380861B1 (en) 2007-11-09 2007-11-09 Plasma etching chamber
JP2010533002A JP5485904B2 (en) 2007-11-09 2008-10-31 Plasma etching chamber
US12/734,576 US20110139371A1 (en) 2007-11-09 2008-10-31 Plasma etching chamber
PCT/KR2008/006442 WO2009061104A1 (en) 2007-11-09 2008-10-31 Plasma etching chamber
CN2008801151016A CN101855712B (en) 2007-11-09 2008-10-31 Plasma etching chamber
TW097142923A TWI502641B (en) 2007-11-09 2008-11-06 Plasma etching chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070114335A KR101380861B1 (en) 2007-11-09 2007-11-09 Plasma etching chamber

Publications (2)

Publication Number Publication Date
KR20090048114A KR20090048114A (en) 2009-05-13
KR101380861B1 true KR101380861B1 (en) 2014-04-03

Family

ID=40625942

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070114335A KR101380861B1 (en) 2007-11-09 2007-11-09 Plasma etching chamber

Country Status (6)

Country Link
US (1) US20110139371A1 (en)
JP (1) JP5485904B2 (en)
KR (1) KR101380861B1 (en)
CN (1) CN101855712B (en)
TW (1) TWI502641B (en)
WO (1) WO2009061104A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014018480A1 (en) * 2012-07-25 2014-01-30 Applied Materials, Inc Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
KR102182699B1 (en) 2014-11-11 2020-11-25 (주) 코미코 Internal member applying plasma treatment apparatus and method for manufacturing the same
KR102182690B1 (en) 2014-11-11 2020-11-25 (주) 코미코 Internal member applying plasma treatment apparatus and method for manufacturing the same
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198356A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Plasma treatment apparatus
KR20040018656A (en) * 2002-08-26 2004-03-04 삼성전자주식회사 Apparatus for etching edge of wafer
KR20050008637A (en) * 2003-05-12 2005-01-21 (주)소슬 Plasma etching chamber and plasma etching system therewith

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPH07142449A (en) * 1993-11-22 1995-06-02 Kawasaki Steel Corp Plasma etching system
JPH10335306A (en) * 1997-05-29 1998-12-18 Sony Corp Semiconductor-etching system
JP2000208483A (en) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp Method and system for processing wafer
JP3946640B2 (en) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
WO2002101116A1 (en) * 2001-06-07 2002-12-19 Tokyo Electron Limited Method of and apparatus for tailoring an etch profile
KR20060060997A (en) * 2004-12-01 2006-06-07 삼성전자주식회사 Apparatus for etching edge of wafer
JP4654738B2 (en) * 2005-04-05 2011-03-23 パナソニック株式会社 Plasma processing equipment
KR100747735B1 (en) * 2005-05-13 2007-08-09 주식회사 테스 Semiconductor manufacturing apparatus
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
KR101249247B1 (en) * 2005-12-21 2013-04-01 참엔지니어링(주) Plasma etching chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198356A (en) * 2000-12-26 2002-07-12 Tokyo Electron Ltd Plasma treatment apparatus
KR20040018656A (en) * 2002-08-26 2004-03-04 삼성전자주식회사 Apparatus for etching edge of wafer
KR20050008637A (en) * 2003-05-12 2005-01-21 (주)소슬 Plasma etching chamber and plasma etching system therewith

Also Published As

Publication number Publication date
CN101855712B (en) 2012-07-18
JP5485904B2 (en) 2014-05-07
TWI502641B (en) 2015-10-01
KR20090048114A (en) 2009-05-13
WO2009061104A1 (en) 2009-05-14
US20110139371A1 (en) 2011-06-16
TW200935511A (en) 2009-08-16
JP2011503866A (en) 2011-01-27
CN101855712A (en) 2010-10-06

Similar Documents

Publication Publication Date Title
US6178919B1 (en) Perforated plasma confinement ring in plasma reactors
KR100875796B1 (en) Plasma confinement device
TWI411034B (en) A plasma processing apparatus and a method and a focusing ring
US8465620B2 (en) Hollow anode plasma reactor and method
US20070065597A1 (en) Plasma CVD film formation apparatus provided with mask
KR101380861B1 (en) Plasma etching chamber
CN109075007B (en) RF return strip shielding can
JP2009529225A (en) Method and apparatus for selective precoating of a plasma processing chamber
KR20030051698A (en) Wafer area pressure control for plasma confinement
JP2008511175A (en) Yttria insulator ring for use inside a plasma chamber
JP5305287B2 (en) Semiconductor manufacturing equipment
KR101249247B1 (en) Plasma etching chamber
TWI435661B (en) Plasma processing apparatus (3)
KR101253295B1 (en) Inductively Coupled Plasma Apparatus
JPH07169750A (en) Dome-shaped extention part for process chamber electrode
KR101246859B1 (en) Plasma Processing Apparatus
KR20110097781A (en) Rf sputtering arrangement
KR102492044B1 (en) System for treating substrate with the electro-static chuck
KR20220086013A (en) Semiconductor processing equipment including electrostatic chuck for plasma processing
CN114175207A (en) Processing chamber with reduced plasma arcing
KR20070008980A (en) Etching equipment for fabricating semiconductor device and chuck assembly used in the equipment
CN112652515B (en) Plasma etching device and edge ring thereof
KR100459646B1 (en) Separable shield ring
CN115917702A (en) Wear compensating confinement ring
CN114930507A (en) Chamber deposition and etch processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170328

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180327

Year of fee payment: 5