KR101374485B1 - 인장 변형된 반도체 광자 방출 및 검출 장치 그리고 집적된 광자 시스템 - Google Patents

인장 변형된 반도체 광자 방출 및 검출 장치 그리고 집적된 광자 시스템 Download PDF

Info

Publication number
KR101374485B1
KR101374485B1 KR1020120087611A KR20120087611A KR101374485B1 KR 101374485 B1 KR101374485 B1 KR 101374485B1 KR 1020120087611 A KR1020120087611 A KR 1020120087611A KR 20120087611 A KR20120087611 A KR 20120087611A KR 101374485 B1 KR101374485 B1 KR 101374485B1
Authority
KR
South Korea
Prior art keywords
germanium
region
silicon
optical
layer
Prior art date
Application number
KR1020120087611A
Other languages
English (en)
Other versions
KR20130018174A (ko
Inventor
폴 에이. 클리프톤
안드레아스 고벨
알. 스토크톤 가이네스
Original Assignee
아콘 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아콘 테크놀로지스 인코포레이티드 filed Critical 아콘 테크놀로지스 인코포레이티드
Publication of KR20130018174A publication Critical patent/KR20130018174A/ko
Application granted granted Critical
Publication of KR101374485B1 publication Critical patent/KR101374485B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/32Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures
    • H01S5/3201Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures incorporating bulkstrain effects, e.g. strain compensation, strain related to polarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/34Materials of the light emitting region containing only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/10Construction or shape of the optical resonator, e.g. extended or external cavity, coupled cavities, bent-guide, varying width, thickness or composition of the active region
    • H01S5/12Construction or shape of the optical resonator, e.g. extended or external cavity, coupled cavities, bent-guide, varying width, thickness or composition of the active region the resonator having a periodic structure, e.g. in distributed feedback [DFB] lasers
    • H01S5/125Distributed Bragg reflector [DBR] lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/10Construction or shape of the optical resonator, e.g. extended or external cavity, coupled cavities, bent-guide, varying width, thickness or composition of the active region
    • H01S5/18Surface-emitting [SE] lasers, e.g. having both horizontal and vertical cavities
    • H01S5/185Surface-emitting [SE] lasers, e.g. having both horizontal and vertical cavities having only horizontal cavities, e.g. horizontal cavity surface-emitting lasers [HCSEL]
    • H01S5/187Surface-emitting [SE] lasers, e.g. having both horizontal and vertical cavities having only horizontal cavities, e.g. horizontal cavity surface-emitting lasers [HCSEL] using Bragg reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/20Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers
    • H01S5/22Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers having a ridge or stripe structure
    • H01S5/2203Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers having a ridge or stripe structure with a transverse junction stripe [TJS] structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/32Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures
    • H01S5/3223IV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/32Structure or shape of the active region; Materials used for the active region comprising PN junctions, e.g. hetero- or double- heterostructures
    • H01S5/3223IV compounds
    • H01S5/3224Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/30Structure or shape of the active region; Materials used for the active region
    • H01S5/34Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers
    • H01S5/3427Structure or shape of the active region; Materials used for the active region comprising quantum well or superlattice structures, e.g. single quantum well [SQW] lasers, multiple quantum well [MQW] lasers or graded index separate confinement heterostructure [GRINSCH] lasers in IV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/20Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers
    • H01S5/22Structure or shape of the semiconductor body to guide the optical wave ; Confining structures perpendicular to the optical axis, e.g. index or gain guiding, stripe geometry, broad area lasers, gain tailoring, transverse or lateral reflectors, special cladding structures, MQW barrier reflection layers having a ridge or stripe structure
    • H01S5/227Buried mesa structure ; Striped active layer

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Lasers (AREA)
  • Light Receiving Elements (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Couplings Of Light Guides (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)

Abstract

인장 변형된 게르마늄이 제공되며 이는 거의 직접 밴드 갭 물질 또는 직접 밴드 갭 물질을 제공하기에 충분하도록 변형된다. 게르마늄 영역과 접촉하는 압축 스트레스된 또는 인장 스트레스된 스트레서 물질이 게르마늄 영역 내 단축 또는 2축 인장 변형을 유도한다. 스트레서 물질은 실리콘 나이트라이드 또는 실리콘 게르마늄을 포함할 수 있다. 산출된 변형된 게르마늄 구조물은 예를 들면, 레이저를 제공하기 위하여 공진 캐비티 내에 광자를 발생시키는 것을 비롯하여, 광자를 방출 또는 검출하기 위하여 사용될 수 있다.

Description

인장 변형된 반도체 광자 방출 및 검출 장치 그리고 집적된 광자 시스템{TENSILE STRAINED SEMICONDUCTOR PHOTON EMISSION AND DETECTION DEVICES AND INTEGRATED PHOTONICS SYSTEM}
1. 발명의 배경
본 발명은 일반적으로 반도체 광 방출 장치 또는 반도체 광 검출기를 포함하는 광학 시스템에 관한 것이다. 더욱 상세하게는, 본 발명은 활성 영역에 변형 IV 족 반도체 물질을 포함하는 반도체 광 방출 또는 검출 장치에 관한 것이다.
2. 관련 선행기술의 설명
광자 시스템에서 IV 족 반도체 물질의 사용에 대한 지속적인 관심이 존재하는데 왜냐하면 상기 시스템 제조의 용이성 및 회로에 이러한 IV 족 광자공학을 집적하는 것의 용이성 때문이다. 실리콘, 게르마늄 및 이들의 합금이 광자 시스템에 대하여 가장 흔히 고려되는 IV 족 반도체이다. 예를 들면, 실리콘으로부터 또는 실리콘 내에서의 광 방출은 대단한 관심의 대상이다. 실리콘 및 게르마늄은 간접 밴드갭을 나타내며, 이들의 합금 또한 조성의 전체 범위에 걸쳐 그러하다. 전통적으로, 이들은 광 방출을 위한 효율적인 물질이 아닌데 왜냐하면 직접 광학 전이에 포함되는 전도 밴드가 점유되지 않으며, 이에 따라 격자 진동 또는 불순물과 같은 또 다른 존재물의 추가적인 기여 없이, 광자를 직접적으로 재조합 및 생성할 수 있는 전자-정공 쌍이 본질적으로 존재하지 않는다.
멀티-코어 프로세서 또는 리딩-에지 메모리와 같은 실리콘-계 ULSI 칩과 광자 기능(photonic functions)을 집적시키는 비용-효율적인 방법은 광범위한 아키텍쳐 변화 및 현대 컴퓨팅에 대한 성능 향상의 문호를 개방할 수 있다. 이러한 광자 기능의 한 가지 제안된 적용예는 예를 들면 데이터를 하나의 CPU에서 또 다른 CPU로 라우팅하기 위하여 현대 ULSI 칩 내 인트라-칩 구리 상호연결부의 일부를 대체하는 것이며, 여기서 두 코어 모두가 동일한 물리적 실리콘 칩 상에 존재한다. 동시에, 실질적 IV 족 광자공학 해결책은 더욱 전통적인 광자 시스템을 제조하는데 상당한 비용 감소 이익을 제공할 수 있다.
광자공학을 기존의 CMOS 프로세스 플로우에 도입시키는 가장 기본적인 방법은 다음의 위상학적으로(topologically) 구별되는 선택사항들을 포함한다: i) 트랜지스터 이전에 광학 성분 제조; ii) 트랜지스터 집적 이후, 즉 금속 상호연결 층 이전에, 그 사이에, 또는 직후에, 광학 성분 제조; 또는 iii) 여러 메커니즘 중 어느 하나에 의해 ULSI 칩에 부착될 수 있는 IV 족 반도체를 이용하는 광-활성 층(optically-enabled layer) 제조. 부착 메커니즘은 웨이퍼 본딩, 서로 인접한 몇몇 다이의 코-패킹 여기서 이들은 패키지 내에서 와이어-본딩 되거나 또는 피처에 의해 연결됨, 및 예컨대 실리콘-관통 비아(through-silicon via, TSV)를 이용한 다이 적층 및 연결을 포함한다. 분리된 광학 층을 사용함으로써 트랜지스터 및 ULSI 전기적 상호연결(ULSI electrical interconnect) 제조에서 직면하는 제조 과제 및 중요한 집적 단계를 광학 층에 대하여 요구되는 것들로부터 분리시키는 것이 가능하다.
다른 한편으로, 다른 방식으로 해결되어야만 하는 커플링 및 정렬 문제를 회피하기 위하여 빛을 온-칩에서 방출하는 것이 유리하다. 온-칩 광 방출은 광학 층에서 광학적으로 활성인, 발광 물질로서 IV 족 반도체를 사용할 때 매우 어렵다. 문헌에 따르면 특정 파장의 외부에서 공급된 빛을 서로 다른 파장으로 전환시키기 위한, 라만 효과를 사용하는 실리콘 내 광 방출이 보고된다. 라만 효과를 이용하는 광 방출은 저효율 공정이다.
광학 시스템 또는 광학 층은 전형적으로 수 개의 기능 구성부(functional component)를 가진다. 광학 층은 일반적으로 광원을 포함하며, 상기 광원에는 광범위한 스펙트럼으로부터 사용되는 빛의 파장, 즉 "색깔"을 선택하기 위한 집적된 밴드폭 필터가 구비될 수 있다. 광원은 간섭성 빛을 방출하는 레이저 또는 발광 다이오드일 수 있다. 광원은, 전구(light bulb)를 켜고 끄는 것(높게 그리고 낮게)과 유사하게, 광원을 통과하는 전류를 조절함으로써, 또는 광원의 외부에 있는 별도의 부품, 즉 변조기를 통하여 "광 빔(light beam)"에 대한 정보를 조절함으로써, 직접적으로 조절될 수 있다. 외부 변조기는 해당 분야에 공지되어 있으며, 링 변조기(ring modulator) 및 마치-젠더(Mach-Zehnder) 변조기가 포함된다.
광학 층은 일반적으로 하나 이상의 도파로(waveguide)을 포함하는데, 상기 도파로는 빛을 연속파 형태로 또는 변조된 형태, 즉 신호로서 한 지점으로부터 다른 지점으로 유도할 수 있다. 도파로 성능 고려사항은 감쇠(attenuation)를 포함하는데, 이는 예컨대 빛 산란, 또는 도파로 또는 인접 물질에 대한 빛 흡수로 인한 길이 당 손실되는 빛의 정도이다. 또 다른 중요한 성능 계량치는 유도된 빛을 상당한 빛 손실 없이 소규모 회전 반경으로 또 다른 방향으로 회전시키는 도파로의 능력이다. 긴밀한 회전 반경은 예컨대 고 가둠(high confinement) 도파로를 사용하여 달성할 수 있는데, 여기서 도파로의 굴절률이 주변 영역에서보다 상당히 더 커서 이에 따라 광파 세기가 대부분 도파로 영역 내부에서 전달된다. 회전 반경과 도파로 외부의 광 세기의 소산 테일(evanescent tail)의 누출 사이의 상호작용이 링 변조기 또는 라우팅 스위치의 설계에 대하여 중요한 파라미터이다. 긴밀 회전(Tight turn)은 또한 거울에 의해 촉진될 수 있는데 여기서 입사광의 방향과 거울 표면에 대한 수직선 사이의 각도는 투사광(outgoing light)의 방향과 거울 수직 방향 사이의 각도와 실질적으로 동일하다. 또 다른 양상은 도파로가 소정의 광 편극성(light polarization)을 유지하는 정도이다.
광학 층은 일반적으로 입사(incoming) 도파로로부터 빛을 수신하고 다수의 투사(outgoin) 도파로로부터 투사광을 운송할 것인 하나 또는 수개를 선택하는 라우팅 또는 스위칭 요소를 포함한다. 거울이 하나의 입사 도파로 및 하나의 투사 도파로를 갖는 라우팅 요소로서 고려될 수 있다. 이러한 요소의 또 다른 예에는 배열된 도파로 커플러, 다중-모드 간섭 커플러 및 링-커플러가 포함된다.
광학 층은 일반적으로 정확하게 그리고 빠른 속도로 입사광의 세기를 측정하는 검출기를 포함한다. 종종 검출기는 역방향 바이어스된 광다이오드(reverse biased photodiode)이다. 광다이오드의 응답도, 그리고 외부 및 내부 양자 효율(quantum efficiency)이 바람직하게는 검출될 빛의 파장에 대하여 높아야 한다. 종종 이들의 속도는 RC 값, 검출기 커패시턴스(접합 커패시턴스 및 부유 커패시턴스)와 저항 값의 곱, 및 역방향 바이어스 접합을 유발하는 컨덕터의 커패시턴스에 의해 제한된다. RC 값은 검출기 접합부에서 발생한 전하 운송자가 전기 검출기 종점에서 검출가능한 전류를 전달할 수 있는 기간의 시간, 즉 검출기의 외부 속도를 측정한다.
광학 층은 일반적으로 동일 광학 층 상에 또는 별도의 층 내에, 즉 CMOS 칩 내에 구동 전자기기(drive electronics)를 포함하며, 광자층이 이러한 별도의 층에 상호연결부의 일부분을 제공한다.
예컨대 서버팜(server farm) 내 랙(racks) 사이, 어느 한 보드로부터 또 다른 보드로, 프로세서로부터 전기 회로 보드 또는 메모리로의 미래 데이터 전송 밴드폭 요구사항은 수 Tbps 데이터 밴드폭 범위까지 계속적으로 증가할 것이다. 기존의 광원용 광학 성분, 변조기 또는 심지어 검출기는 이러한 주파수에서 작동할 수 없다. 더욱 구체적으로, 광원의 직접 변조에 의하거나 또는 변조기에 의해 정보를 운송자 빔(carrier beam)에 도입시키는 능력은 현재 수십 Gbps의 주파수를 초과하지 않는다.
따라서, 복수의 광 빔(버스 라인의 수와 동등)이 데이터를 병렬로 전송하기 위하여 사용되는 접근법이 Tbps 시스템 밴드폭을 획득하기 위하여 필요하다. 광 빔이 서로 다른 파장을 갖는 정보를 운송하는 경우 복수의 운송자 신호가 단일 도파로 및 커플러를 통해 전송될 수 있다. 파장 분할 다중(wavelength division multiplexing, WDM)으로 불리는 이러한 방식은 원격통신 분야에서 공지되어 있다. 동일 또는 유사한 파장을 이용하는 복수의 포인트-투-포인트 연결(point-to-point connection)이 고려될 수 있으며 도파로는 심지어 서로에 대하여 횡단할 수 있는에 왜냐하면 광 빔이 서로 상호작용하지 않기 때문이다.
비용을 감소시키기 위하여 이러한 WDM 시스템 또는 포인트-투-포인트 연결의 네트워크를 단일 광학 층 내에 형성하는 것이 바람직하다.
광학 층 내에 빛을 생성하기 위한 몇 가지 방법이 공지되어 있다. 한 가지 방법은 하이브리드 레이저인데, 이는 실리콘 도파로 내에서 유도되는 일부 빛 에너지를 광학적으로 활성인 InP-계 다중 양자 우물 물질에 도달 또는 연장되도록 함으로써 광 증폭을 달성하는데, 여기서 광 증폭은 직접 밴드갭 InP-계 물질 내에서 광학적으로 활성인 전이를 전기적으로 펌핑함으로써 달성된다.
또 다른 종래 기술 방법은 게르마늄의 직접 밴드갭의 축소를 이용하는 것이며 이는 게르마늄의 2축 변형을 통하여 달성된다. 변형(strain)은 게르마늄과 게르마늄이 고온의 공정 단계에서 증착되는 기판 사이의 열팽창 계수의 불일치 때문에 발생한다. 온도를 낮추면 게르마늄은 조금, 전형적으로 0.3% 미만으로 2축 인장 변형된다. 이러한 경우, 변형은 게르마늄을 직접 밴드갭 물질로 완전히 전환시키기에 충분할 정도로 심하지 않으며, 게르마늄의 전도 밴드로부터 원자가 밴드(valence band)로의 에너지적으로 가장 소규모의 전이가 지속되어 광학적으로 허용되지 않는 전이가 된다(즉, 이는 간접적이며 광자 또는 격자 진동과 같은 또 다른 유사-입자(quasi-particle)를 포함한다). 간접 밴드 전이의 우세는 발광 소자의 활성 영역을 매우 강한 n-타입으로 도핑함으로써 형성되며, 이에 따라 가장 하위의 전도 밴드 골짜기가 점유된 상태가 된다. n+ 영역으로의 운송자의 전기적 주입의 높은 수준 하에서, 운송자(전자)는 광학적 전이가 금지된(간접 갭) 전도 밴드 골짜기로부터 광학적 전이가 허용되는(직접 갭) 에너지적으로 조금 더 높은 전도 밴드 골짜기로 이동한다. 금지 전이는 포화되며, 운송자는 더욱 효고적인 직접 밴드갭 전이 상태로 이동한다.
빛이 온-칩에서 생성되는 경우, 즉 광학 층 내에서 생성되는 경우, 광학 층은 균질 물질 또는 불균질 물질 시스템을 사용할 수 있다. 균질 물질 시스템에 있어서, 빛은 광원, 도파로, 변조기, 스위치 또는 검출기와 같은 시스템의 모든 구성성분에 대하여 화학적으로 실질적으로 동일한 물질 내에서 발광되고 검출된다. 불균질 물질 시스템에 있어서, 빛은 도파로 또는 검출기 물질과 화학적으로 서로 다른 물질 내에서 발광된다.
바람직한 구체 예의 개요
본 발명의 한 양상은 복수의 스트레서(stressor) 영역과 접촉하는 게르마늄 영역을 갖는 광학 소자를 제공한다. 복수의 스트레서 영역은 게르마늄 영역 내 인장 변형을 유도한다. 게르마늄 영역의 적어도 일부분에서의 인장 변형은 게르마늄 영역의 일부가 직접 밴드 갭을 가지도록 하기에 충분하다. 접합부는 게르마늄 영역 부분 내에 또는 이에 인접하여 위치하며, 상기 접합부는 제1 다수 운송자(majority carrier) 타입을 갖는 제1 측면(side) 및 제2 다수 운송자 타입을 갖는 제2 측면을 가진다. 제1 및 제2 접촉부는 각각 접합부의 상기 제1 측면 및 접합부의 상기 제2 측면에 연결된다.
본 발명의 또 다른 양상에 따르면, 광학 소자는 제1 및 제2 게르마늄 영역을 포함한다. 제1 게르마늄 영역은 제1 인장 스트레서와 접촉하며 이에 따라 제1 게르마늄 영역은 제1 게르마늄 영역의 적어도 제1 부분에서 2축 인장 변형을 가진다. 제2 게르마늄 영역은 제2 인장 스트레서와 접촉하며 이에 따라 제2 게르마늄 영역은 제2 게르마늄 광학적 활성 영역의 적어도 제2 부분에서 2축 인장 변형을 가진다. 광학 요소(Optical element)는 제1 및 제2 게르마늄 영역을 통과하는 광행로(optical path)를 정의한다. 접합부는 제1 및 제2 게르마늄 영역의 제1 및 제2 부분 내에 또는 이에 인접하여 위치하며, 상기 접합부는 제1 다수 운송자 타입을 갖는 제1 측면 및 제2 다수 운송자 타입을 갖는 제2 측면을 가진다. 제1 및 제2 접촉부는 각각 접합부의 상기 제1 측면 및 접합부의 상기 제2 측면에 연결된다.
본 발명의 또 다른 양상에 따르면, 광학 소자는 제1 및 제2 대면(face) 그리고 제1 및 제2 끝단 그리고 상기 제1 및 제2 대면 상의 제1 및 제2 스트레서 층을 갖는 게르마늄 슬랩(slab)을 포함한다. 제1 및 제2 스트레서 층은 게르마늄 슬랩 내 2축 인장 스트레스를 유도한다. 광학 요소는 게르마늄 슬랩을 관통하는 광행로를 정의하도록 게르마늄 슬랩에 대하여 위치한다.
본 발명의 또 다른 양상에 따르면, 광학 소자는 각각 제1 및 제2 대면 그리고 제1 및 제2 끝단 그리고 각각의 상기 제1 및 제2 대면 상의 제1 및 제2 스트레서 층을 갖는 둘 또는 그 이상의 게르마늄 슬랩을 포함한다. 제1 및 제2 스트레서 층은 상기 둘 또는 그 이상의 게르마늄 슬랩의 각각 내에서 2축 인장 스트레스를 유도한다. 광학 요소는 둘 또는 그 이상의 게르마늄 슬랩을 관통하는 광행로를 정의하도록 게르마늄 슬랩에 대하여 위치한다.
본 발명의 또 다른 양상에 따르면, 반도체 소자 제조 방법은 게르마늄 영역을 갖는 기판을 제공하는 단계 및 게르마늄 영역 내로 개구(opening)를 에칭하는 단계를 포함한다. 상기 방법은 계속하여 상기 개구 내에 실리콘 게르마늄을 형성하여 상기 게르마늄 영역의 제1 부분을 둘러싸는 임베디드 실리콘 게르마늄의 패턴을 형성하는 단계로 이어지며, 상기 실리콘 게르마늄 영역 및 상기 게르마늄 영역의 제1 부분은 동일면(in-plane) 2축 인장 변형을 가진다.
본 발명의 또 다른 양상은 전기 신호를 제1 변형된 반도체 영역을 포함하는 광학 소자에 커플링(coupling)시켜 응답 광학 신호(responsive optical signal)를 생성하는 단계를 포함하는 데이터 통신 방법을 제공한다. 상기 방법은 계속하여 상기 응답 광학 신호를 제2 비변형된 반도체 영역을 포함하는 도파로를 통하여 전송하는 단계 및 상기 응답 광학 신호를 제3 변형된 반도체 영역을 포함하는 검출기에 커플링시키는 단계로 이어진다. 상기 제1, 제2 및 제3 반도체 영역은 게르마늄을 포함한다. 본 양상의 더욱 구체적인 실시예에서, 이러한 영역들은 본질적으로 서로에 대하여 자체-정렬된다.
도면의 간단한 설명
도 1은 인장 변형된 활성 영역을 가질 수 있는 광 방출 또는 검출 장치의 일부분을 개략적으로 도시한다.
도 2는 임베디드 실리콘 게르마늄 스트레서들 사이의 게르마늄 스트립 내의 높은 수준의 유도된 변형을 나타내는, 도 1 구조에 기초한 시뮬레이션의 결과를 도시한다.
도 3은 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 임베디드 스트레서의 패턴에 의해 둘러싸인 게르마늄 영역을 개략적으로 도시하며, 여기서 상기 임베디드 스트레서는 일반적으로 직사각형 표면 횡단면을 가진다.
도 4(a)는 동일면 인장 스트레스 하에서, 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 물질로 구성된 분리된 임베디드 스트레서 영역의 어레이를 갖는 게르마늄 층을 사시도 관점에서 도시하며, 여기서 상기 임베디드 스트레서 영역은 일반적으로 직사각형 표면 횡단면을 가지며 상기 스트레서 영역은 인접한 게르마늄 영역 내 인장 변형을 유도한다.
도 4(b)는 동일면 인장 스트레스 하에서, 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 물질로 구성된 분리된 임베디드 스트레서 영역의 어레이를 갖는 게르마늄 층을 사시도 관점에서 도시하며, 여기서 상기 임베디드 스트레서 영역은 둥근 또는 원형 표면 횡단면을 가지며 상기 스트레서 영역은 인접한 게르마늄 영역 내 인장 변형을 유도한다.
도 4(c)는 동일면 인장 스트레스 하에서, 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 물질로 구성된 연결된 임베디드 스트레서 영역의 어레이를 갖는 게르마늄 층을 사시도 관점에서 도시하며, 여기서 임베디드 스트레서 물질은 게르마늄의 기둥(pillar) 형상 영역의 주변부(periphery)를 둘러싸며, 게르마늄 기둥 영역은 일반적으로 직사각형 표면 횡단면을 가지며 스트레서 물질은 인접한 둘러싸인 게르마늄 영역에 동일면 2축 인장 변형을 유도한다.
도 4(d)는 동일면 인장 스트레스 하에서, 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 물질로 구성된 연결된 임베디드 스트레서 영역의 어레이를 갖는 게르마늄 층을 사시도 관점에서 도시하며, 여기서 임베디드 스트레서 물질은 게르마늄의 기둥 형상 영역의 주변부를 둘러싸며, 중첩(overlapping) 임베디드 스트레서 영역은 일반적으로 둥근 또는, 특정 한계에서, 원형 표면 횡단면을 가지며 스트레서 물질은 인접한 둘러싸인 게르마늄 영역에 동일면 2축 인장 변형을 유도한다.
도 4(e)는 동일면 인장 스트레스 하에서, 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 물질로 구성된 연결된 임베디드 스트레서 영역 내 기둥 형상 게르마늄 영역을 사시도 관점에서 도시한다. 도시된 기둥은 게르마늄의 기둥 유사 형상 영역의 어레이 내에 하나일 수 있으며, 둘러싸인 게르마늄 영역 내 동일면 2축 인장 변형을 유도하는 스트레서 물질을 포함한다.
도 5는 본 발명의 또 다른 양상의 실시예를 도시하며, 여기서 인장 변형이, 게르마늄 핀(fin)의 측벽 상의 압축성 스트레서 층에 의해 부과된 힘을 통하여 게르마늄의 핀 내에 생성된다.
도 6은 도 5 전략의 변경을 도시하며, 여기서 2축 인장 변형이, 게르마늄 핀의 측벽 상의 압축성 스트레서 층의 패턴화(patterning)에 의해 게르마늄 핀 내에 생성된다.
도 7(a-b)는 3차원 시뮬레이션을 사용하여 도 5 및 6 전략의 또 다른 변형을 도시하며, 여기서 복수의 2축 인장 변형된 게르마늄 핀이 광행로를 따라 제공된다.
도 8(a-b)는 변형된 게르마늄 스트립을 도시하며, 여기서 인장 변형은 상부의 압축 스트레스된 물질(compressively stressed material) 및 가장자리 이완(edge relaxation)에 의해 유도된다.
도 9는 압축 스트레스된 물질의 상단 층 및 하단 층 그리고 가장자리 이완에 의해 인장 변형이 유도되는 변형된 게르마늄 스트립을 개략적으로 도시한다.
도 10은 2축을 따라 3개 층을 통과하는 절단부를 가지며, 압축 스트레스된 물질의 상단 층 및 하단 층 그리고 가장자리 이완에 의해 스트립에서 2축 인장 변형이 유도되는 변형된 게르마늄 스트립을 개략적으로 도시한다.
도 11은 내부 반사를 제한하는 도 10 전략의 바람직한 다른 변화를 도시한다.
도 12는 p-타입 게르마늄 층 상에 에피택셜하게(epitaxially) 증착되어 구조물이 광자를 방출 또는 검출할 수 있는 인장 변형된 n-타입 게르마늄 영역의 어레이의 횡단면을 개략적으로 도시한다.
도 13은 도핑된 폴리실리콘의 상부 층(overlying layer)으로부터 확산에 의해 도핑된 얕은(shallow) 인장 변형된 n-타입 게르마늄 영역의 어레이의 횡단면을 개략적으로 도시한다.
도 14는 에피택셜 p-타입 게르마늄 영역의 어레이 상에 형성되어 구조물이 광자를 방출 또는 검출할 수 있는 에피택셜 인장 변형된 n-타입 게르마늄 영역의 어레이의 횡당면을 개략적으로 도시한다.
도 15는 전자 방출 층과 접촉하여 구조물이 광자를 방출 또는 검출할 수 있는 인장 변형된 p-타입 게르마늄 영역의 어레이의 횡단면을 개략적으로 도시한다.
도 16은 전자 방출 층과 접촉하여 구조물이 광자를 방출 또는 검출할 수 있는 인장 변형된 p-타입 게르마늄 영역의 어레이의 횡단면을 개략적으로 도시한다.
도 17은 n-타입 실리콘 게르마늄 영역 및 전자 방출 층과 측면 접촉하여 구조물이 광자를 방출 또는 검출할 수 있는 인장 변형된 p-타입 게르마늄 영역의 어레이의 개략적인 횡단면을 도시한다.
도 18은 도 12-17에 도시된 구조 및 공정과 일치하는 또 다른 전략을 도시하는데, 여기서 하나 또는 그 이상의 분리된 게르마늄 기둥이 연속적 실리콘 게르마늄 스트레서 내에 형성되고 임베디드된다.
도 19는 빛을 방출 또는 검출하는 동일면 변형된 또는 2축 변형된 게르마늄 기중 또는 핀을 갖는 구조물과 연결된 게르마늄 도파로를 개략적으로 도시한다.
도 20은 인장 변형된 게르마늄을 함유하는 층이 공진기(resonator)와 연결되어 레이저 구조(laser structure)를 제공하는 배열을 개략적으로 도시한다.
바람직한 구체 예의 상세한 설명
본 발명의 바람직한 구체 예는 빛을 방출 또는 검출하는 활성 영역으로서 변형 IV 족 반도체를 사용하는 광 방출 또는 광 검출 장치 또는 방법을 포함한다.
본 명세서의 빛은 넓은 의미에서 자외선 및 적외선 범위를 포함하는 것으로 사용된다. 한 예로서, 본 발명의 한 실시예는 이득 매질(gain medium)로서 인장 변형된 게르마늄을 사용하는 반도체 레이저를 제공할 수 있다. 가장 바람직하게는, 이러한 구체적인 실시예는 변형된 게르마늄 영역의 적어도 일부분이 직접 밴드갭 반도체가 되도록 충분한 정도로 2축 인장 변형된 게르마늄 영역을 사용할 수 있다.
본 발명의 일부 구체 예는 일반적으로 균질한 물질로 제조되어 하나 이상의 광원, 하나 또는 그 이상의 도파로, 하나 이상의 라우팅 또는 스위칭 요소, 또는 하나 이상의 검출기를 포함하는 광학 층의 여러 구성성분을 형성하는 개별 광학 층을 사용할 수 있다. 구동 전자기기가 광학 층 내에 또는 관련된 ULSI 칩과 같은 또 다른 층 내에 포함된다. 균질한 물질의 경우에 대하여, 구성성분을 구성하는 이러한 물질은 물론 물리적으로 다소 상이한데, 왜냐하면 균질한 물질 시스템의 사용은 해당 물질의 광학적 특성의 일부의 국지적 변화를 필요로 하며, 이에 따라 이를 광 방출 (직접 밴드갭) 반도체 물질로부터, 광학적으로 투명한 (간접 밴드갭) 도파로 물질로 또는 광 검출 (직접 밴드갭) 반도체 물질로 다양하게 변화시킨다.
가장 바람직하게는, 원하는 국지적 광학 특성을 달성하기 위하여 요구되는 변화는 예컨대 외부 변형(strain), 특히 2축(bi-axial) 또는 단축(uniaxial) 인장 변형의 적용을 통하여 생성된다. 또한, 바람직한 실시예는 도펀트 이온 주입 또는 확산과 같은 일반적인 방법으로 해당 반도체 물질의 전기적 특성을 국지적으로 변화시켜 일반적인 방법으로 전기 소자를 형성한다.
일부 바람직한 구체 예는 일반적으로 균질한 물질 시스템으로부터 광학 층을 정의하며 이를 위하여 선택 구성성분에서 반도체 물질의 일부가 완전한 직접 또는 완전한 간접 밴드갭을 갖도록 형성된다. 특히 바람직한 구체 예에서, 방출기 또는 검출기의 밴드갭은 도파로의 밴드갭보다 낮으며, 이에 따라 도파로는 방출기에 의해 방출되거나 검출기에 의해 검출된 광자에 대하여 본질적으로 투과성이다. 간접 밴드갭을 갖는 반도체 물질로 형성된 도파로의 경우, 도파로 물질을 변형되지 않은 채로 남겨두는 것은 상기 물질이 변형된 방출기 또는 검출기 물질보다 더 큰 밴드갭을 보유하는 것을 가능하게 한다. 밴드갭의 간접 성질과 함께 도파로 내 더 큰 밴드갭은 도파로 물질의 더 낮은 광학 투과 손실을 야기한다.
활성 광 방출 또는 흡수를 위하여 변형된 게르마늄을 사용하는 특히 바람직한 실시예에서, 소스 및 검출기는 간접 반도체 (따라서 비교적 비효율적인 광학 방출/흡수 물질)로부터 더욱 직접 반도체 (및 이에 대응하여 효과적인 광학 방출/흡수 물질)로 변화될 것이다. 게르마늄 내 인장 변형이 게르마늄을 더욱 직접 밴드 갭 반도체로 만든다는 것은, 인장 변형이 감마 포인트에서 전도 밴드 최소값과 원자가 밴드(valence band) 사이의 직접 전이에 대응하는 광학 전이를 전도 밴드 최소값과 감마 포인트에서 원자가 밴드 사이의 감소된 에너지 갭으로 인하여 더욱 가능성 있게 만든다는 것을 의미한다. 즉, 고도로 인장 변형된 게르마늄은 감마 포인트에서 직접 전이에 대응하는 발광(luminescence)의 강한 증강을 나타낸다. 이러한 발광의 강한 증강은 게르마늄 내 반도체 레이저 및 발광 다이오드를 포함하는 효율적인 발광 소자의 제조에 사용될 수 있다.
갈륨 비소와 같은 직접 밴드갭을 갖는 반도체 물질의 경우에 있어서, 도파로는 하나 이상의 축에 따른 압축성 스트레스의 적용을 통하여 비-흡수성 반도체로 변화될 수 있으며, 이러한 압축성 스트레스는 도파로 내 밴드갭을 증가시키며 이러한 도파로를 변화되지 않은 벌크 갈륨 비소로부터 방출된 파장에 대하여 더욱 투과성이 되도록 한다. 그 결과 흡수를 통한 투과 손실이 감소된다.
이하에서 변형된 반도체 광 방출 또는 검출 요소를 갖는 광자 시스템의 구성성분을 형성할 수 있는 방법 및 장치의 몇 가지 예시적인 실시예를 기술한다.
IV 족 반도체는 일반적으로 다이아몬드 구조를 나타내며 예를 들면 주 방향(principal directions) <100>, <110> 및 <111>을 가지며, 이는 결정 구조의 대칭을 나타낸다. 이러한 축은 각각 (100), (110), 및 (111) 격자 평면에 대하여 수직이다. 천연 평형 격자(원자들 사이의 거리 및 원자들 사이의 각도)의 변형은 밴드 구조의 변화를 유발한다. 예를 들면, 첫 번째 순서로, 정수압(hydrostatic pressure)은 입방 격자의 균질한 체적 압축을 야기하며 가장 흔하게는 증가된 직접 밴드갭을 유발한다. 게르마늄에 대하여, 밴드 구조에 대한 단축, 2축 및 정수(hydrostatic) 변형의 효과가 오랜 시간 동안 과학적 관심의 대상이었다.
게르마늄의 (100) 평면에서의 2축 인장 변형의 적용은 물질을 더욱 직접(direct)으로 만드는데, 즉 (100) 2축 변형의 증가가 간접 밴드갭보다 직접 밴드갭을 더욱 신속하게 좁힌다. 2축 인장 변형된 게르마늄의 밴드 구조의 계산은 물질이 (100) 평면 내 약 1.9% 변형에서 완전하게 직접(direct)이 되는 것을 예측한다. 또한, 게르마늄의 <111> 방향을 따라 단축 인장이 적용될 때 단축 변형이 직접 밴드갭을 유도한다는 것이 보고된다. 물론, 결정의 주 방향에 대한 다수의 변형 방향 및 배열은 간접 밴드갭 물질을 더욱 직접 밴드갭 물질로 변화시키기 위한 서로 다른 장점을 제공할 수 있다.
도 1은 광 방출 또는 광 검출을 위하여 사용될 수 있는 게르마늄 영역(예컨대 핀 또는 스트립)을 인장 변형시키기 위하여 임베디드 실리콘 게르마늄 (SiGe) 스트레서가 사용되는 실시예를 도시한다. 바람직한 구체 예에서 복수의 인장 스트레서가 게르마늄 층 내에 임베디드되어 임의 두 개의 이웃하는 인장 스트레서 영역 사이의 게르마늄의 체적에서의 인장 변형을 일으킨다. SiGe 합금은, 게르마늄 표면에 형성된 함몰부(recess) 내에 에피택셜하게 성장될 때 적절한 인장 스트레서 물질이다. SiGe 합금은 게르마늄 내 결정 격자 공간보다 더 작은 결정 격자 공간을 가진다. 따라서 SiGe의 박막(thin film)이 게르마늄 표면 상에 에피택셜하게 성장될 때 SiGe는 인장 동일면 격자 불일치(misfit) 변형 하에 있게 된다. 인장 변형된 임베디드 SiGe 스트레서는 측면에 인접한 게르마늄 내에 인장 변형을 유도한다. 더욱 구체적인 실시예로서, 도 1은 게르마늄 층(10)의 일부분을 도시한다. 2개의 기다란 트렌치가 게르마늄 층(10)의 표면에서 절단되며 SiGe의 에피택셜 증착에 의해 충진되어 게르마늄 영역(16)의 양쪽 측면 상에 임베디드 스트레서(12, 14)를 형성한다. 게르마늄(16)의 좁은 스트립의 양쪽 측면 상의 임베디드 SiGe 스트레서(12, 14)는 도 1에 도시된 바와 같이, SiGe 스트레서 영역 사이의 게르마늄의 체적에서 단축(uniaxial) 인장 변형을 유도한다. 인장 변형된 게르마늄(16)의 좁은 스트립은 레이저 또는 다이오드의 광 방출 활성 영역으로서 사용될 수 있거나 또는 예를 들면 광다이오드의 광 검출 영역으로서 사용될 수 있다. 이러한 구조물의 높이 또는 두께, 폭 및 길이는 바람직하게는 구성성분에 적합한 광학적 기능성을 달성하기 위한 원하는 수준의 변형을 달성하도록 선택된다. 바람직하게는, 치수는 구체적인 실시 및 구성성분의 또 다른 요소에 따라 선택된다.
고급 CMOS 기술은 종종 고성능 p-채널 전계 효과 트랜지스터(field effect transistor)의 제조에서 임베디드 SiGe 소스 또는 드레인(S/D) 영역을 포함한다. 실리콘 트랜지스터에 임베디드된 SiGe 소스 드레인 영역의 경우에, 압축 변형이 SiGe 스트레서 사이의 실리콘 영역에서 획득된다. 이는 SiGe 스트레서가 본 명세서에서 기재된 게르마늄 소자에 임베디드될 때 발생하는 변형 조건과 반대이나, 제조 공정 및 기술, 설계 고려 사항 및 실시사항은 매우 유사한다.
도 2는 도1에 제시된 것과 일반적으로 유사한 구조의 시뮬레이션을 도시하며, 도 2는 스트립의 장축에 수직인 횡단면을 제시한다. 도 2 시뮬레이션은 실리콘 또는 또 다른 웨이퍼 또는 기판(20)과 게르마늄의 층(26) 사이에 매립된 절연층(28)을 포함하는 조금 더 복잡하고 현실적인 구조를 사용하며, 상기 게르마늄의 층(26)에서 트렌치가 형성되며 후속하여 실리콘 게르마늄으로 적어도 부분적으로 충진되어 인장 스트레서 영역(22 및 24)을 형성한다. 도 2의 시뮬레이션에 제시된 바와 같이, 높은 수준의 변형이 유도되며, 특히 게르마늄 스트립(26)의 상단 표면 근처에서 유도된다.
그 대신에, SiGe 스트레서는 약 100 nm X 100 nm의 깊이 및 폭을 갖는 복수의 임베디드 영역의 매트릭스 형태로 게르마늄 레이저 또는 발광 다이오드 또는 광검출기(photodector) 내에 혼입(incorporated)될 수 있다. 이러한 치수는 예시적인 것이며 치수의 범위가 효과적으로 사용될 수 있다. 특별하게 언급된 치수가 도시된 배열에 유용하다. 이러한 전략에서, 레이저의 광-방출 활성 영역(전형적 크기 0.35 내지 1.5 마이크론 폭, 또는 그 이상의 폭 X 2 내지 10 또는 심지어 수백 마이크론 길이, 또는 그 이상의 길이) 또는 광검출기의 광-검출 영역은 임베디드 SiGe의 인접한 체적에 의해 2개의 동일면 축을 따라 유도된 2축 인장 변형을 갖는 게르마늄의 여러 영역으로 구성될 수 있다. 도 3은 4개의 측면 상에 형성된 인접한 SiGe 스트레서 요소(32, 34, 36, 38)에 의해 중앙 게르마늄 영역(30)에 2축 인장 변형이 유도된 매트리스의 하나의 요소를 도시한다. 스트레서 요소는 예를 들면 대략적으로 정사각형일 수 있는 일반적으로 직사각형 표면 횡단면을 가진다. 스트레서는 실리콘 게르마늄으로 도시되며, 이는 인접한 게르마늄 영역에 인장 변형을 제공한다. 또 다른 스트레서 물질이 또한 사용될 수 있으며, 예컨대 인장 스트레스를 제공하도록 증착된 실리콘 나이트라이드이다. 변형 분포는 일반적으로 불균질하며 기하형태 및 또 다른 관련된 특성 예컨대 실리콘 게르마늄 스트레서의 조성에 의존한다. 변형은, 예컨대, 게르마늄 영역의 상단 측면 부분에서 가장 클 수 있다. 2축 변형은 게르마늄 영역(30)의 중앙 부분에서 최대일 수 있다.
도 4(a-e)는 복수의 게르마늄 요소가 매트릭스 내에 어떻게 배열되어 각각의 요소가 요소의 적어도 일부분에서 2축 인장 변형을 가지는지를 나타낸다. 2축 인장 변형 하의 게르마늄 요소는 도 4(a) 및 도 4(b)에 제시된 바와 같이 유사하게 2축 변형 하의 이웃하는 게르마늄 요소에 연결될 수 있다. 그 대신에, 게르마늄 요소는 도 4(c) 및 도 4(d)에 제시된 바와 같이 스트레서 물질에 의해 이웃하는 게르마늄 요소로부터 분리될 수 있으며, 이러한 경우 분리된 게르마늄 영역은 기둥으로 나타난다. 비록 게르마늄 기둥 요소가 웨이퍼의 평면에서 스트레서 물질에 의해 분리되지만, 이들은 물론 자신들의 기저부를 통해 공유 또는 공통 잔류 게르마늄 층 또는 기판에 연결되어 유지될 수 있다. 또한, 게르마늄 기둥은 실질적으로 정사각형 횡단면 프로파일을 가질 수 있거나 또는 도 4(d)에 도시된 바와 같이 제한적으로 원형 횡단면 프로파일 또는 오목 측면 기둥 프로파일을 갖는 둥근형일 수 있다. 도 4(e)는 예컨대 실리콘 게르마늄 또는 실리콘 나이트라이드와 같은 인장 동일면 스트레스 하의 물질로 구성된 임베디드 스트레서 영역 내 게르마늄 영역의 어레이의 하나를 제시한다. 도시된 기둥은 둘러싸인 게르마늄 영역 내 동일면 2축 인장 변형을 유도하는 스트레서 물질을 갖는, 게르마늄의 기둥 유사 형상 영역의 어레이 중 하나일 수 있다.
본 구체 예의 특히 바람직한 실시예에서, 도 4 중 어느 하나에 도시된 것과 유사한 변형된 게르마늄 요소의 어레이가 레이저 장치의 광 방출 활성 영역 또는 광검출기 장치의 광 검출 영역으로서 사용될 수 있다. 도 4의 광 방출 활성 영역은 복수의 적어도 부분적으로 2축 인장 변형된 게르마늄 요소로 구성된다. 레이징 작용(lasing action)을 달성하기 위한 목적으로, 레이저 활성 영역의 전체 체적이 2축 인장 변형된 게르마늄으로 형성될 필요는 없으나 2축 인장 변형된 게르마늄인 레이저 체적의 비율을 최대화하는 것이 바람직하다. 또한, 게르마늄 영역에서 충분한 인장 변형을 유도하여 상기 영역이 상기 영역의 적어도 일부분에 걸쳐 직접 밴드갭이 되도록 하는 것이 바람직하다. 가장 큰 2축 인장 변형을 갖는 게르마늄 영역의 일부분에서, 직접 전도 밴드 (감마 포인트) 최소가 자신의 가장 낮은 에너지가 된다. 특히 약 1.8% 내지 2.0%의 2축 변형을 갖는 게르마늄의 임의 부분에 대하여, 직접 갭(감마 포인트에서)은 간접 갭보다 더 작아야 하며 게르마늄의 해당 부분은 직접 갭 반도체로 간주될 수 있다. 이러한 조건 하에서 자유 전자는 최고의 2축 인장 변형(최저의 전도 밴드 에너지)을 갖는 부분으로 이동될 것이며 이는 직접 광학 전이가 더욱 우세한 부분과 동시에 같은 공간을 차지할 것이다. 이와 같이, 레이저 내 게르마늄 체적의 단지 일부분만이 직접 갭 거동을 유도하기에 충분한 2축 인장을 가질 수 있음에도, 이는 광자의 자극된 방출의 실제적인 목적에 적합할 수 있는데 왜냐하면 직접 갭 부분은 동시에 해당 부분에서 감소된 전도 밴드 에너지 레벨로부터 발생된 내장형 필드(built in field)에 의해 전자가 이동하는 게르마늄의 부분이기 때문이다. 이와 반대로 직접(direct)으로 전환되지 않는 게르마늄의 덜 변형된 부분은 간접(indirect)으로 잔류할 것이며 광 증폭을 제공하지 않을 것이다. 그렇지만 가장 작고 직접 밴드갭을 갖는 고도로 변형된 부분으로부터 방출된 빛은 더 크고 간접 밴드갭을 갖는 덜 변형된 부분에 흡수되지 않을 것이다. 비록 게르마늄의 덜 변형된 부분이 발광 다이오드 또는 반도체 레이저에서 광 방출에 상당한 기여를 하는 것으로 기대되지 않지만, 이러한 부분은 또한 손실에 대하여도 상당한 기여를 하지 않는다. 그럼에도 높은 수준의 2축 인장 변형 하에 있는 광학적 활성 영역에서 게르마늄의 부분을 최대화시키는 것이 바람직하다.
바람직하게는 이러한 배치에 대하여, 게르마늄 전도 밴드를 피닝(pinning)하는 것을 방지하고 그리고 전도 밴드를 측면으로 변화시키면서 이득 영역으로 운송자를 퍼넬링(funneling)시키는 전술한 효과를 촉진하기 위하여, 실리콘 게르마늄 스트레서는 도핑되지 않거나 또는 도핑된 n-타입이 아니다. 임베디드 스트레서는 그 대신에 내장형 인장 스트레스(built-in tensile stress)를 가지면서 증착된 실리콘 나이트라이드로 형성될 수 있다. 내장형 인장 스트레스를 갖는 실리콘 나이트라이드의 필름을 증착하기 위한 방법 및 도구는 실리콘 집적 회로 제조 산업에서 잘 알려져 있다.
도 5에 도시된 구체 예에서, 게르마늄 기판(22)은 패턴화되고 에칭되어 기판(50)의 나머지 부분 상부에 연장되는 게르마늄 핀 구조물(52)을 형성한다. 핀 구조물(52)은, 예를 들면, 0.05 ㎛의 폭 및 0.15 ㎛의 높이일 수 있다. 도 5에서 화살표로 개략적으로 제시된 바와 같이 게르마늄 핀 내에 단축 인장 변형을 제공하기 위하여, 압축성 스트레서(54, 56)가 게르마늄 핀(52)의 측벽에 형성된다. 전형적으로, 도시된 구조물은 압축 스트레스된 실리콘 나이트라이드의 등각(conformal) 블랭킷 층을 증착시킴으로써 형성된다. 스트레스된 실리콘 나이트라이드를 그 후 바람직하게는 핀의 상단으로부터 에칭 제거하여, 실리콘 나이트라이드 층을 에칭시켜 실리콘 나이트라이드를 단지 측벽을 따라서면 잔존시켜, 핀의 상단에 대한 전기적 접촉을 가능하게 한다. 측벽 스트레서 내 초기 스트레스가 압축성이기 때문에, 측벽 스트레서(54, 56)는 이완될 때 수직으로 팽창하며 게르마늄 핀 구조물(52) 내의 인장 변형을 유도한다.
내장형 압축성 스트레스를 갖는 실리콘 나이트라이드의 증착된 필름을 얻기 위한 방법 및 도구는 실리콘 집적 회로 제조 산업에서 잘 알려져 있으며, 증착 및 후속하는 비등방성 에칭에 의해 실리콘 나이트라이드와 같은 물질의 측벽을 형성하기 위한 방법은 공지되어 있다. 측벽 스트레서는 단독으로, 수직으로 배향되며 반도체 워크피스 또는 웨이퍼의 평면에 직교하는 게르마늄 핀 내에 단축 인장 변형을 부여할 것이다. 여기서, 도 5의 인장 변형된 게르마늄 핀 활성 영역(52)은 기하형태 및 후속하는 공정에 따라서 광 방출 영역 또는 광 검출 영역으로서 작용할 수 있다. 바람직하게는 측벽 스트레서 구조물(54, 56) 내 최초 변형 및 핀(52)의 치수는 핀 활성 영역(52)의 일부분이 직접 밴드 갭을 가지도록 충분한 수직(단축) 인장 변형을 발생시키기에 적절하다. 앞선 공정 또는 후속 공정이 도시된 핀 구조물 내 일반적인 수평 p-n 접합부를 형성하기 위하여 사용될 수 있다. 예를 들면, 도시된 핀 구조물은 p-타입 물질로서 형성될 수 있다. 고도로 n-타입 도핑된 다결정 게르마늄 층이 p-타입 핀(52)의 상부 표면상에 형성된다. 후속 어닐링은 n-타입 도펀트가 핀 구조물(52) 내로 확산되도록 하여 바람직하게는 일반적인 수평 p-n 접합부를 형성하도록 한다. 바람직하게는 p-n 접합부는 상기 접합부가 효율적인 광 방출기 또는 검출기가 되는 것을 허용하도록 핀 구조물(52)의 인장 변형된 부분에 충분하게 인접하여 위치된다. 광자 방출에 대하여, 전류를 접합부를 통하여 유동시킴으로써 전자 정공 쌍이 생성되며 광자가 바람직한 직접 밴드 갭과 관련된 전자-정공 방사 재조합(radiative recombination)을 통하여 방출된다. 광자 검출에 대하여, p-n 접합부가 역방향 바이어스 되어, 광자가 전자 정공 쌍을 생성하는데 이들은 분리되어 있으며 접합부를 통한 전기 전류로서 검출된다. 광자 방출 실시에 있어서, 게르마늄 핀의 끝단 대면(face)이 하나 또는 그 이상의 반사 층으로 코팅되어 공진 캐비티(resonant cavity)을 달성하는 것이 가끔 바람직하다.
접합부를 위치시키는 데 있어서, 직접 밴드를 제공하며 효율적인 광 검출 또는 방출을 제공하기에 충분히 인장 변형된 게르마늄의 일부분에서 충분한 정도로 광자 흡수(전자 정공 쌍의 생성을 통하여) 또는 방출(전자 정공 쌍의 방사 제조합을 통하여)이 일어나도록, 접합부가 배치되는 것이 바람직하다. 그 대신에, 효율적인 방출을 달성하기 위하여 전류 주입 또는 또 다른 전략이 감소된 밴드 갭과 함께 사용될 때, 게르마늄의 인장 변형된 일부분은 바람직하게는 직접 광학 전이를 위한 최저 밴드 갭을 포함한다. 인장 변형된 영역 또는 접합부 또는 접합부의 일부의 이러한 적절한 배치는 본 명세서에서 인접한 것으로 확인되며, 그리고 접합부 일부분이 국지적 최대 인장 변형의 영영과 일치하도록 하는 배치 및 이러한 배치 사이의 오프셋(offset)이 존재하는 배치를 포함한다. 오프셋의 있을 수 있는 허용 가능한 크기는 달성되는 변형의 수준, 응용분야 및 소자 기하형태에 의존한다. 이러한 논의는 도 5의 비교적 단순한 기하형태에 구체화되어 이루어지나, 다른 도면과 관련되어 논의되는 더욱 복잡하며 또 다른 실시에 동등하게 적용될 수 있다. 또한, 배치 및 또 다른 고려사항이 인장 변형이 직접 밴드갭 전이를 달성하기에 불충분한 실시에 대하여도 적용가능하다. 이러한 상황에서, 본 명세서에 기재된 원리가 적용되지만, 바람직하게는 유용할 충분한 광자 방출 또는 검출을 달성하기 위한 원하는 도핑, 바이어스 및/또는 전류와 조합된다. 임의 레이저 적용에 대하여, 캐비티의 적절한 반사 끝단이 바람직하게는 제공되며, 손실이 적절한 낮은 수준에서 유지되며, 충분한 전류가 제공되어 캐비티가 선행 기술에 공지된 방법으로 이득을 제공한다. 이러한 논의는 접합부 또는 복수의 접합부를 참조한다. 많은 경우에, 접합부는 예리한 p-n 접합부가 아니라 효과적으로는 바람직하게는 도핑되지 않은 활성 층의 양쪽 면에 배치된 p-타입 및 n-타입을 갖는 p-i-n 접합부일 수 있다. 유사한 p-n 또는 p-i-n 접합부가 광 방출 레이저 다이오드(즉 LED) 장치 및 광검출기 장치 둘 모두에서 사용될 수 있다.
측벽 스트레서 방법의 추가적인 강화에 있어서, 좁은 절단부가 핀의 길이를 따라 실리콘 나이트라이드 압축성 스트레서 층에 에칭될 수 있으며 이는 측벽 실리콘 나이트라이드를 핀의 길이를 따라 불연속적으로 만든다. 이는 도 6에 일부 도시되는데, 여기서 좁은 절단부(68)가 압축 스트레스된 실리콘 나이트라이드 측벽 구조물의 하나를 통하여 에칭되어 복수의 측벽 압축성 영역(64, 66)을 형성하며, 상기 복수의 측벽 압축성 영역(64, 66)은 수직 및 측면으로 확장되어 게르마늄 핀(62) 내 수직 및 수평 변형 성분을 유도할 수 있다. 실리콘 나이트라이드 측벽 내 파단부 또는 절단부에서, 가장자리 이완(즉, 스트레서의 상대적으로 수축되지 않은 가장자리에서의 확장 또는 수축에 의해 촉진되는 이완)이, 도 6에 제시된 바와 같이, 핀의 길이 축을 따라 배향된 인접한 게르마늄 핀 내에 추가적인 스트레스 성분을 야기시킨다. 이러한 구성은 게르마늄 활성 영역(62)의 세그먼트 내 변형의 2축 인장 성분을 유도하며, 이는 직접 광학 전이를 위하여 밴그 갭을 감소시키기 위하여, 바람직하게는 직접 광학 전이가 최저 에너지 전이가 되는 정도로 게르마늄 밴드 구조를 수정하기에 바람직하다. 도 6에 도시된 바와 같이, 비록 절단 라인이 서로 다른 각도일지라도, 압축성 실리콘 나이트라이드 측벽 층 내 추가적인 수직 절단부가 수직일 수 있다.
레이저 또는 광검출기의 게르마늄 활성 요소 내 2축 인장 변형을 획득하기 위한 대안적인 방법은, 게르마늄 핀 내 2축 인장 변형 성분을 더욱 우수하게 유도하기 위하여 핀의 길이를 따라 측벽 스트레서 요소 및 게르마늄 도파로 둘 모두에 파단부 또는 절단부를 도입한다. 절단부가 게르마늄 핀 도파로 내에 에칭되면, 레이저 또는 광검출기의 길이 축에 따른 게르마늄 내 갭은 바람직하지 않을 수 있는데 왜냐하면 이들이 레이저 활성 영역 내에 발생한 빛 또는 광검출기 내 빛의 원치 않는 내부 반사 또는 산란을 발생시키는 부분적 거울로서 작용할 것이기 때문이다. 이러한 바람직하지 않는 거동은 갭에 비결정질 게르마늄을 증착시킴으로써 제한될 수 있다. 갭이 게르마늄 내에 에칭될 때 발생하는 가장자리 이완은 게르마늄 핀 도파로 또는 활성 영역의 길이 축을 따라 인장 변형을 유도하기에 충분하다. 후속하여 갭을 예를 들면 비결정질 게르마늄으로 재충진하는 것은 인장 변형을 제거하지 않으나 길이 축을 따른 레이저 또는 광검출기의 활성 영역 또는 도파로 내 절연 불연속성(dielectric discontinuity)을 크게 제거한다. 즉, 갭을 예컨대 비결정질 또는 다결정 게르마늄과 같은 적절한 물질로 재충진하는 것은 레이저 또는 광검출기 활성 영역의 세로 광학 축을 따라 연속적 광학 매질을 회복시키지만 레이저 또는 광검출기 활성 영역의 세로 광학 축을 따라 불연속적인 인장 변형이 동반된다.
도 7은 도 5 및 도 6의 전략의 또 다른 수정의 3차원 시뮬레이션을 도시한다. 도 7은 다수의 핀을 나타내는데, 게르마늄 핀(72) 각각은 게르마늄 핀의 양쪽 면에 형성된 유전 (또는 절연) 스트레서(74, 76)를 가진다. 이러한 핀 각각은 본 명세서에서 기술된 에칭, 도핑, 접촉 및 접합 형성 전략을 비롯하여, 도 5 및 6과 관련하여 앞서 기술된 방법으로 형성될 수 있다. 바람직하게는 절연 스트레서는 초기에 형성되어 압축성 스트레스를 가지며 이러한 압축성 스트레스는 에칭을 통하여 이완되어 스트레서(74, 76) 사이의 게르마늄 핀(72) 내 인장 스트레스를 유도한다. 한 가지 적절한 스트레서는 실리콘 나이트라이드인데, 이는 증착되어 적절한 에칭 전략을 통하여 이완될 수 있는 압축성 스트레스를 가질 수 있다. 7(a)에 도시된 바와 같이, 절연 스트레서 층(74, 76)은 이웃하는 핀(72) 사이의 갭을 충진할 수 있으며 게르마늄 핀 내 원하는 수준의 2축 인장 스트레스를 효과적으로 유도한다. 핀(72) 내 시뮬레이션된 2축 변형이 도 7(b)에 도시되며 여기서 핀의 주된 평면에서 평가된 게르마늄 내 2축 변형의 윤곽(contour)을 드러내기 위하여 스트레서 영역을 보이지 않게 하였으며, 더 밝은 윤곽은 2축 변형의 더 큰 등급을 나타낸다.
예컨대 다이오드, 레이저 다이오드 또는 광검출기의 광행로가 도 7 구조물을 관통하여 이에 따라 그 상부에 스트레서가 스트레스를 유도하기 위해 형성되는 측면 핀 대면(face)에 대해 평행한 방향으로 복수의 핀을 관통하도록, 2축 인장 변형된 게르마늄 핀의 어레이가 배치될 수 있다. 그 대신에, 예시적인 다이오드, 레이저 다이오드 또는 광검출기의 광행로는 그 상부에 스트레서 층이 스트레스를 유도하기 위해 형성되는 측면 핀 대면(face)에 대해 수직인 방향으로 복수의 핀을 관통한다.
예시적으로 도 5-7에서 앞서 기재된 게르마늄 핀 구조물에 대하여, 핀은 약 20 나노미터 내지 100 나노미터, 더욱 바람직하게는 약 40 나노미터 내지 80 나노미터의 폭(절연 스트레서 층 사이의 분리)을 가질 수 있다. 핀을 바람직하게는 1 마이크론 미만, 더욱 바람직하게는 400 나노미터 미만의 높이(핀의 베이스에 인접한 나머지 게르마늄 층의 상부에서 측정)를 가질 수 있다. 핀은 바람직하게는 1 마이크론 미만, 더욱 바람직하게는 400 나노미터 미만의 길이(스트레서 층 중 하나에 인접한 게르마늄 층의 대면을 따라 측면에서 측정)를 가질 수 있다. 도 5-7의 실시 및 본 명세서에서 기재된 구조물의 또 다른 실시에 대하여, 압축 스트레스된 실리콘 나이트라이드 스트레서 물질이 2 기가파스칼 초과, 더욱 바람직하게는 3 기가파스칼 초과의 스트레스를 초기에 가지도록 형성되는 것이 바람직하다.
도 8-11은 게르마늄 스트립 또는 슬랩의 상단 또는 바닥 표면 상의 압축성 스트레서 층의 탄성 가장자리 이완을 개략적으로 도시한다. 게르마늄 스트라이프 레이저 또는 광검출기 활성 영역의 상단 표면(또는 상단 및 바닥 표면) 상의 압축성 스트레서 층이 활성 영역과 정렬되어 패턴화되고 에칭된다. 스트라이프 패턴이 상단 스트레서 층, 활성 층 및, 선택 사항으로, 바닥 스트레서 층을 통하여 에칭될 때 가장자리 이완이 발생한다. 스트레서 층 내 압축성 스트레스는 인접한 게르마늄 활성 층 내 인장 변형을 유도한다.
이러한 전략의 첫 번째 실시에서, 도 8(a)에 도시된 바와 같이, 압축 스트레스된 실리콘 나이트라이드의 층이 게르마늄 웨이퍼 또는 기판(80)의 표면 상에 증착된다. 공정은 실리콘 나이트라이드 층 상에 마스크를 형성하고 그 후 실리콘 나이트라이드 층을 통하여 게르마늄 웨이퍼의 표면으로 에칭하여 웨이퍼(80)의 나머지 부분 상부에 연장된 게르마늄의 스트라이프(또는 슬랩)(82)을 형성한다. 압축 스트레스된 실리콘 나이트라이드 층을 통한 에칭은 스트라이프(84)를 형성하며, 에칭이 기판 내로 계속되어, 압축 스트레스된 실리콘 나이트라이드(84)가 이완되어 게르마늄의 스트라이프(82)의 적어도 상부 부분에 인장 변형을 유도한다. 산출된 스트라이프(82)의 변형된 표면 영역은 광자를 발생시키거나 또는 광자를 검출하기 위하여 사용될 수 있다.
현재 바람직한 실시에서, 호스트 웨이퍼는 호스트 웨이퍼의 표면에 웨이퍼-결합된 게르마늄 층을 가진다. 예를 들면, 호스트 웨이퍼(86)은 표면 실리콘 산화물 층(85), 또는 실리콘 산화물 층에 의해 덮인 실리콘 집적 회로의 일부를 갖는 실리콘 웨이퍼(83)일 수 있으며, 게르마늄 층은 공지된 방법으로 산화물 표면에 결합된다. 압축 스트레스된 스트레서 층이 그 후 게르마늄 층 상에 증착된다. 예를 들면, 상업적으로 활용가능한 공정이 2 기가파스칼 초과, 또는 바람직하게는 3 기가파스칼 초과의 내장형, 갓 증착된 스트레스를 갖는 적절한 압축 스트레스된 실리콘 나이트라이드 층을 증착하기 위해 사용될 수 있다. 도 8(b)에 도시된 바와 같이, 압축 스트레스된 스트레서 스트라이프(84)를 패턴화하고 에칭한 후 후속하여 연속 게르마늄 활성 영역(82)의 긴 스트라이프를 에칭하고, 웨이퍼(86)의 표면에서 중단함으로써 도 8(b) 구조물이 다시 형성된다. 가장자리 이완된 표면 스트레서 스트라이프(84)는 도 8(b)에서 화살표로 제시된 바와 같이, 게르마늄 스트라이프(82) 내 단축 변형을 유도한다. 대안적인 구체 예에서 에칭을 웨이퍼(86)의 표면에서 중단하지 않고 오히려 웨이퍼(86)의 표면 내로 조금 깊이까지 계속하여 게르마늄 스트라이프(82) 내 더 큰 인장 변형을 유도한다. 또 다른 대안적인 구체 예에서 에칭을 웨이퍼(86)의 표면에 도달하기 이전에 중단시켜 이에 따라 게르마늄 스트라이프(82)가 에칭안된 게르마늄의 층 상에 서 있는 게르마늄의 받침대(pedestal) 형태가 된다.
도 9는, 도 8(a)-(b) 배치와 비교하여, 더 우수하게 광자를 방출 또는 검출하기 위하여 게르마늄 스트라이프에 더 큰 수준의 변형을 제공할 수 있는 또 다른 배치를 도시한다. 도 9 구조물은 순차적으로 압축 스트레스된 물질의 제1 층을 호스트 웨이퍼(90) 상에 증착하고, 결정 게르마늄의 층을 제공하고, 그 후 압축 스트레스된 물질의 제2 층을 게르마늄 층 상에 증착함으로써 형성된다. 압축 스트레스된 물질의 제1 및 제2 층은 예를 들면, 압축 스트레스된 실리콘 나이트라이드일 수 있으며 증착된 물질은 게르마늄 층을 위한 스트레서로서 작용하도록 선택된다. 이러한 실리콘 나이트라이드 스트레서 층을 증착하기 위한 공정은 공지되어 있다. 도 9 공정은 계속하여 패턴화하고 층의 스택을 통하여 에칭하여 상부 스트레서 스트라이프(94)를 형성하며, 게르마늄 스트라이프(92)는 도 9에서 화살표로 제시된 방향에서 단축 인장 변형된다. 에칭은 선택사항으로서 게르마늄 층 아래의 압축 스트레스된 제2 층을 통하여 계속되어 하부 스트레서 스트라이프(96)를 형성할 수도 있다. 제2 압축 스트레스된 층을 통하거나 또는 적어도 그 내부로의 에칭이 바람직한데 왜냐하면 이러한 에칭이 더욱 완전한 가장자리 이완을 제공하며 더 큰 수준의 단축 인장 변형을 유발하기 때문이다. 게르마늄 스트라이프(92)는 바람직하게는 약 0.04 내지 1.0 마이크론 범위로 넓으며 바람직하게는 적어도 일부분의 게르마늄 스트라이프(92)가 직접 밴드갭을 갖도록 하는 충분한 정도로 인장 변형된다.
게르마늄 층의 두께, 상부 및 하부 스트레서 층 각각의 두께 및 상기 상부 및 하부 스트레서 층 내 압축성 스트레스의 수준을 비롯한 많은 요인이 게르마늄 층 내 인장 변형의 수준에 영향을 미친다. 인장 변형은 또한 가장자리와 게르마늄 층의 임의 부분으로 간주되는 곳 사이의 분리에 의해 변화한다. 변형의 비-균일 분포가 본 명세서에서 논의되거나 도시된 모든 구조물에 대하여 진실이다. 본 명세서에서 논의된( 및 도 5-7과 관련하여 앞서 언급된 것을 포함) 상기 또는 또 다른 게르마늄 영역 내 인장 변형이 효율적인 광자 방출 또는 검출을 제공하기 위항 조절되는 것이 바람직하다. 그럼에도, 본 명세서에 기재된 구조물 및 전략이, 낮은 수준의 인장 변형이 달성될 때, 심지어 물질이 간접 밴드갭을 나타내고 광자 방출이 높은 운송자 주입 수준에 의존할 때, 유리하게 사용될 수 있다고 간주되어야 한다.
또 다른 구체 예에서 패턴화 및 에칭은 스트라이프의 길이 축을 따라 게르마늄 스트라이프 및 인접한 압축 스트레스된 (갓 증착된) 스트레서 층 내에 추가적인 절단부 또는 파단부를 만들도록 수행되며, 이에 따라 활성 영역 스트라이프를 전형적으로 0.04 내지 1.0 마이크론 범위로 더 짧은 길이 세그먼트로 파단한다. 이러한 한 예가 도 10에 도시된다. 도 10 실시는 도 9에 도시된 구체 예와 유사하나, 스트라이프가 패턴화되고 에칭될 때, 추가 패턴화 및 에칭이 수행되어 가장자리 및 게르마늄 스트라이프 부분(102, 104) 사이의 갭(108)을 개방시키는 점이 다르다. 갭(108)은, 제1 및 제2 스트레서 (상부 및 하부) 스트라이프 부분이 게르마늄 스트라이프 부분(102, 104) 내 인장 변형을 더욱 효율적으로 유도하기 위하여 가장자리 이완을 통하여 탄성적으로 이완하는 것을 허용한다. 갭이 게르마늄 내에 에칭될 때 일어나는 가장자리 이완은 게르마늄 립(rib) 도파로 또는 활성 영역의 길이 축을 따라 게르마늄 내에 인장 변형을 유도하기에 충분하다. 세로 인장 변형이 게르마늄 스트라이프의 폭 축을 따라 유도된 가로 인장 변형에 부가된다. 이러한 구성은 게르마늄 활성 영역의 세그먼트 내 변형의 2축 인장 성분을 유도하며 이는 직접 전이를 위한 밴드 갭을 감소시키기 위하여 게르마늄 밴드 구조를 수정하기 위하여 바람직하다. 도 10에 도시된 바와 같이, 압축성 실리콘 나이트라이드 측벽 층 내 추가적인 수직 절단부가 수직이거나 또는 절단 라인이 수직과 다른 각도일 수 있다.
갭을 생성한 직후, 스트레서 스트라이프 부분은 측면으로 이완되어 게르마늄 스트라이프의 나머지 부분 내에 인장 변형을 유도한다. 후속하여 예를 들면 비결정질 또는 다결정 게르마늄으로 갭을 재충진하는 것은 게르마늄 스트라이프의 나머지 부분 내 인장 변형을 제거하지 못하지만, 소자의 길이(또는 광학) 축을 따라 게르마늄 스트라이프의 서로 다른 부분들 사이에서 레이저 또는 광검출기의 활성 영역 또는 도파로 내 절연 불연속성을 크게 제거한다. 이는 도 11에 개략적으로 도시되며, 여기서 비결정질 또는 다결정 게르마늄(116, 118)이 게르마늄 스트라이프 부분(102, 104) 사이의 갭(108)과 같은 갭 내에 증착된다. 적절한 물질로 갭을 재충진하는 것은 불연속적인 인장 변형을 갖는 레이저 또는 광검출기 활성 영역의 세그멘트된 게르마늄 스트라이프 내 연속 광학 매질을 회복시킨다.
인장 변형된 게르마늄 레이저 다이오드 또는 광검출기 다이오드의 일부 구체 예에서, 게르마늄 활성 영역의 세그먼트들 사이의 갭을 재충진하는 물질(116, 118)은 도핑되어 다이오드 내 전기 전도체로서 사용될 수 있다. 바람직한 구체 예에서, 재충진 물질은 n+ 도핑된 다결정-SiGe이며 레이저 다이오드에서 전자 방출기로서 작용하여, p-타입 도핑된 변형된 게르마늄 영역(102, 104) 내로 측면으로 전자를 방출한다. 증착 동안 다결정 게르마늄 또는 실리콘 게르마늄 n-타입을 도핑하는 것은 공지되어 있으며 용이하게 달성될 수 있다.
도 12-17는 바람직한 인장 변형된 게르마늄을 포함하는 시스템을 위한 전기 접합부를 형성하기 위한 다양한 대안을 나타낸다. 반도체 레이저 작동의 표준 모드는 두 가지 물질 영역의 접합부에서 형성된 활성 영역 내에서 시뮬레이션된 광자의 방출이 일어나는 것을 요구하며, 상기 두 가지 물질 영역 중 하나의 영역은 정공의 공급원을 제공하며 나머지 한 영역은 전자의 공급원을 제공하여서 이에 따라 정공 및 전자의 방사 재조합이 상기 두 영역의 접합부의 근처에서 일어난다. 두 물질 영역은 전형적으로 각각 p-타입 및 n-타입 반도체 영역이며 이들이 만나는 곳에서 p-n 접합부를 형성한다. 강한 p-타입도 아니고 강한 n-타입도 아닌 영역이 n-타입 및 p-타입 영역 사이에 존재하는 경우, 이러한 접합부를 p-i-n 접합부라 하며 여기서 명목상 도핑되지 않은 영역이 "진성(intrinsic)"으로 간주된다. 일부 실시에서, 도핑되지 않은 층 또는 층들이 p-타입과 n-타입 층 사이에 제공되어 원하는 접합부를 형성한다.
변형된 게르마늄 레이저에서, 운송자(전자 및 정공)의 효율적인 방상 재조합의 영역은 바람직하게는 게르마늄 내 최대 2축 인장 변형의 영역과 일치한다. 장치의 바람직한 구체 예에서, p-n 접합부를 통한 최대 전류 밀도의 영역은 게르마늄 내 최대 2축 또는 단축 인장 변형의 영역과 가능한 한 최대로 일치한다. p-n 또는 p-i-n 접합부의 평면은 웨이퍼 표면에 대부분 평행하거나 또는 웨이퍼 표면에 대부분 수직일 수 있다. 주입된 주개(donor) 화학종의 활성에 의한 게르마늄 n-타입 도핑의 어려움을 고려하면, n-타입 게르마늄 영역이 출발 웨이퍼(벌크 게르마늄 또는 게르마늄-온-절연체(germanium-on-insulator)일 수 있음) 또는 에피택셜 게르마늄 층 내에서 적절하게 n-타입 도핑된 상태로 형성되는 것이 바람직할 수 있다. p-타입 게르마늄 영역은 붕소와 같은 받개(acceptor) 화학종의 주입 또는 활성화에 의하거나 또는 n-타입 게르마늄의 상단에서의 p-타입 게르마늄 영역의 에피택셜 성장에 의해 형성될 수 있다. 그 대신에 접합부는 p-타입 게르마늄 벌크 웨이퍼 또는 게르마늄-온-절연체 웨이퍼로부터 시작하여 n-타입 게르마늄 층을 성장시켜 에피택셜 접합부를 형성하는 에피택셜 성장 방법에 의해 형성될 수 있다.
전자 방출기는 결정 게르마늄과 다른 물질로 형성될 수 있다. n+ 도핑된 영역은 게르마늄 내 주입된 주개의 나쁜 활성화로 인하여 게르마늄 내에서의 제조가 어렵다. 증착된 전자 방출기 물질은, 방출기 물질이, n+ 인-시츄 도핑된 비결정질 또는 다결정 게르마늄; n+ 인-시츄 도핑된 비결정질 또는 다결정 실리콘 또는 비결정질 또는 다결정 실리콘 게르마늄; 4.3 전자 볼트 미만의 일함수를 갖는 낮은 일함수 금속; 또는 금속과 게르마늄 사이에 계면 절연 층을 갖는 낮은 일함수 금속, 여기서 상기 절연 층은 이를 통하여 전자 전류가 흐를 수 있도록 충분히 얇음; 중 임의 것인 경우 바람직할 수 있다. 게르마늄 층이 매장된 산화물(BOX)과 같은 절연체 상에 있는 구체 예에서, (전형적으로 p-타입) 게르마늄에 대한 접촉부는 별도의 접촉부이다.
도 4 및 도 12-17에 도시된 바와 같이 임베디드 SiGe 스트레서를 갖는 변형된 게르마늄 레이저, 다이오드 또는 광검출기의 구체 예에서, 에피택셜 p-n 또는 p-i-n 접합부는 SiGe 스트레서 영역이 형성되기 이전에 게르마늄 내에 형성될 수 있다. 이러한 경우, SiGe 스트레서 영역은 도핑안된, 도핑된 p-타입 또는 도핑된 n-타입일 수 있다. 별도의 전기 접촉부가 게르마늄의 n-타입 및 p-타입 영역에 대하여 형성된다.
절연 실리콘 산화물 영역이 후술하는 방법에 의해 실리콘 게르마늄 (SiGe) 스트레서 영역에 자체-정렬되어 형성될 수 있다. 임베디드 영역 매트릭스의 원하는 패턴은 게르마늄 상에 증착된 실리콘 나이트라이드의 층 내 리소그라피 및 건식(플라즈마) 에칭에 의해 정의된다. 게르마늄은 실리콘 나이트라이드로 덮이지 않은 곳에서 에칭되어 게르마늄 표면 내 함몰부를 생성한다. 함몰부는 화학 기상 증착(chemical vapor deposition, CVD)과 같은 방법에 의해 에피택셜 SiGe 합금으로 충진된다. CVD 에피택셜 공정이 선택성(selective)인 경우, SiGe는 단지 함몰부 내에서 에피택셜하게 성장되며 실리콘 나이트라이드의 상단에서는 성장하지 않는다. CVD 공정이 비선택성(non-selective)이면, SiGe는 모든 노출된 표면 상부에 증착되며 이러한 경우 화학-기계적 연마(chemical-mechanical polishing, CMP)와 같은 후속하는 평탄화 공정이 사용되어 SiGe를 실리콘 나이트라이드 표면으로부터 제거하여 게르마늄 구조물내 마스크 개구 내 및 함몰부 내에만 남기게 된다. 실리콘 나이트라이드 마스크가 여전히 게르마늄 표면을 덮고 있는 공정의 이러한 단계에서, 산화 공정이 적용되어 함몰된(임베디드) SiGe의 노출된 표면이 산화된다. 이는 실리콘 산화물 또는 실리콘 게르마늄 산화물의 절연 박막을 SiGe 영역의 상부에 상기 SiGe 영역에 자체-정렬되도록 성장시킨다. 실리콘 나이트라이드는 그 후 선택성 습식 에칭을 사용하여 제거되고 2축 변형된 게르마늄 요소의 상단 표면이 노출된다. 동시에, 실리콘 나이트라이드를 제거하는 것은, 웨이퍼의 평면에서 2축 인장 변형된 SiGe 영역으로부터 웨이퍼의 평면에서 또한 2축 인장 변형되는 측면에서 이웃한 게르마늄 영역으로 더욱 완벽한 스트레스 전달을 가능하게 한다.
도 12는 광자 방출기 또는 광자 검출기용 인장 변형된 게르마늄 구조물의 형성을 위한 한 실시를 제시한다. 논의의 용이성을 위하여, 이러한 구조물은 동일면 2축 인장 변형 및, 가장 바람직하게는 직접 밴드갭 광학 전이를 사용하는 게르마늄 다이오드 레이저와 관련하여 설명될 것이다. 통상의 기술자는 본 구조물이 레이저 보다는 오히려 단순 발광 다이오드로서 실시될 수 있으며, 적절한 바이어스 및 증폭기와 함께, 상기 도시된 구조물이 광다이오드와 같은 검출기로서 사용될 수 있음을 이해할 것이다. 전술한 바와 같이, 적절한 접합부는 p-n 접합부 및 p-i-n 접합부를 포함한다. 도 12는 먼저 p-타입 게르마늄 기판(120)을 도시하며, 이는 그 대신에 매장된 산화물 (BOX) 층과 같은 절연층 상의 p-타입 층일 수 있다. 또한, 도시된 p-타입 게르마늄 층은 실리콘 또는 실리콘 산화물 구조물에 기초한 도파로와 같은 광학 요소 또는 실리콘 회로를 포함하는 실리콘 상에 또는 상부에 배치될 수 있다. 게르마늄 기판에 대한 이러한 다양한 가능성은 도 12-18에 도시된 또 다른 실시와 유사하며, 따라서 이들의 설명에서 반복하지 않는다.
도 12 실시는 바람직하게는 도 4와 관련하여 앞서 설명된 변형 및 광학 특성을 갖는 도 4에 도시된 것과 유사한 구조물을 형성한다. 도 12 실시에서, 진성(intrinsic) 또는 고도로 도핑된 n-타입 게르마늄의 층(124)이 p-타입 게르마늄 기판(120) 상에 에피택셜하게 증착되며, n-타입 도핑이 바람직하게는 증착 동안 인-시츄로 달성된다. 실리콘 나이트라이드 마스크와 같은 마스크가 진성 또는 고도로 도핑된 n-타입 에피택셜 게르마늄 층 상부에서 형성되며, 마스크 내 개구(opening)가 진성 또는 고도로 도핑된 n-타입 에피택셜 게르마늄 층(124)의 상부에 체커보드(checkerboard) 패턴과 같은 매트릭스 패턴을 정의한다. 영역의 치수는 원하는 인장 변형을 달성하는 동안 변할 수 있으며, 예를 들면 상단 횡단면에서 일반적으로 정사각형일 수 있으며, 측면에서 약 0.04 내지 1.0 마이크론일 수 있다. 게르마늄 층(124)을 통하여 바람직하게는 p-타입 기판(120) 내로의 에칭이 진행되어 게르마늄 구조물 내 개구 또는 함몰부의 대응하는 어레이를 형성한다. 실리콘 게르마늄 영역(126)은 바람직하게는 실리콘 나이트라이드 마스크의 개구에 의해 정의되는 진성 또는 고도로 도핑된 n-타입 게르마늄 층(124) 및 p-타입 게르마늄 층(120)의 개구 내에 선택성 화학 기상 증착에 의해 에피택셜하게 형성된다. 이러한 예시에서, 실리콘 게르마늄 영역은 도핑되지 않거나 또는 진성일 수 있다. 실리콘 게르마늄 영역(126)이 선택성있게 증착되지 않거나 또는 다른 양상이 바람직한 경우, 화학 기계적 연마가 수행되어 과량의 실리콘 게르마늄을 제거할 수 있다. 후속하여, 노출된 실리콘 게르마늄이 바람직하게는 표면을 산화 환경에 노출시켜 산화되어 절연 실리콘 게르마늄 산화물 구조물(128)을 형성한다. 바람직하게는, 실리콘 나이트라이드 마스크 층이 그 후 제거된다.
전술한 바와 같이, 게르마늄 영역에 인접한 주위에 실리콘 게르마늄 영역을 형성하는 것은 2축 인장 변형된 실리콘 게르마늄 영역을 생성하며, 이에 따라 게르마늄 영역(124)에 동일면 2축 인장 변형을 유도한다. 바람직하게는 2축 인장 변형은 게르마늄 영역의 이러한 부분이 직접 밴드갭이 되도록 하기에 충분하여 이에 따라 이러한 부분은 펌프되어 효과적으로 광학 출력을 생성할 수 있다. 이러한 2축 인장 변형된 게르마늄 영역(124)은 레이저 이득 영역의 성분으로서 사용될 수 있다. 결과적으로 실리콘 게르마늄 영역(126)은 또한 레이저 이득 영역 이내이며 광학 출력의 발생에 기여하지 않는다. 진성 또는 고도로 도핑된 n-타입 게르마늄 영역에 대한 접촉부가 형성된다. 예를 들면, n-타입 도핑된 비결정질 또는 다결정 실리콘 게르마늄 또는 n-타입 도핑된 게르마늄의 층(122)이 제공되어 n-타입 게르마늄 영역(124)에 대한 접촉부를 형성한다. 유사하게, p-타입 도핑된 비결정질 또는 다결정 실리콘 게르마늄의 영역(129)이 제공되어 기판 또는 베이스 p-타입 게르마늄 영역(120)에 대한 접촉부를 형성할 수 있거나, 또는 금속 플러그와 같은 또 다른 방법이 사용될 수 있다. 추가 공정이 바람직하게는 수행되어, 변형된 게르마늄의 적어도 일부분을 둘러싸는 공진기 또는 레이저 캐비티를 정의하는 거울을 제공하며, 이에 따라 2축 인장 변형된 게르마늄 영역이 레이저 활동을 제공할 수 있다.
도 13은 도 4 및 도 12에 도시된 것과 일반적으로 유사한 구조물을 제공하기 위한 다른 공정을 도시한다. 유사한 구조물이 도 12에 사용된 것과 같이 도 13에서 동일 숫자로 표시된다. 여기서, 공정은 p-타입 게르마늄 기판 또는 층(120)에서부터 시작하며, 이는 개구의 매트릭스를 갖는 실리콘 나이트라이드 마스크를 형성함으로써 패턴화되고 에칭되며 후속하여 건식 (플라즈마) 에칭에 의해 함몰부를 형성한다. 실리콘 게르마늄 영역(126)은 바람직하게는 선택성 화학 기상 증착에 의해 성장되어 실리콘 게르마늄 영역(126) 사이에서 위로 연장하는 게르마늄 기판(120)의 잔류 부분을 가지면서 동일면 인장 변형된 영역을 형성한다. 실리콘 게르마늄 영역 하부의 게르마늄 기판(120)의 부분은 동일면에서 압축 변형된다. 실리콘 게르마늄 영역(126)의 노출된 표면이 산화되고 그 후 실리콘 나이트라이드 마스크가 제거된다. 강한 n-타입 도핑된 비결정질, 다결정 또는 결정 실리콘 또는 실리콘 게르마늄 또는 게르마늄이 적절하게 증착되고 패턴화되어 도 13에 도시된 층 구조물(139)을 형성한다. 도핑된 층(139)은 실리콘 게르마늄 영역(126) 사이의 한정된 매트릭스 패턴으로 게르마늄 층(120)의 표면과 접촉한다. 산화된 실리콘 게르마늄 층(128)은 실리콘 게르마늄 영역(126)을 도핑된 층(139)으로부터 분리시키며, 이에 따라 도핑된 층(139)으로부터의 도펀트가 실리콘 게르마늄 영역(126) 내로 확산되지 않는다. 구조물은 예컨대 급속 열 어닐링에 의해 가열되어 강한 n-타입 도핑된 층(139)으로부터의 n-타입 도펀트를 게르마늄(120)의 표면 내로 확산시켜서 매트릭스 패턴으로 얕은 n-타입 영역(134)을 형성하며, 또한 동일한 매트릭스 패턴인 접합부를 형성한다. 산출된 구조물은 전술한 바와 같이 다이오드, 레이저 또는 검출기에 포함될 수 있다. 또한, 산출된 구조물은 변형 분포를 가질 것이며 이에 따라 광범위한 밴드 방출을 생성할 수 있다. 레이저 적용분야에서, 거울이 사용되어 상기 광범위한 밴드 방출로부터 원하는 파장을 선택하여, 유리하게는 가능한 이득 및 출력 파장의 범위를 제공할 수 있다. 검출기 또는 다이오드에 대하여, 필터가 방출 또는 검출 영역에 인접하여 형성되어 방출 또는 검출 파장을 선택할 수 있다.
도 14는 광자의 방출 또는 검출을 위하여 사용될 수 있는 인장 변형된 게르마늄 구조물에 대한 또 다른 변형을 도시한다. 도 14 구조물 및 공정은 도 12와 관련되어 설명되고 도시된 것과 유사하므로 상세한 설명을 반복하지 않는다. 도 12 내지 도 14와 실질적으로 유사한 구조물이 동일 참조번호에 의해 표시된다. 도 14 구조물은 고도 p-타입 도핑된 게르마늄 기판(140) 상에 형성된다. 에피택셜 p-타입 도핑된 게르마늄의 층(142)이 더욱 과도하게 도핑된 p-타입 게르마늄 기판 상에 증착된다. 예를 들어 도 12와 관련하여 앞서 설명된 바와 같은 후속 공정이 이어진다. 산출된 도 14 구조물은 도 12의 구조물과 유사한 특성을 가지지만 더욱 전도성인 p-타입 기판을 가지며 이에 따라 직렬 저항이 더 적으며 광자 방출 및 검출 장치가 일반적으로 더욱 효율적이다.
도 15는 도 4의 동일면 2축 인장 변형된 게르마늄 구조물의 또 다른 실시이다. 기판(150)은 p-타입 게르마늄인데 이는 실리콘 나이트라이드 또는 또 다른 마스크로 패턴화되어 스트레서 위치의 매트릭스를 정의한다. p-타입 게르마늄 기판(150) 내로의 에칭은 함몰부의 매트릭스를 형성하며, 여기에 실리콘 게르마늄이 바람직하게는 선택성 화학 기상 증착을 사용하여 에피택셜하게 증착되어, 2축 인장 변형된 실리콘 게르마늄(156)을 형성한다. 실리콘 게르마늄 영역(156)이 산화되어 실리콘 게르마늄 산화물 영역(158)을 형성한다. p-타입 게르마늄 기판의 표면 영역(155)은 후속하여 주변 실리콘 게르마늄 영역(156)으로부터 적용된 힘을 통하여 전술한 방식으로 2축 인장 변형된다. 실리콘 나이트라이드 마스크를 제거하여 더욱 완벽한 변형 전달을 가능하게 한다. 도 15 공정에서, 전자 방출기 물질(159)이 도시된 바와 같이 증착되고 패턴화된다. 증착된 전자 방출기 물질은 바람직하게는 더 큰 전도도 및 더 많은 디자인 가변성을 제공할 수 있다. 적절한 방출기 물질은, n+ 인-시츄 도핑된 비결정질 또는 다결정 게르마늄; n+ 인-시츄 도핑된 비결정질 또는 다결정 실리콘 또는 비결정질 또는 다결정 실리콘 게르마늄; 낮은 일함수 금속; 또는 금속과 게르마늄 사이에 전기적으로 전도성이 되도록 충분히 얇은 계면 절연 층을 갖는 낮은 일함수 금속; 중 임의 것일 수 있다.
도 15 구성에서, 레이저와 같은 방출기를 위한 바람직한 방사 재조합은 p-타입 게르마늄 기판의 상부, 2축 인장 변형된 부분(155)에서 주로 일어난다.
도 16은 도 15 구성의 변형을 나타내는데, 여기서 산화물 영역이 실리콘 게르마늄 영역(156) 상부에 형성되지 않으며 전자 방출 층(169)이 실리콘 게르마늄 영역(156)과 직접 접촉하여 형성된다. 도 16 구성의 또 다른 양상은 도 15와 관련하여 앞서 기술한 것 및 그 공정과 동일하다.
도 17은 도 16 구조물의 또 다른 변형을 제공하는데 여기서 실리콘 게르마늄 영역(176)이 증착 동안 도핑되며 이에 따라 실리콘 게르마늄 영역(176)은 n-타입이다. 이러한 구성에서, 전자가, 인장 변형된 게르마늄 영역(155) 상부의 전자 방출 층(169)으로부터 그리고 영역(155) 주위의 실리콘 게르마늄 영역(176)으로부터 측면으로 주입될 수 있다. n-타입 실리콘 게르마늄 영역(176)은 방사 재조합 영역으로, 특히 변형된 게르마늄 영역(155)의 더 많이 변형된 영역으로 전자 방출을 증가시켜 이에 따라 광자 방출 공정의 효율성을 증가시킨다. 검출기 실시에 대하여, 도 17에 도시된 구조물은 광자-발생된 전자 정공 쌍을 수집하기 위하여 더 많은 접합부 면적을 제공하며, 이는 더욱 효율적인 검출기 구조물을 제공한다. 도 12-17에 도시된 구조물은 유사한 구조물을 사용하는 광자 방출 및 검출을 가능하게 하며, 다이오드 또는 레이저와 같은 검출기뿐만 아니라 광다이오드와 같은 검출기를 적어도 일부 공통 공정 단계를 사용하여 동일 기판(웨이퍼) 상에서 더욱 용이하게 구성하도록 한다.
도 4 및 도 12-17의 예시의 바람직한 구체 예는 4개의 실리콘 게르마늄 임베디드 스트레서 영역을 동일면(in-plane) 2축 변형된 게르마늄 영역 주변에 배치한다. 이러한 구체 예에 따르는 다수의 2축 변형된 게르마늄 영역을 포함하는 어레이에 있어서, 임베디드 실리콘 게르마늄 영역은 다중 게르마늄 영역에 인접할 수 있다.게르마늄 영역의 최소 2개 측면 및 바람직하게는 4개 측면 상의 인장 변형된 실리콘 게르마늄 영역은 바람직하게는 게르마늄 영역 내 2축 변형을 유도한다. 일부 실시에서, 실리콘 게르마늄 영역은 인접한(가장 가깝게 이웃한) 실리콘 게르마늄 영역에 실질적으로 접촉하지 않는다. 실리콘 게르마늄 스트레서 영역은 정사각형, 직사각형, 둥근형 또는 원형 측면 횡단면을 가질 수 있다. 이러한 (불-연속적 스트레서) 구체 예의 특히 바람직한 실시에서, 대향하는 임베디드 실리콘 게르마늄 스트레서 영역 사이의 게르마늄 영역의 각 측면 치수의 폭(또는 동등하게, 길이)은 400 나노미터 미만, 더욱 바람직하게는 100 나노미터 미만이다. 바람직하게는, 인장 실리콘 게르마늄 영역은 약 20% 내지 100% 실리콘, 및 더욱 바람직하게는 40% 실리콘 내지 60% 실리콘의 실리콘 조성을 가진다. 본 발명의 바람직한 구체 예는 실질적으로 100% 게르마늄 영역(이는, 증착 환경을 고려하면, 측정가능한 정도로 실리콘을 포함할 수 있다)으로 실시되나, 게르마늄 영역이 일정 정도의 실리콘 또는 탄소를 가지는 향후 실시예에서 실시될 수 있으며 본 발명의 시사 범위 내에 있음을 이해하여야 한다.
도 18은 도 12-17에 도시된 구조물 및 공정의 바람직한 구체 예를 도시하며, 여기서 하나 또는 그 이상의 분리된 게르마늄 기둥이 형성되고 후속하여 증착된 실리콘 게르마늄 스트레서 층 내에 임베디드 된다. 일반적으로, 도 18 구조물은 리소그라피 또는 임의 또 다른 패턴화 방법을 통하여 마스크를 제공함으로써 게르마늄 기판 상에 형성되어 고립된 게르마늄 기둥의 위치 및 범위를 정의한다. 패턴화(patterning) 및 에칭은 게르마늄 기둥의 측면 범위를 정의한다. 에칭 깊이는 기둥 높이를 정의한다. 기둥은 측면 양상에서는 고립되지만 바람직하게는 하부 게르마늄 기판으로부터는 고립되지 않으며 이에 따라 인접한 기둥이 공통 게르마늄 영역 또는 기판을 공유한다. 기둥은 예를 들면 나머지 게르마늄 영역 또는 기판의 상부에 약 20 나노미터 내지 400 나노미터, 또는 더욱 바람직하게는 약 40 나노미터 내지 100 나노미터의 높이를 가질 수 있다. 게르마늄 기둥은 정사각형, 직사각형, 둥근형 또는 원형 측면 횡단면을 가질 수 있으며, 바람직하게는 20 나노미터 초과 및 200 나노미터 미만의 측면 치수를 가지며, 더욱 바람직하게는 약 30 나노미터 내지 100 나노미터의 측면 치수를 가진다. 바람직하게는 게르마늄 기둥은 "체커보드" 패턴과 같은 규칙적인 어레이로 형성되며 여기서 기둥들은 균일한 x 및 y 이격에 의해 공간을 두고 떨어져 있다.
게르마늄 기둥의 어레이를 형성한 이후, 도 18 구조물의 제조는 실리콘 게르마늄의 층을 기둥 주위에 증착시키면서 진행한다. 실리콘 게르마늄이 게르마늄 기판의 표면 상에 증착되어 이에 따라 실리콘 게르마늄이 인장 변형된 상태에 있게 될 것이다. 바람직하게는, 인장 변형된 실리콘 게르마늄 층은 20% 내지 100% 실리콘, 및 더욱 바람직하게는 약 40% 실리콘 내지 60% 실리콘의 실리콘 조성을 가진다. 도 12-17과 관련하여 전술한 바와 같이, 실리콘 게르마늄 증착 공정은 선택성 있게 수행될 수 있거나 또는 수행되고 그 후 과량의 실리콘 게르마늄이 예를 들면 화학 기계적 연마를 통하여 제거될 수 있다. 또한 전술한 바와 같이, 인장 변형된 실리콘 게르마늄 층은, 바람직하게는 직접 광학 전이가 2축 변형된 게르마늄 기둥의 최저 밴드 갭이 되는 정도로, 게르마늄 기둥 내 측면, 2축 인장 스트레스를 유도한다. 도 12-17에 도시되고 이와 관련하여 설명된 더욱 구체적인 제조 및 구조적 전략이 도 18에 도시된 게르마늄 기능의 기항형태 및 배열에서 실시될 수 있다. 본 발명의 한 구체 예에 따르는 변형된 게르마늄 레이저의 활성 영역의 일부를 나타내는 도 18에서, 게르마늄 층(180) 내로 에칭하고 영역(184)에 의해 제시된 바와 같은 예컨대 에피택셜 실리콘 게르마늄과 같은 인장 스트레스된 물질로 상기와 같이 에칭된 트렌치를 충진함으로써, 다중 기둥 영역(182)이 패턴화된 어레이로 형성된다. 본 명세서에 제시된 특정 실시예에서, 각각의 게르마늄 기둥을 둘러싸는 트렌치는 정교하게 병합되어 이에 따라 인장 변형된 트렌치 충진 물질이 하나의 연속 영역(184)을 형성한다.
또한, 물론, 상부 스트레서 층(예컨대 동일면 2축 압축 스트레스된 실리콘 나이트라이드)과 임베디드 스트레서(예컨대, 동일면 2축 인장 스트레스된 실리콘 게르마늄)을 2축 인장 변형된 게르마늄으로 결합시키는 것도 가능하다. 바람직하게는 상부 스트레서 층은 개구를 가지며 여기에 임베디드 스트레서가 형성되며 이에 따라 상기 상부 스트레서 층은 변형될 게르마늄 영역을 덮는다. 또한 바람직하게는 상부 스트레서는 변형된 게르마늄이 형성된 이후에 제거된다.
본 발명은 또 다른 양상에서 캐비티의 공진 광학 모드의 절반 파장과 동일한 공간에 대응하는 레이저 공진 캐비티의 주된 고아학 축을 따라 구체적으로 결정된 위치에 광학적으로 활성인, 고도로 방출 변형된 게르마늄 기둥 또는 핀(예를 들면 도 18의 영역(182))을 의도적으로 배치시키는 가능성을 제공한다. 즉, 광학적으로 활성인 게르마늄 요소의 하나 또는 수 개의 행(row)이 바람직하게는 레이저 캐비티의 원하는 광학 모드의 절반 파장의 간격에서 떨어져 있을 수 있다. 이는 변형된 게르마늄 영역을, 광 증폭에 기여하지 않으며 그리고 전기 및 광학 에너지 손실에 다른 식으로 단지 부가되는 위치에서 제거(회피)함으로써 캐비티 내 광 증폭의 최적화 및 재흡수(광학 손실)의 최소화를 가능하게 한다.
인장 변형된 반도체(예컨대, 게르마늄)의 몸체 내 발광 다이오드 또는 레이저 또는 광검출기의 제조는 광 방출기, 광학 커플러, 도파로 및 광검출기를 포함하는 전체 광자 시스템이 반도체(예컨대, 게르마늄)의 동일 층 내에 결합되고 집적되도록 한다. 광 방출 또는 검출이 요구되는 경우 반도체는 반도체(예컨대, 게르마늄)를 국지적으로 인장 변형시킴으로써 차별화(differentiate)되며, 변형은 광학 반도체(예컨대, 게르마늄) 밴드 갭이 좁게 되도록 하고 반도체의 밴드 갭이 더욱 직접(direct)이 되도록 한다. 광 방출 또는 검출이 요구되지 않는 경우, 반도체는 의도적으로 인장 변형되지 않으며 밴드 갭은 넓게 그리고 간접(indirect)으로 유지된다. 광 방출 또는 검출이 요구되지 않는 광학 성분의 예로는 도파로 및 광학 커플러를 포함하며, 더욱 바람직하게는 이러한 회로 성분에 대응하는 반도체(예컨대, 게르마늄) 영역은 의도적으로 변형되지 않는다. 바람직한 구체 예에서 반도체는 게르마늄이며 게르마늄은, 레이저, 발광 다이오드 또는 광검출기와 같은 능동 광전 소자가 제조되는 위치에서 국지적으로 2축 인장 변형된다. 바람직한 구체 예에서 2축 인장 변형은, 광자 방출 또는 검출인 원하는 능동 광전 소자 기능을 달성하기 위해 능동 광전 소자 내 게르마늄 영역의 충분한 비율인 약 2% 또는 그 이상이다. 능동 광전 소자 영역은 바람직하게는 주로 인장 변형의 정도 및 부수적으로 활성 물질의 원소 조성의 차이에 의해 수동 광전 소자 영역과 차별화된다. 종래 광자 집적 회로는 능동 광전 소자를 수동 광전 소자로부터 차별화시키기 위하여 유일하게 또는 주로 원소 조성의 변화를 사용한다.
종래 인듐 인화물 기반 광자 집적 회로의 실시예에서, 수동 도파로는 인듐 인화물의 층이며 능동 성분은 인듐 갈륨 비소 또는 인듐 갈륨 비소 - 인듐 인화물 다중 양자 우물을 포함하는 활성 층을 포함한다. 광학적 활성의 직접 밴드 갭 반도체 물질인 인듐 갈륨 비소에 의해 빛이 방출되며, 이는 자신의 화학적 조성의 결과로 인한 것이며 물질 내 변형의 결과로 인한 것이 아니다. 여기서 빛은 도파로 물질과 동일하지 않은 물질에 의해 방출된다. 일반적으로, 광 방출 물질이 에피택셜 성장에 의해 또는 레이저가 제조되는 결합 방법에 의해 도파로 물질에 부가된다. 본 발명의 바람직한 양상은, 변형을 부과하여 물질의 광학 특성을 적어도 일부 변화시킴으로서 방출기 또는 검출기와 동일하고 도파로와 동일한 물질의 사용을 촉진한다.
일반적으로, 광 방출기, 변조기, 도파로, 및 검출기로 구성된 광학 네트워크를 함께 조립하는 것은 매우 높은 제어 및 정확성 등급으로 3차원 및 각도에서의 성분들의 정렬을 요구한다. 도파로의 광학축을 검출기의 광학축과 정렬하는 장점의 전형적인 특징은 최소 50 내지 80%의 투과도를 획득하는 것이며, 이에 대하여 가우시안 비임 프로파일(Gaussian beam profile)은 도파로의 횡단면 치수의 약 10%보다 더 나은 정렬을 요구하며, 이는 약 0.1 um이다. 이는 전형적으로 능동 및 수동 정렬 전략을 사용하여 많은 노력으로 수행된다. 그 결과, 수득률 및 비용 문제가 광학 네트워킹 성분을 반도체 집적 회로보다 훨씬 더 고가가 되도록 한다. 비용-효율적이며, 집적된 어셈블리 해결책을 발견하기 위한 많은 진행 중인 노력이 존재한다. 본 발명의 양상은 제한된 어셈블리 및 정렬 문제에 사용될 수 있다. 본 발명의 양상을 실시하는 시스템의 광학적 양상을 달성하기 위한 전형적인 공정 흐름은 집적 회로의 제조에 이미 사용된 단계들로부터 유도된다. 이러한 기존의 기술을 사용하는 것은 수득률 개선을 위한 방법을 우수하게 달성하는 가능성을 제공하며 비용 감소가 광학 상호연결, 통신 또는 또 다른 시스템에 적용될 수 있다.
단일 반도체 층 내 집적 광학(광자) 시스템을 제조하는 것은 리딩-엣지 마이크로전자기기 제조에 현재 사용되는 확립된 프런트-엔드 라이 공정, 즉 습식 세정, IV 족 원소(실리콘, 게르마늄 또는 이들의 합금)의 에피택시, 절연 필름의 증착, 적절한 습식 또는 건식 에칭, 및 후속하여 CMP를 통한 물질의 리소그라피 또는 감산(subtraction)에 의한 패턴화, 및 도핑하고 광학 시스템의 전기 성분에 대한 전기적 접촉부를 제조하기 위한 여러 단계로부터 유도된다. 결합, III/V 또는 II/VI 화합물 반도체의 헤테로-에피택시 또는 결정 또는 비-결정인 비-IV 족 물질의 증착이 본 명세서에 기재된 광학 시스템의 양상을 보충하지만, 집적 광자 시스템을 달성하기 위한 필수적인 것은 아니다. 반도체웨이퍼 상의 집적 광자 시스템을 제조하기 위한 바람직한 방법은 자체 정렬 이외에 광학 성분의 정렬을 거의 요구하지 않는다.
바람직한 구체 예에서 본 발명은 광학 시스템을 제공하는데 여기서 적어도 일부 그리고 특히 바람직한 실시에서 방출기, 도파로, 및 검출기를 포함하는 모든 성분은 실질적으로 동일한 원소(예컨대, 게르마늄)로 제조되며 여기서 상기 물질은 국지적으로 그리고 선택성 있게 변형되어, 레이저의 이득 매질 내, 발광 다이오드 내 또는 광검출기 내에서, 시스템 디자이너에 의해 요구는 경우에만 직접 갭 반도체의 밴드 구조물에 대응하는 밴드 구조물을 가지면서 광학적으로 활성이 된다. 바람직하게는, 도파로는 작은 유전 상수 물질에 의해 측면에서 부분적으로 정의되고, 레이저와 같은 방출기는 하나 또는 그 이상의 2축 변형된 게르마늄 영역을 포함하는 이득 영역을 가지며, 광다이오드와 같은 검출기는 하나 또는 그 이상의 2축 변형된 게르마늄 영역을 포함하며, 도파로와 함께, 방출기 및 검출기의 활성 영역은 서로에 대해 자체-정렬된다.
도 12 - 17에 도시된 구체 예에서, 전술한 바와 같이, 변형된 게르마늄 영역 상부의 방출기 층은 도핑된 비결정질 또는 다결정 게르마늄 또는 실리콘 또는 실리콘 게르마늄 합금일 수 있다. 이러한 구체 예에서, 광도(light intensity) 프로파일의 중첩 및 광학적으로 활성인 2축 변형된 게르마늄 기둥 또는 핀 영역의 체적을 최대화하기 위한 목적으로 광도 프로파일(모드 필드 패턴)이 부분적으로 게르마늄 층 내에 그리고 부분적으로 방출기 층 내에 함유되도록, 방출기 층에 대한 두께 및 횡단면 기하형태를 선택하는 것이 바람직하다. 게르마늄의 2축 변형된 영역은 게르마늄 도파로 구조물의 상부 부분일 수 있다. 해당 분야의 통상의 기술자는 게르마늄의 가장 고도로 변형된 영역에 일치하는 최대 광학 세기를 갖는 광학 모드를 배치하는 전체 구조물을 설계할 수 있다. 이러한 수단에 의해, 변형된 게르마늄 영역 내 시뮬레이션 된 방출에 의한 광 증폭이 최적화된다. 이러한 바람직한 구체 예는 도 19에 제시되며 여기서 레이저가 게르마늄 층(190) 내로 에칭된 립(rib) 도파로(192)에 형성된다. 립(rib)은 실리콘 산화물과 같은 저등급(low index) 절연 물질의 영역(194 및 196)에 의해 인접한 측면이 덮인다. 실리콘 게르마늄 인장 스트레서 영역은 도면부호 (197)로 표시되며 실리콘 게르마늄 스트레서 사이의 게르마늄의 열(column)이 2축 변형되고 광학적으로 활성이어서 빛의 시뮬레이션 된 방출 및 방사 재조합을 제공한다. 방출기 영역(198)이, 선택사항으로 저등급(low index) 영역(194 및 196)에 중첩하는 패턴으로 게르마늄 립의 레이징 영역(lasing region) 상부에 형성된다. 레이저의 몸체 내에 광학 세기 프로파일은 바람직하게는 게르마늄 레이저 내의 모드 필드 패턴을 나타내는 점선(199)에 의해 제시되는 바와 같이 립 내 게르마늄 기둥의 고도로 2축 변형된 영역에 집중된다. 또 다른 변화에서, 방출기 영역(198)은 레이징 영역으로부터 멀어지는 방향에서 게르마늄 립의 축을 따라 두께가 경사지거나 점차 감소하며 이에 따라 광학 세기 파일(모드 필드 패턴)가 게르마늄 립 도파로의 몸체 내로 재배치된다. 이러한 경우에, 다결정 방출기 물질은, 레이저 또는 광검출기와 같은 광학 활성 소자가 존재하지 않는 한, 게르마늄 도파로를 덮지 않는다.
도 19에 도시된 구조물이, 유사한 작동 및 장점을 가지면서, 도 19에 (199)로 제시된 일반 영역 내에 도 4 및 도 12-17에 도시된 또 다른 방출기 구조물을 포함할 수 있음이 고려될 것이다. 또한, 도 19에 일반적으로 도시된 구조물은 검출기를 제공하기 위하여 사용될 수 있다. 광학 신호가 방출기 또는 레이저 영역(199)에서 발생되며 쐐기(wedge) 구조물을 통하여 립 도파로(192) 내로 진행한다. 이러한 광학 신호는 전기-광학 버스 트랜잭션(electrical to optical bus transaction)의 부품으로서 메모리 회로 또는 실리콘 프로세서 내 구동 회로에 의해 발생될 수 있으며 이에 따라 광학 신호가 데이터를 프로세서 또는 메모리 회로로부터 운송할 수 있다. 광학 신호는 립 도파로(192)를 통하여 근접 또는 원격 검출기 위치로 진행하며 여기서 광학 신호는 프로세서 내 추가 프로세싱, 메모리에서의 저장 또는 또 다른 원하는 프로세스를 위하여 전기 신호로 전환될 수 있다. 검출기는 도 19에 도시된 쐐기(198)와 같은 쐐기를 통하여 립 도파로에 연결되고 도 4 및 도 12-17에 도시되고 전술한 바와 같은 검출기로서 구성된 2축 인장 변형된 게르마늄의 핀 또는 기둥을 갖는 영역 내로 연결될 수 있다. 바람직하게는, 방출기 및 검출기 각각의 활성 영역은 립 도파로에 대하여 그리고 각각 서로에 대하여 자체-정렬된다.
프로세서 내 회로는 게르마늄 층과 같은 광학 평면을 제공함으로써 프로세서 영역으로부터 이격되거나 또는 원격으로 떨어진 회로에 연결될 수 있다. 프로세서 내 구동 회로는 레이저와 같은 방출기의 일치 어레이(matched array)에 평행하게 한 세트의 데이터를 출력한다. 레이저는 각각 도 19에 도시된 바와 같은 구성을 가질 수 있으며 대응하는 립 도파로의 어레이에 연결된 구동 회로의 출력으로 변조된 광학 출력을 생성한다. 립 도파로의 대응하는 어레이를 통하여 평행하게 전송된 신호가 전술한 바와 같이 2축 인장 변형된 게르마늄 핀 또는 기둥을 갖는 검출기의 대응하는 어레이에 제공된다. 검출기 어레이의 출력은 신호를 프로세서 내에 분배하는 전기 버스에 검색된 신호를 제공하는 구동 회로에 제공된다.
도 20은 전술한 변형된 게르마늄 이득 구조물 중 하나를 포함하는 레이저에 대한 또 다른 구성을 나타낸다. 도시된 바와 같이, 바람직하게는 구조물(202)의 적어도 일부분에 존재하는 충분하게 인장 변형된 게르마늄을 갖는 전술한 것들 중 임의 것과 같은 변형된 게르마늄 구조물(202)이 광학 구조물(200)에 접촉하여 제공된다. 예를 들면, 광학 구조물(200)은 광학 구조물(200)의 양쪽 표면상에 형성된 거울(204, 206)을 갖는 레이저를 위한 도파로 또는 광학 캐비티일 수 있다. 광학 구조물은, 예를 들면, 실리콘 도파로, 실리콘 산화물 도파로 또는 레이저 캐비티용의 또 다른 적절한 구조물일 수 있다. 광학 구조물의 양쪽 끝단의 거울(204, 206)은, 예를 들면, 분포 브래그 반사기(distributed Bragg reflectors)일 수 있으며, 이의 구조 및 제조법은 공지되어 있다. 도시된 구성에서, 많은 레이저 모드 중 하나가 영역의 이득에 의해 증폭될 구조물(202)의 변형된 게르마늄 부분에 연결될 수 있다. 구조물(200 및 202) 사이의 연결은, 예를 들면, 일시적(evanescent) 연결일 수 있다. 바람직하게는 충분한 이득이 달성되어 인접한 이득 매질에 연결된 모드를 통하여 레이저 캐비티에 이득을 제공한다. 레이저 구조물의 또 다른 구성이 사용될 수 있으며, 이는 변형된 게르마늄 구조물 상에 직접적으로 형성된 거울을 갖는 것을 포함한다. 반사 또는 부분적 반사 표면을 포함하는 여러 거울이 사용될 수 있으며, 해당 분야에 공지되어 있다. 유사한 전략이 앞서 도시되고 전술한 바와 같은 도파로와 광다이오드 구조물 사이의 일시적 연결을 제공하기 위하여 사용되어, 가이드(guided) 광학 신호를 위한 효과적인 검출기를 제공할 수 있다.
본 발명은 일부 바람직한 구체 예를 참고하여 기재되었다. 해당 분야의 통상의 기술자들은 여러 변화 및 수정이 본 발명의 시사점으로부터 벗어나지 않으면서 본 명세서에 기재된 특정 바람직한 구체 예에 대하여 이루어 질 수 있음을 이해할 것이다. 그 결과, 본 발명은 본 명세서에 기재된 특정 바람직한 구체 예에 제한되도록 의도되지 않으며 그 대신에 본 발명은 첨부된 청구항에 의해 정의될 것이다.

Claims (18)

  1. 제1 및 제2 게르마늄 영역, 여기서 상기 제1 게르마늄 영역은 제1 스트레서와 접촉하여 이에 따라 상기 제1 게르마늄 영역이 상기 제1 게르마늄 영역의 적어도 제1 부분 내에 2축 인장 변형을 가지며, 상기 제2 게르마늄 영역은 제2 스트레서와 접촉하여 이에 따라 상기 제2 게르마늄 영역은 상기 제2 게르마늄 영역의 적어도 제2 부분 내에 2축 인장 변형을 가짐;
    상기 제1 및 제2 게르마늄 영역을 통한 광행로를 정의하는 광학 요소;
    상기 제1 게르마늄 영역의 상기 제1 부분 내에 또는 인접하여 위치하는 접합부, 여기서 상기 접합부는 제1 다수 운송자 타입을 갖는 제1 측면 및 제2 다수 운송자 타입을 갖는 제2 측면을 가짐; 및
    각각 상기 접합부의 제1 측면 및 상기 접합부의 제2 측면에 연결된 제1 및 제2 접촉부;
    를 포함하는 광학 소자.
  2. 제 1 항에 있어서, 상기 제1 게르마늄 영역은 상기 제1 게르마늄 영역의 상기 제1 부분이 직접 밴드 갭을 갖기에 충분하도록 상기 제1 게르마늄 영역의 적어도 일부분에 2축 인장 변형을 가지는 것을 특징으로 하는 광학 소자.
  3. 제 2 항에 있어서, 제1 및 제2 스트레서는 실리콘 게르마늄임을 특징으로 하는 광학 소자.
  4. 제 1 항에 있어서, 상기 광학 요소는 레이저 캐비티를 정의하는 제1 거울 및 제2 거울을 포함하는 것을 특징으로 하는 광학 소자.
  5. 제 4 항에 있어서, 상기 제1 거울 및 상기 제2 거울은 상기 레이저 캐비티의 끝단 대면(face) 상에 형성되며, 상기 레이저 캐비티는 일시적 연결(evanescent coupling)을 통하여 상기 제1 및 제2 게르마늄 영역에 광학적으로 연결되는 것을 특징으로 하는 광학 소자.
  6. 제 4 항에 있어서, 상기 레이저 캐비티는 도파로 내에 적어도 부분적으로 배치되는 것을 특징으로 하는 광학 소자.
  7. 제 4 항에 있어서, 상기 레이저 캐비티는 실리콘 또는 실리콘 산화물 도파로 내에 적어도 부분적으로 배치되는 것을 특징으로 하는 광학 소자.
  8. 제 1 항에 있어서, 상기 제1 및 제 2 스트레서 영역은 압축 스트레스된 물질을 포함하는 것을 특징으로 하는 광학 소자.
  9. 제 8 항에 있어서, 상기 제1 및 제 2 스트레서 영역은 실리콘 나이트라이드를 포함하는 것을 특징으로 하는 광학 소자.
  10. 제 1 항에 있어서, 상기 제1 및 제2 스트레서는 상기 제1 게르마늄 영역의 반대쪽 측면(opposite side) 상에 위치되는 것을 특징으로 하는 광학 소자.
  11. 제 1 항에 있어서, 제1 및 제2 스트레서가 게르마늄 핀의 한쪽 측면 상에 위치되고 제3 및 제4 스트레서가 상기 게르마늄 핀의 반대쪽 측면 상에 위치되며, 상기 게르마늄 핀은 상기 제1 게르마늄 영역의 제1 부분을 포함하는 것을 특징으로 하는 광학 소자.
  12. 제 11 항에 있어서, 상기 게르마늄 핀은 그 두께가 40 나노미터 내지 80 나노미터이며, 상기 게르마늄 핀은 그 폭이 1 마이크론 미만이며 상기 제1 및 제 2 스트레서는 실리콘 나이트라이드인 것을 특징으로 하는 광학 소자.
  13. 게르마늄 영역을 갖는 기판을 제공하는 단계;
    상기 게르마늄 영역 내로 개구를 에칭하는 단계; 및
    상기 개구 내에 스트레서 영역을 형성하여 상기 게르마늄 영역의 제1 부분을 둘러싸는 임베디드 스트레서 영역의 패턴을 형성하는 단계;
    를 포함하며, 상기 게르마늄 영역의 상기 제1 부분은 동일면 2축 인장 변형을 갖는, 광학 소자 제조방법.
  14. 제 13 항에 있어서, 상기 게르마늄 영역은 절연층에 의해 상기 기판의 다른 부분으로부터 분리된 게르마늄 층인 것을 특징으로 하는, 광학 소자 제조방법.
  15. 제 13 항에 있어서, 상기 방법은 2축 인장 변형을 갖는 게르마늄 영역의 적어도 4개의 추가 부분을 형성함을 특징으로 하는, 광학 소자 제조방법.
  16. 제 15 항에 있어서, 제1 및 추가적인 게르마늄 부분 내 2축 인장 변형이 게르마늄 부분의 적어도 일부분에서 직접 밴드갭을 제공하기에 충분함을 특징으로 하는, 광학 소자 제조방법.
  17. 제 13 항에 있어서, 레이저 캐비티를 형성하여 상기 레이저 캐비티 내 증폭된 빛이 상기 게르마늄 영역의 상기 제1 부분을 관통하도록 하는 단계를 더욱 포함함을 특징으로 하는, 광학 소자 제조방법.
  18. 제 13 항에 있어서, 상기 스트레서 영역은 실리콘 게르마늄임을 특징으로 하는, 광학 소자 제조방법.
KR1020120087611A 2011-08-12 2012-08-10 인장 변형된 반도체 광자 방출 및 검출 장치 그리고 집적된 광자 시스템 KR101374485B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/209,186 2011-08-12
US13/209,186 US8731017B2 (en) 2011-08-12 2011-08-12 Tensile strained semiconductor photon emission and detection devices and integrated photonics system

Publications (2)

Publication Number Publication Date
KR20130018174A KR20130018174A (ko) 2013-02-20
KR101374485B1 true KR101374485B1 (ko) 2014-03-25

Family

ID=47595696

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120087611A KR101374485B1 (ko) 2011-08-12 2012-08-10 인장 변형된 반도체 광자 방출 및 검출 장치 그리고 집적된 광자 시스템

Country Status (5)

Country Link
US (9) US8731017B2 (ko)
KR (1) KR101374485B1 (ko)
CN (2) CN102957091B (ko)
DE (2) DE102012025727B3 (ko)
FR (2) FR2979037B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11837604B2 (en) 2021-09-22 2023-12-05 International Business Machine Corporation Forming stacked nanosheet semiconductor devices with optimal crystalline orientations around devices

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8450133B2 (en) * 2009-03-16 2013-05-28 Acorn Technologies, Inc. Strained-enhanced silicon photon-to-electron conversion devices
US9059201B2 (en) * 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US8415221B2 (en) * 2011-01-27 2013-04-09 GlobalFoundries, Inc. Semiconductor devices having encapsulated stressor regions and related fabrication methods
US8731017B2 (en) * 2011-08-12 2014-05-20 Acorn Technologies, Inc. Tensile strained semiconductor photon emission and detection devices and integrated photonics system
EP2626917B1 (en) * 2012-02-10 2017-09-27 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik A CMOS-compatible germanium tunable Laser
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
KR101923730B1 (ko) * 2012-10-15 2018-11-30 한국전자통신연구원 반도체 레이저 및 그 제조방법
US9136672B2 (en) * 2012-11-29 2015-09-15 Agency For Science, Technology And Research Optical light source
US8867874B2 (en) * 2012-12-06 2014-10-21 Finisar Sweden Ab Method for modifying the combining or splitting ratio of a multimode interference coupler
US9690042B2 (en) * 2013-05-23 2017-06-27 Electronics And Telecommunications Research Institute Optical input/output device, optical electronic system including the same, and method of manufacturing the same
KR102031953B1 (ko) * 2013-05-23 2019-10-15 한국전자통신연구원 광 입출력 장치 및 그를 구비한 광 전자 시스템
US9299810B2 (en) 2013-07-05 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Fin-type field effect transistor and method of fabricating the same
US9698296B2 (en) * 2013-07-08 2017-07-04 Sifotonics Technologies Co., Ltd. Compensated photonic device structure and fabrication method thereof
US9412911B2 (en) 2013-07-09 2016-08-09 The Silanna Group Pty Ltd Optical tuning of light emitting semiconductor junctions
US9472535B2 (en) 2013-11-08 2016-10-18 Wisconsin Alumni Research Foundation Strain tunable light emitting diodes with germanium P-I-N heterojunctions
TW201530757A (zh) * 2013-12-30 2015-08-01 Veeco Instr Inc 用於以結晶氮化物為主之裝置中的工程基板
JP6228874B2 (ja) * 2014-03-19 2017-11-08 株式会社日立製作所 半導体光素子
JP6379696B2 (ja) * 2014-06-05 2018-08-29 住友電気工業株式会社 量子カスケード半導体レーザ
US9595812B2 (en) * 2014-06-23 2017-03-14 The Board Of Trustees Of The Leland Stanford Junior University Crossed nanobeam structure for a low-threshold germanium laser
WO2016023105A1 (en) * 2014-08-15 2016-02-18 Aeponyx Inc. Methods and systems for microelectromechanical packaging
US9865520B2 (en) * 2015-08-07 2018-01-09 International Business Machines Corporation Tunable semiconductor band gap reduction by strained sidewall passivation
DE102016114514B4 (de) 2015-10-20 2021-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur und Verfahren zu deren Herstellung
US9824943B2 (en) * 2015-10-20 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
DE112015007222T5 (de) * 2015-12-24 2018-09-13 Intel Corporation Transistor mit einem zugbelasteten Germanium Kanal
US10509163B2 (en) 2016-02-08 2019-12-17 Skorpios Technologies, Inc. High-speed optical transmitter with a silicon substrate
US10732349B2 (en) * 2016-02-08 2020-08-04 Skorpios Technologies, Inc. Broadband back mirror for a III-V chip in silicon photonics
FR3051984B1 (fr) * 2016-05-24 2018-05-25 Thales Reseau de lasers a cascade quantique a antiguidage enterre dans un materiau type iv et a emission monolobe
CN107546103B (zh) * 2016-06-28 2019-09-20 西安电子科技大学 一种与Si工艺兼容的直接带隙Ge材料及其制备方法
CN107546116B (zh) * 2016-06-28 2019-10-18 西安电子科技大学 SiGe选择外延致Ge准直接带隙半导体材料及其制备方法
US9864136B1 (en) * 2016-08-09 2018-01-09 Globalfoundries Inc. Non-planar monolithic hybrid optoelectronic structures and methods
CN107785238B (zh) * 2016-08-25 2020-07-24 西安电子科技大学 InGaAs材料、基于InGaAs材料作为沟道的MOS器件及其制备方法
CN107785232A (zh) * 2016-08-25 2018-03-09 西安电子科技大学 基于LRC工艺SiGeC选择外延致直接带隙Ge材料及其制备方法
CN107785234A (zh) * 2016-08-25 2018-03-09 西安电子科技大学 基于Si衬底的应变Ge1‑xSnx薄膜材料及其制备方法
WO2018096038A1 (en) * 2016-11-23 2018-05-31 Rockley Photonics Limited Electro-optically active device
CN110325900B (zh) * 2016-12-02 2023-11-17 洛克利光子有限公司 波导光电器件
KR20180090107A (ko) 2017-02-02 2018-08-10 삼성전자주식회사 분광기 및 그 분광기가 적용된 성분 측정 장치
CN107221583B (zh) * 2017-05-17 2019-01-29 福建海佳彩亮光电科技有限公司 一种纵向结构led及其制备工艺
WO2018231149A1 (en) * 2017-06-16 2018-12-20 Nanyang Technological University Method of facilitating straining of a semiconductor element for semiconductor fabrication, semiconductor platform obtained by the method, and optoelectronic device comprising the semiconductor platform
IL254295A0 (en) * 2017-09-03 2017-10-31 Yeda Res & Dev Optical band for a multi-core processor
US10928588B2 (en) 2017-10-13 2021-02-23 Skorpios Technologies, Inc. Transceiver module for optical communication
US11165220B2 (en) * 2017-10-19 2021-11-02 Stmicroelectronics (Crolles 2) Sas Structure comprising a strained semiconductor layer on a heat sink
EP3714321B1 (en) * 2017-11-23 2023-12-13 Rockley Photonics Limited Electro-optically active device
FR3078827B1 (fr) 2018-03-07 2022-04-01 St Microelectronics Crolles 2 Sas Photodiode en germanium
WO2019220207A1 (en) 2018-05-16 2019-11-21 Rockley Photonics Limited lll-V/SI HYBRID OPTOELECTRONIC DEVICE AND METHOD OF MANUFACTURE
CN108878550B (zh) * 2018-06-29 2020-04-03 江苏宜兴德融科技有限公司 多结太阳能电池及其制备方法
US10962810B2 (en) * 2018-09-27 2021-03-30 Massachusetts Institute Of Technology Strained germanium silicon optical modulator array including stress materials
US10665512B2 (en) * 2018-10-17 2020-05-26 International Business Machines Corporation Stress modulation of nFET and pFET fin structures
CN109390845B (zh) * 2018-10-31 2020-02-21 华中科技大学 一种应变锗激光器及其制作方法
US11133649B2 (en) * 2019-06-21 2021-09-28 Palo Alto Research Center Incorporated Index and gain coupled distributed feedback laser
FR3101727B1 (fr) 2019-10-08 2021-09-17 Commissariat Energie Atomique procede de fabrication d’au moins une photodiode planaire contrainte en tension
CN112750847A (zh) * 2019-10-31 2021-05-04 台湾积体电路制造股份有限公司 半导体装置及其形成方法
JP7279658B2 (ja) * 2020-02-12 2023-05-23 住友電気工業株式会社 半導体光素子およびその製造方法
CN111650062B (zh) * 2020-06-30 2023-05-26 武汉钢铁有限公司 金属材料的等幅总应变-寿命曲线的分段式测试方法
US11940678B2 (en) * 2020-07-14 2024-03-26 Intel Corporation Stressed silicon modulator
CN112467514B (zh) * 2020-11-10 2022-04-12 华中科技大学 一种宽工作温度范围的分布反馈半导体激光器
US11742451B2 (en) 2020-11-24 2023-08-29 Cisco Technology, Inc. Integrate stressor with Ge photodiode using a substrate removal process
US20230307572A1 (en) * 2022-03-24 2023-09-28 Marvell Asia Pte Ltd Method of fabricating si photonics chip with integrated high speed ge photo detector working for entire c- and l-band

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0893834B1 (en) 1993-11-02 2004-04-07 Matsushita Electric Industrial Co., Ltd Semiconductor device comprising an aggregate of semiconductor micro-needles
US6724088B1 (en) 1999-04-20 2004-04-20 International Business Machines Corporation Quantum conductive barrier for contact to shallow diffusion region
US7271458B2 (en) 2002-04-15 2007-09-18 The Board Of Trustees Of The Leland Stanford Junior University High-k dielectric for thermodynamically-stable substrate-type materials
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
AU2002368035A1 (en) * 2002-06-19 2004-01-06 Massachusetts Institute Of Technology Ge photodetectors
US6969897B2 (en) 2002-12-10 2005-11-29 Kim Ii John Optoelectronic devices employing fibers for light collection and emission
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US20070286952A1 (en) 2003-07-31 2007-12-13 Jifeng Liu Method and Structure of Strain Control of Sige Based Photodetectors and Modulators
JP4413580B2 (ja) 2003-11-04 2010-02-10 株式会社東芝 素子形成用基板の製造方法
US7247545B2 (en) 2004-11-10 2007-07-24 Sharp Laboratories Of America, Inc. Fabrication of a low defect germanium film by direct wafer bonding
US20060292719A1 (en) 2005-05-17 2006-12-28 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7211458B2 (en) * 2005-08-08 2007-05-01 North Carolina State University Methods of fabricating strained semiconductor-on-insulator field-effect transistors and related devices
US7596158B2 (en) * 2005-10-28 2009-09-29 Massachusetts Institute Of Technology Method and structure of germanium laser on silicon
US7297564B1 (en) 2006-05-02 2007-11-20 Sharp Laboratories Of America, Inc. Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors
JP4296193B2 (ja) 2006-09-29 2009-07-15 株式会社東芝 光デバイス
US7569869B2 (en) * 2007-03-29 2009-08-04 Intel Corporation Transistor having tensile strained channel and system including same
US7875522B2 (en) * 2007-03-30 2011-01-25 The Board Of Trustees Of The Leland Stanford Junior University Silicon compatible integrated light communicator
KR101361129B1 (ko) * 2007-07-03 2014-02-13 삼성전자주식회사 발광소자 및 그 제조방법
FR2918793B1 (fr) 2007-07-11 2009-10-09 Commissariat Energie Atomique Procede de fabrication d'un substrat semiconducteur-sur- isolant pour la microelectronique et l'optoelectronique.
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
US7700416B1 (en) 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
US7851325B1 (en) * 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
US7972916B1 (en) * 2008-10-22 2011-07-05 Acorn Technologies, Inc. Method of forming a field effect transistors with a sacrificial stressor layer and strained source and drain regions formed in recesses
WO2010055750A1 (ja) 2008-11-12 2010-05-20 株式会社日立製作所 発光素子並びに受光素子及びその製造方法
DE102008061152B4 (de) 2008-12-09 2017-03-02 Osram Opto Semiconductors Gmbh Optoelektronischer Halbleiterchip
US8633573B2 (en) * 2009-02-16 2014-01-21 The Board Of Trustees Of The Leland Stanford Junior University Strained semiconductor materials, devices and methods therefore
US8450133B2 (en) 2009-03-16 2013-05-28 Acorn Technologies, Inc. Strained-enhanced silicon photon-to-electron conversion devices
US9065253B2 (en) * 2009-05-13 2015-06-23 University Of Washington Through Its Center For Commercialization Strain modulated nanostructures for optoelectronic devices and associated systems and methods
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
WO2011044226A2 (en) 2009-10-07 2011-04-14 University Of Florida Research Foundation Inc. Strain tunable silicon and germanium nanowire optoelectronic devices
JP5627871B2 (ja) * 2009-10-30 2014-11-19 フューチャー ライト リミテッド ライアビリティ カンパニー 半導体素子およびその製造方法
US8731017B2 (en) * 2011-08-12 2014-05-20 Acorn Technologies, Inc. Tensile strained semiconductor photon emission and detection devices and integrated photonics system
US9653639B2 (en) 2012-02-07 2017-05-16 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
US9666702B2 (en) * 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
US9437772B2 (en) * 2013-03-15 2016-09-06 Matthew H. Kim Method of manufacture of advanced heterojunction transistor and transistor laser
JP2019009196A (ja) * 2017-06-21 2019-01-17 ルネサスエレクトロニクス株式会社 半導体レーザ

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11837604B2 (en) 2021-09-22 2023-12-05 International Business Machine Corporation Forming stacked nanosheet semiconductor devices with optimal crystalline orientations around devices

Also Published As

Publication number Publication date
CN102957091B (zh) 2015-06-17
US10727647B2 (en) 2020-07-28
CN105047735A (zh) 2015-11-11
US20130039664A1 (en) 2013-02-14
DE102012015309A1 (de) 2013-02-14
FR3072834B1 (fr) 2023-02-10
US20150249320A1 (en) 2015-09-03
US20140369372A1 (en) 2014-12-18
US11728624B2 (en) 2023-08-15
US20230344200A1 (en) 2023-10-26
US20200335945A1 (en) 2020-10-22
US10008827B2 (en) 2018-06-26
US20180062352A1 (en) 2018-03-01
US20160211649A1 (en) 2016-07-21
US9036672B2 (en) 2015-05-19
US11271370B2 (en) 2022-03-08
US20190115726A1 (en) 2019-04-18
FR2979037A1 (fr) 2013-02-15
DE102012025727B3 (de) 2024-03-07
US8731017B2 (en) 2014-05-20
US10193307B2 (en) 2019-01-29
US20220173575A1 (en) 2022-06-02
FR2979037B1 (fr) 2018-12-07
CN102957091A (zh) 2013-03-06
KR20130018174A (ko) 2013-02-20
CN105047735B (zh) 2017-04-12
DE102012015309B4 (de) 2018-11-08
FR3072834A1 (fr) 2019-04-26
US9270083B2 (en) 2016-02-23

Similar Documents

Publication Publication Date Title
US11728624B2 (en) Tensile strained semiconductor photon emission and detection devices and integrated photonics system
US7603016B1 (en) Semiconductor photonic nano communication link apparatus
US8724100B1 (en) Wafer level testing of optical devices
US8872294B2 (en) Method and apparatus for reducing signal loss in a photo detector
US9966733B2 (en) Integration of laser into optical platform
WO2009110632A1 (ja) SiGeフォトダイオード
US20090078963A1 (en) Nano-optoelectronic chip structure and method
WO2014190189A2 (en) Microstructure enhanced absorption photosensitive devices
US10985524B1 (en) High-power hybrid silicon-photonics laser
US9966735B2 (en) III-V lasers with integrated silicon photonic circuits
US10763644B2 (en) Lateral current injection electro-optical device with well-separated doped III-V layers structured as photonic crystals
US7907848B1 (en) Semiconductor photonoic nano communication link method
KR20210143609A (ko) 광집적회로용 플랫폼 및 이를 이용한 광학 위상 어레이 소자
KR20120027343A (ko) 측벽 광검출기
US8639065B2 (en) System having avalanche effect light sensor with enhanced sensitivity
EP1711850A1 (en) Seamlessly integrated optical wave guide for light generated by a semiconductor light source

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190226

Year of fee payment: 6