KR101125086B1 - 성막장치 - Google Patents

성막장치 Download PDF

Info

Publication number
KR101125086B1
KR101125086B1 KR1020097022401A KR20097022401A KR101125086B1 KR 101125086 B1 KR101125086 B1 KR 101125086B1 KR 1020097022401 A KR1020097022401 A KR 1020097022401A KR 20097022401 A KR20097022401 A KR 20097022401A KR 101125086 B1 KR101125086 B1 KR 101125086B1
Authority
KR
South Korea
Prior art keywords
gas
stage
diffusion chamber
gas passage
film forming
Prior art date
Application number
KR1020097022401A
Other languages
English (en)
Other versions
KR20100015936A (ko
Inventor
마사노부 하타나카
오사무 이리노
미치오 이시가와
Original Assignee
가부시키가이샤 알박
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 알박 filed Critical 가부시키가이샤 알박
Publication of KR20100015936A publication Critical patent/KR20100015936A/ko
Application granted granted Critical
Publication of KR101125086B1 publication Critical patent/KR101125086B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

[과제]
2종 이상의 가스를 이용하는 성막장치에 있어서, 균일한 막을 형성한다.
[해결수단]
성막 챔버(5)와 샤워헤드(1)를 갖춘 성막장치에 있어서, 상기 샤워헤드는 원료가스 확산실 및 반응가스 확산실을 구비하고, 상기 원료가스 확산실(135)과 원료가스 도입관(133)을 접속하는 가스통로(134)는 1단 이상의 다단으로 구성되며, 각 단은 2n-1(n은 단 수)로 표시되는 가스통로를 가지며, 제1단의 가스통로는 그 중심에 상기 원료가스 도입관이 접속되고, 제2단 이후의 각 가스통로는 그 중심에 앞단의 가스통로의 양 끝에 설치된 접속구멍이 접속하여 앞단의 가스통로와 연통하며, 마지막 단의 각 가스통로는 각 가스통로의 양 끝에 형성된 접속구멍에 의해 원료가스 확산실(135)에 접속되어 있다.

Description

성막장치{FILM FORMING APPARATUS}
본 발명은 성막장치에 관한 것이다.
CVD법이나 ALD법은 원료가스 및 반응가스(지원가스) 등 2종 이상의 가스를 이용하여 성막을 수행하는 방법이다. 이들 방법을 실시하는 성막 장치에서는 원료가스와 반응가스를 성막공간에 도입하기 전에 혼합시켜 버리면 CVD 반응(성막반응)이 발생해 버리기 때문에 이를 방지하기 위해 원료가스가 샤워헤드 구조를 통하여 성막공간에 도입되었을 때 처음으로 다른 가스와 접촉하도록 구성할 필요가 있다. 그러한 요건을 만족시키는 장치로서 원료가스 확산실과 반응가스 확산실을 샤워헤드 구조 내에 분리구획하여 개별적으로 설치하고, 각 가스가 혼합되지 않게 샤워헤드 구조를 통하여 성막공간으로 도입되도록 구성된 성막장치가 알려져 있다(예를 들면, 특허문헌 1 참조).
특허문헌 1: 일본특허공개 2005-129712호 공보(도 1, 단락 0017 등)
그러나 상기의 성막장치에서는 반응가스(지원가스)용의 제2 확산실로 연통된 반응가스 도입구와 원료가스용의 제1 확산실로 연통된 원료가스 도입구는 성막장치의 천정부에 간격을 두고 설치되어 샤워헤드 본체 내의 각 확산실에 연통되어 있기 때문에, 원료가스는 원료가스용 확산실의 중앙에서 약간 떨어진 부분으로부터 확산실로 도입되게 되고, 그 결과 확산실로부터 노즐을 통해 처리공간으로 도입된 후 웨이퍼 상에 균일하게 공급되지 못한다. 때문에 이 장치를 이용하여 성막하면 균일한 막을 형성할 수 없다는 문제가 있다.
여기서, 본 발명의 과제는 상기 종래기술의 문제점을 해결하는 것으로, 기판 상에 균일하게 가스를 도입하고, 균일한 막을 형성할 수 있는 CVD용 또는 ALD용의 성막장치를 제공하는 것이다.
본 발명의 성막장치는 성막 챔버와 샤워헤드부를 구비한 성막장치에 있어서, 상기 샤워헤드부는 원료가스 확산실과 반응가스 확산실을 구비하고, 상기 원료가스 확산실과 원료가스 도입관을 접속하는 가스 통로는, 1단 이상의 다단으로 구성되고, 각 단은 2n-1(n은 단 수)로 표시되는 가스 통로를 가지며, 제1단의 가스 통로는 상기 원료가스 도입관에 접속되고, 제2단 이후의 각 가스 통로는 앞단의 가스 통로와 연통하며, 마지막 단의 각 가스 통로는 원료가스 확산실에 접속되어 있는 것을 특징으로 한다. 이러한 가스 통로가 구성됨으로써 성막 챔버 내에 균일하게 원료가스를 도입하여 균일한 막을 형성하는 것이 가능해진다.
이 경우, 상기 제1단의 가스 통로는 그 중심에 상기 원료가스 도입관이 접속되고, 상기 제2단 이후의 각 가스 통로는, 그 중심에 앞단의 가스 통로의 양 끝에 설치된 접속 구멍이 접속되어 앞단의 가스 통로와 연통하며, 상기 마지막 단의 각 가스 통로는 각 가스 통로의 양 끝에 형성된 접속 구멍에 의해, 원료가스 확산실에 접속되어 있는 것이 바람직하고, 또한, 상기 원료가스 확산실은 반응가스 확산실의 저부에 배치되고, 원료가스 도입관은 반응가스 확산실의 벽면에 설치되며, 각 단에 형성된 상기 각 가스 통로는 원호 모양으로 형성되어 있는 것이 바람직하다.
상기 가스통로는 2단으로 구성되며, 제1단의 가스 통로는 그 중앙에 상기 원료가스 도입관이 접속되고, 제2단의 각 가스 통로는, 그 중앙에 제1단의 가스 통로의 양 끝에 설치된 접속 구멍이 접속하여 제1단의 가스 통로와 연통하며, 각 가스 통로의 양 끝에 형성된 접속 구멍에 의해 사각형상의 원료가스 확산실의 네 귀퉁이에 접속되어 있는 것이 바람직하다.
본 발명의 성막장치는, 반응가스를 도입하기 위한 비금속 파이프 바깥 둘레의 상부 및 하부에 떨어져 설치된 도체를 구비한 동축형 공진 캐비티와 마이크로파 공급회로로 된 플라즈마 생성수단으로, 상기 동축형 공진 캐비티 내부의 높이가 여진파장의 1/2의 정수배이고, 비금속 파이프의 한쪽 끝으로부터 주입된 가스가 비금속 파이프의 상기 도체를 설치하지 않은 영역에서 마이크로파에 의해 여기되며, 다른 쪽 끝으로부터 플라즈마화하여 방출되도록 구성된 플라즈마 생성수단을 상기 샤워헤드부의 상류측에 구비하고, 이 플라즈마 생성수단에 의해 플라즈마화 된 가스를 상기 반응가스 확산실로 도입하도록 구성되어 있는 것이 바람직하다. 이 플라즈마 생성수단을 구비함으로써 간단히 반응가스를 여기하는 것이 가능하다. 또한, 상기 동축형 공진 캐비티 내부가 여진파장의 1/2의 정수배의 높이이므로, 플라즈마 생성 전후에 발진 주파수가 변하지 않고, 플라즈마 생성수단을 구동함과 동시에 플라즈마를 생성할 수 있다.
상기 동축형 공진 캐비티에 냉각수단을 설치하고, 캐비티 내부에 냉각가스를 도입하여, 비금속 파이프의 도체를 설치하지 않은 영역을 냉각하도록 구성된 것이 바람직하고, 또한, 상기 비금속 파이프의 측벽이 2중이며, 이 측벽 사이에 냉각용 유체를 순환시키는 유체 순환수단을 갖추는 것이 바람직하다. 이러한 냉각수단을 갖추고 냉각시킴으로써 비금속 파이프 내벽의 에칭을 억제하고, 라디칼(radical)의 생성효율을 높일 수 있다.
또한, 반응가스 도입관과, 금속 와이어와, 와이어의 가열수단을 구비한 촉매실을 상기 샤워 헤드부의 상류부에 구비하여, 반응가스 도입관으로부터 도입된 가스가 가열된 금속 와이어에 의해 여기되고, 이 여기된 가스가 상기 반응가스 확산실에 도입되도록 구성되어 있는 것이 바람직하다.
본 발명의 성막장치에 의하면, 원료가스 확산실에 균일하게 가스를 도입하여, 진공 챔버 내에 균일하게 원료가스를 공급할 수 있으므로, 균일한 막을 형성할 수 있는 뛰어난 효과를 가져온다.
도 1은 본 발명의 실시에 이용되는 성막장치의 샤워헤드 구조(1)를 설명하기 위한 단면모식도이다.
도 2 (a)는 링형 부재(13b), (b)는 제1 샤워판(13c) 및 (c)는 제 2 샤워판(13d)의 횡단면도이다.
도 3은 원료가스 도입관(133), 가스통로(134) 및 원료가스 확산실(135)의 배치관계를 설명하기 위한 설명도이다.
도 4는 제 2 샤워헤드 구조(2)를 설명하기 위한 단면모식도이다.
도 5는 비금속 파이프 내면이 에칭된 상태를 나타내는 SEM 사진이다.
도 6은 2중 파이프(22)에 대하여 설명하는 단면모식도이며, (a)는 2중 파이프(22)의 종단면도이고 (b)는 도 6(a) 중 선 A-A'로부터 본 단면도이고, (c)는 도 6(b) 중 선 B-B'로부터 본 단면도이다.
도 7은 제 3의 샤워헤드 구조(3)를 설명하기 위한 단면모식도이다.
도 8은 본 발명에 있어서 원료가스의 생성에 관하여, (a)는 그 일례를 설명하기 위한 모식적 구성도이며, (b)는 별도의 예를 설명하기 위한 모식적 구성도이다.
도 9는 본 발명에 있어서 원료가스의 생성을 설명하기 위한 또 다른 예를 나타내는 모식적 구성도이다.
도 10은 본 발명의 성막장치를 나타내는 단면모식도이다.
<부호의 설명>
1 제1 샤워헤드 구조 2 제 2 샤워헤드 구조 3 제 3 샤워헤드 구조
11 동축형 공진 캐비티 12 가스도입실 13 샤워헤드부
13a 원반형 부재 13b 링형 부재 13c 샤워판
13d 샤워판 14 마이크로파 공급수단 15 원료가스 도입장치
31 촉매실 32 반응가스 도입관 33 와이어
151 탱크 152 망 152a, 152b 망
43 원료 44 질량 유량 제어기 111 비금속 파이프
111a 노출부 112 상부 도체 113 하부 도체
122 세라믹 플랜지 123, 124 고정구 131 반응가스 확산실
132 반응가스 분출구멍 133 원료가스 도입관 134 가스통로
134a 가스통로 134b 가스통로 134c 접속구멍
134d 접속구멍 135 원료가스 확산실 136 원료가스 분출구멍
137 가스도입구 141 마그네트론 142 마이크로파 전원
143 안테나 144 동축케이블 211 가스원
212 가스관 213 버블 221 측벽
222 냉각용 유체통로 222a 상류부 222b 하류부
223 유입구 224 유출구 225 판
226 개구부 227 가스통로
우선, 본 발명의 제1 실시의 형태와 관련된 성막장치에 이용되는 제1 샤워 헤드 구조에 대해 도 1을 참조하여 설명한다.
샤워헤드 구조(1)는 상부의 동축형 공진 캐비티(11)와, 동축형 공진 캐비티(11)의 저부에 접속하여 설치된 반응가스 도입실(12)과, 반응가스 도입실(12)에 접속하여 설치된 샤워헤드부(13)로 이루어진다.
동축형 공진 캐비티(11)는, 예를 들어 동제나 알루미늄제로, 이 동축형 공진 캐비티(11)에는 캐비티 천정벽과 저벽을 관통하여 비금속 파이프(111)가 설치되어 있다. 이 비금속 파이프(111)의 상부에는 도시하지 않은 반응가스의 가스원이 유량 제어수단을 통하여 접속된다. 이 비금속 파이프(111)로서는 석영관, 사파이어관 또는 알루미나관을 이용할 수 있으나, 파티클을 더욱 감소시키기 위해 사파이어관이나 알루미나관을 이용하는 것이 바람직하다.
이 비금속 파이프(111)의 상부에는 그 주위를 감싸듯이 동심원 모양의 가동이 자유자재인 상부 도체(112)가 설치되며, 또한, 이 상부 도체(112)의 아래쪽에서는 동축형 공진 캐비티(11)의 저벽이 하부 도체(113)로서 기능하고 있다. 두 개의 도체 사이에서 비금속 파이프(111)는 노출되어 있으며, 이 노출부(111a)에 마이크로파가 조사된다. 또한, 도 1에서는 동축형 공진 캐비티(11)의 저벽이 하부 도체(113)로서 기능하고 있으나, 하부 도체(113)를 별도의 부재로 동축형 공진 캐비티(11)의 저부에 설치해도 좋다.
비금속 파이프(111)의 노출부(111a)의 영역에 있어서 플라즈마를 생성하기 위해서, 마이크로파 공급 수단(14)이 동축형 공진 캐비티(11)의 측벽면의 노출부(111a)에 대응하는 위치에 설치되어 있다. 이 마이크로파 공급 수단(14)은 마이크로파를 발진하는 마그네트론(141)과, 이 마그네트론(141)을 작동시키기 위한 마이크로파 전원(142)과, 마그네트론(141)에 접속되어 마그네트론(141)으로부터 발진된 공진 주파수(예를 들어, 2.45GHz)의 마이크로파를 동축형 공진 캐비티(11)에 공급하는 안테나(143)와, 안테나(143)와 마그네트론(141)을 연결하는 동축 케이블(144)로 이루어진다. 마이크로파 전원(142)을 작동시키면 마그네트론(141)으로부터 마이크로파가 발진되고, 이 마이크로파가 동축 케이블(144)을 통하여 벽면에 설 치된 안테나(143)에 도달한다. 그리고 안테나(143)로부터 마이크로파가 동축형 공진 캐비티(11) 내에 공급되면, 비금속 파이프(111) 상부로부터 도입되고 있는 반응가스가 노출부(111a)의 영역에서 플라즈마 상태로 변하고, 가스 유로인 비금속 파이프(111)의 하부로부터 플라즈마화 한 가스로서 반응가스 도입실(12)로 공급된다. 이와 같이, 본 장치에서는 마이크로파를 전파하기 위한 도파관을 설치하지 않으므로, 마이크로파를 발진하면 즉각 플라즈마를 생성할 수 있다. 또한, 도 1에서 안테나(143)를 하나만 설치하는 예를 설명했으나, 두 개 이상 설치해도 좋다. 또한, 상기와 같이 상부 도체(112)는 이동이 가능하므로, 그 위치를 바꿔 상부 도체(112)와 하부 도체(113) 사이의 전계의 발생 상태를 변화시킴으로써, 플라즈마의 생성 상태를 변화시키는 것이 가능하다.
그런데 일반적으로 플라즈마 생성공간에 있어서 플라즈마가 생성되면, 플라즈마 생성공간의 전계분포가 변하여 공진 주파수가 변하며, 플라즈마의 생성효율이 나빠져 버린다. 이 경우, 마이크로파 공급수단을 조정하면 마이크로파 발진과 플라즈마 생성 간에 시간 지연이 발생한다.
여기서, 제1 성막장치에서는 플라즈마 생성 전후에 공진 주파수가 변하지 않도록, 동축형 공진 캐비티(11) 내의 높이 L이 여진파장 1/2의 정수배가 되도록 구성되어 있다. 이는 동축형 공진 캐비티(11)의 전계분포가 플라즈마 생성 전에는 TM 모드로 되어 있으나, 플라즈마 생성 후에는 TEM 모드로 되는 것에 비추어보아, 각 모드에 있어서의 전기적 등가회로로부터 플라즈마 생성 전후의 각 공진 주파수를 구해, 이들의 공진 주파수가 같은 값이 되도록 계산함으로써 얻어진 것이다. 상기 구성에 의해 플라즈마 생성 전후에 공진 주파수의 변화를 억제하는 것이 가능하다.
이와 같이 동축형 공진 캐비티(11) 내의 높이 L을 설정하여도, 플라즈마 생성 후에 캐비티 내의 주파수가 근소하게나마 변동하는 경우도 있으므로, 제1 샤워 헤드 구조(1)의 마이크로파 공급 수단(14)에 여자전류 제어회로를 설치하는 것이 바람직하다. 이 제어회로는 동축형 공진 캐비티(11) 내에서의 플라즈마 발생 전후의 주파수를 모니터링하여 이 주파수가 변한 경우, 변화분에 대응하는 신호를 수신하여 이 신호에 상당하는 전류를 여자전류로서 마그네트론(141) 내의 도시하지 않은 여자 코일로 보냄으로써 공급하는 마이크로파의 파장이 일정하도록 구성된다.
또한, 동축형 공진 캐비티(11) 내의 주파수가 변한 상태로 마이크로파를 발진하면 플라즈마 생성실 내부에서 반사파가 발생하는 경우에는, 이 반사파를 검출하고, 검출한 반사파와 발진한 마이크로파의 진행파의 위상 차에 상당하는 전압을 마그네트론 내의 양극 전극에 중첩하여 인가하여, 공진 주파수에 접근하도록 동작하는 양극 전압 제어회로를 설치해도 좋다. 이 경우, 반사파는 마이크로파 공급수단에 있어서 열로 변환되므로, 양극 전압 제어회로를 설치한 경우에 반사파에 기인하는 열에 의해 회로가 손상을 입지 않도록 주의할 필요가 있다. 더욱이, 하부 도체(113) 중에 발진파장의 4분의 1의 길이에 상당하는 초크 구조를 설치하여, 노출부(111a)로부터 누설되는 마이크로파를 억제하도록 구성해도 좋다.
이와 같이 제1 샤워 헤드의 구조는 동축형 공진 캐비티(11) 내의 높이 L을 여진파장의 1/2의 정수배가 되도록 구성하고, 일정한 공진 주파수를 발진 가능하게 하며, 여자전류 제어회로 및 양극 전압 제어회로를 설치함으로써 플라즈마 생성 전 후에 공진 주파수가 벗어난다고 가정하더라도 주파수를 자동적으로 맞추도록 구성되어 있다. 더욱이 제1 성막장치는 마이크로파 발진과 플라즈마 생성에 시간 지연이 발생하지 않으므로, 플라즈마의 생성을 극히 짧은 간격, 예를 들면 0.5초 정도로 제어할 수 있고, 흡착 공정 및 개질 공정을 수 차례 반복하여 성막하는 ALD법에 상당히 적합하다.
이 동축형 공진 캐비티(11)의 비금속 파이프(111) 내에서 플라즈마에 의해 여기된 반응가스는 반응가스 도입실(12)을 거쳐, 샤워 헤드부(13)로 도입된다. 반응가스 도입실(12)은, 예를 들면 알루미늄제이며, 그 내벽에는 파티클 발생 방지를 위해 석영제 이너 라이닝(inner lining)를 설치하는 것이 바람직하다. 이 경우, 도 1에 나타냈듯이, 가스 도입실(12) 내벽의 아래쪽 영역(샤워 헤드부(13) 측)에 석영제 이너 라이닝을 설치해도 무방하나, 바람직하게는 내벽 전면에 석영제 이너 라이닝을 설치하는 것이다. 또한, 라디칼 상태의 가스를 파괴하기 어렵도록 반응가스 도입실(12)의 내벽 표면을 알마이트(alumite) 가공해도 좋다.
또한, 반응가스 도입실(12)은 도시하지 않은 냉각수단에 의해 냉각되어도 좋다. 반응가스 도입실(12)과 샤워헤드부(13)의 사이에는 세라믹 플랜지(122)(예를 들어 두께 10㎜)를 설치하여, 고정구(123, 124)로 고정시키고 있다. 이 세라믹 플랜지(122)는 샤워헤드부(13)의 열에 의해 반응가스 도입실(12)이 가열되지 않도록 열을 차단하기 위해 설치된 것으로 진공 밀봉 특성, 내열성, 열차단성에서 알루미나 세라믹인 것이 바람직하다.
샤워헤드부(13)는 원반형 부재(13a)와, 링형 부재(13b)와, 제1 샤워판(13c) 과, 제2 샤워판(13d)으로 이루어지며, 적절히 고정구(123)로 고정되어 있다. 원반형 부재(13a)에는 바람직하게는 도시하지 않은 히터 및 열전대가 설치되어, 히터에 의해 샤워헤드부(13)를 소정의 온도(예를 들면 150℃ 정도)가 되도록 가열하고, 가열된 온도를 열전대로 측정하여 모니터링할 수 있도록 구성된다. 또한, 원반형 부재(13a)에는 반응가스 도입실(12)과 연통하는 개구부가 형성되어 있고, 이 개구부와 링형 부재(13b)의 개구부로부터, 반응가스가 도입되어 확산되는 반응가스 확산실(131)이 구성되어 있다. 반응가스 확산실(131)은 석영제의 이너 라이닝이 내벽 전체에 설치되며, 그 밑면에는 복수의 반응가스 분출구멍(132)이 형성되어 있다. 이 반응가스 분출구멍(132)은 제1 샤워판(13c) 및 제2 샤워판(13d)을 관통하여 샤워헤드부(13)의 밑면까지 도달하게 된다.
더욱이, 원반형 부재(13a)에는 원료가스 도입장치에 접속하는 원료가스 도입관(133)이 설치되며, 이 원료가스 도입관(133)은 가스통로(134), 즉 링형 부재(13b)의 바깥 둘레부에 설치된 가스통로(134a) 및 제1 샤워판(13c)의 바깥 둘레부에 설치된 가스통로(134b)를 통해 제2 샤워판(13d)에 형성된 원료가스 확산실(135)에 접속되어 있다. 이 가스통로(134)는 하나 이상의 다단으로 구성되며, 각 단은 2n-1(n은 단 수)로 표시되는 개수의 가스통로(134a 및 134b)를 가지고 있다. 그리고 가스통로(134)는 상기 원료가스 도입관(133)과 제1단의 가스통로(134a)의 접속위치로부터 마지막 단의 가스통로(134b)와 원료가스 확산실(135)의 각 접속위치까지의 거리가 모두 같도록 구성되어 있는 것이 바람직하다. 이 가스통로(134)에 관하여 도 2 및 도 3을 이용하여 상세히 설명한다. 도 2는 (a) 링형 부재(13b), (b) 제1 샤워판(13c) 및 (c) 제2 샤워판(13d)의 횡단면도이며, 도 3은 원료가스 도입관(133), 가스통로(134) 및 원료가스 확산실(135)의 배치관계를 설명하기 위한 설명도이다.
가스통로(134)는 링형 부재(13b)에 설치된 원호 모양의 하나의 가스통로(134a)와 제1 샤워판(13c)의 반응가스 분출구멍(132)이 형성되어 있는 영역의 주변부에 설치된 원호 모양의 두 개의 가스통로(134b)로 이루어진다. 가스통로(134a)의 중앙상부에는 원료가스 도입관(133)이 접속되어 있다. 그리고 가스통로(134a)의 양 끝의 저부에는 각각의 접속구멍(134c)이 형성되고, 이 각 접속구멍(134c)은 제1 샤워판(13c)에 설치된 가스통로(134b)의 각각의 중앙상부에 접속되어, 가스통로(134a)와 가스통로(134b)는 연통되어 있다.
또한, 가스통로(134b)의 각각의 양 끝의 저부에는 접속구멍(134d)이 형성되고, 이 접속구멍(134d)은 제2 샤워판(13d)에 설치된 원료가스 확산실(135)의 네 귀퉁이의 상부에 접속되며, 원료가스 확산실(135)에 원료가스가 접속구멍(134d)을 통해 균일하게 토출되도록 구성되어 있다.
이와 같이, 상기 원료가스 확산실(135)과 원료가스 도입관(133)을 접속하는 가스통로(134)는 2단 구성이며, 제1단의 가스통로(134a)는 그 중심에 상기 원료가스 도입관(133)이 접속되고, 제2단의 가스통로(134b)는 그 중심에 앞단의 가스통로(134a)의 양 끝의 저부에 설치된 접속구멍(134c)이 접속되어 앞단의 가스통로(134a)와 연통하고, 각 가스통로(134b)의 양 끝의 저부에 형성된 접속구멍(134d) 에 의해 원료가스 확산실(135)에 접속되어, 하나의 가스 유로로서 구성되어 있다. 그리고 이 가스통로(134)에서는 원료가스 도입관(133)으로부터 각 접속구멍(134d)까지의 거리는 어느 것이나 같아지도록 구성되어 있으므로, 원료가스가 동시에 원료가스 확산실에 동일한 양으로 도달하여, 균일하게 원료가스 확산실(135)로의 확산이 가능하다. 또한, 도면에는 가스통로를 2단 구성으로 하여 접속구멍(134d)을 4개 설치하고 있으나, 가스통로를 3단 이상으로 구성하여 접속구멍(134d)의 수를 증가시켜도 좋다. 예를 들면, 제1 샤워판의 저부에 제1 샤워판과 같은 반응가스 분출구멍을 형성하고, 가스통로를 4개 형성한 제3 샤워판을 설치하되, 제3 샤워판의 4개의 가스통로 각 중앙상부에 제1 샤워판의 접속구멍이 접속하도록 하고, 제3 샤워판의 각 가스통로의 양끝 부분에 제2 샤워판의 원료가스 확산실로의 접속구멍을 각각 형성하고, 즉 접속구멍을 8개 설치하고, 그에 병합하여 원료가스 확산실의 형상을 설치하여, 더욱 균등하게 가스가 원료가스 확산실 내로 확산되도록 구성해도 좋다. 또한, 도면에서는 원료가스 확산실은 사각형으로 되어 있으나, 원형이나 다른 다각형이어도 무방하다.
이 원료가스 확산실(135)에는 원료가스 분출구멍(136)이 설치되어 있으며, 이 원료가스 분출구멍(136)도 샤워헤드부(13)의 밑면까지 관통하고 있다. 이 경우, 균일하게 원료가스가 진공 챔버 내로 분출되도록 분출구멍의 컨덕턴스를 작게 하는 것이 바람직하다. 예를 들면, 도 1 및 도 2에 도시한 장치에서는 원료가스 분출구멍(136)을 구멍지름 Ф0.7~1㎜ 정도, 깊이 10㎜ 정도로 하여, 원료가스를 균일하게 진공 챔버 내로 공급할 수 있도록 구성되어 있다.
반응가스 분출구멍(132)은 샤워헤드부(13)의 밑면까지 관통하고 있으므로, 이 샤워헤드부(13)의 밑면에는 반응가스 분출구멍(132)과 원료가스 분출구멍(136)이 각각 일정한 거리를 두고 매트릭스 형상으로 나열되어 있으며, 이로 인해 기판상에 치우침 없이 원료가스 및 반응가스가 조사되도록 구성되어 있다. 각 원료가스 분출구멍(136)의 중심간 거리와 각 반응가스 분출구멍(132)의 중심간 거리는 같은 거리(예를 들면, 14㎜)로 설정되어 있다. 이 경우, 원료가스 분출구멍(136)의 직경보다 반응가스 분출구멍(132)의 직경이 크며, 예를 들어 원료가스 분출구멍(136)의 직경을 1㎜라고 한다면, 반응가스 분출구멍(132)의 직경은 5㎜이다. 이는 개질공정에 있어서는 반응가스의 유량이 원료가스의 유량에 비해 많기 때문이다.
이와 같이 구성된 샤워헤드부(13)에서는 비금속 파이프(111)로부터 반응가스 도입실(12)을 거쳐 샤워헤드부(13)로 도입된 반응가스는 반응가스 확산실(131) 전체로 퍼져, 각 반응가스 분출구멍(132)을 거쳐 진공 챔버 내로 공급된다. 또한, 원료가스 도입관(133)으로부터 도입된 원료가스는 가스통로(134)에 가스통로(134a)의 중심부로부터 도입되며, 가스통로(134a)의 좌우로 균일하게 나뉘어 접속구멍(134c)을 통해 하단에 형성된 각 가스통로(134b)로 확산된다. 그리고 가스통로(134b)를 좌우로 균등하게 나누어 진행되고, 접속구멍(134d)으로부터 원료가스 확산실(135)로 균일하게 확산되며, 이후 원료가스 확산실(135)의 밑면의 각 원료가스 분출구멍(136)으로부터 진공 챔버 내로 균일하게 공급된다.
이하, 본 발명의 제 2 실시의 형태에 따른 성막장치에 이용되는 제2 샤워헤드 구조에 대해 설명한다.
도 4는 제2 샤워헤드 구조(2)의 모식도이며, 도 1과 같은 구성요소에 대해서는 동일한 참조부호를 붙이고 있다. 도 1에 표시한 제1 샤워헤드 구조(1)를 갖춘 성막장치를 이용하여 극히 다량으로 기판을 처리하여 성막을 실행하면 비금속 파이프(111)의 내측이 도 5의 SEM 사진에 나타내듯이 에칭되는 경우가 있다. 따라서 에칭을 억제하기 위해서, 제2 샤워헤드 구조(2)는 제1 샤워헤드 구조(1)가 가지고 있지 않은 냉각수단을 적어도 1개 갖는다. 즉, 제2 샤워헤드 구조(2)는 제1 샤워헤드 구조(1)와는 동축형 공진 캐비티 내를 냉각하는 냉각가스 도입수단(21)을 가지고 있는 점 및 비금속 파이프(111)를 냉각용 유체를 흘려보내는 유로를 형성한 비금속(예를 들면, 알루미나 혹은 석영)제의 2중 파이프(22)로 변경하고 있는 점이 다르고, 이들 냉각수단의 적어도 한 개를 가지고 있는 것이다. 이하, 변경된 점에 대해 설명한다.
냉각가스 도입수단(21)은, 가스원(211)과, 가스원(211)에 접속된 가스관(212)과, 가스관(212)에 개설된 밸브(213)를 가지고, 동축형 공진 캐비티(11)에 부설되어 있다. 이 냉각가스 도입수단(21)에 의해 동축형 공진 캐비티(11) 내부에 냉각가스를 도입하여 내부를 냉각가스로 채우는 것이 가능해지며, 도시하지 않은 배기수단으로 냉각가스를 배기하면서 순환시킨다. 이렇게 하여, 동축형 공진 캐비티(11) 내부의 온도를 일정하게 유지시키고, 2중 파이프(22)의 노출부(111a) 부근을 냉각하는 것이 가능하다.
가스원(211)으로부터 동축형 공진 캐비티(11)내로 도입된 냉각가스로서는 인 체에 영향이 없고 청정실 내에 방출할 수 있는 냉각가스, 예를 들면 드라이 N2 가스, 드라이 Ar 가스, 드라이 He 가스, 드라이 O2 가스 등을 이용할 수 있다.
다음으로, 2중 파이프(22)에 대하여 도 6을 이용하여 설명한다. 도 6(a)는 2중 파이프(22)의 종단면도이며, 도 6(b)는 도 6(a) 중의 선 A-A'로부터 본 단면도이며, 도 6(c)는 도 6(b) 중의 선 B-B'로부터 본 단면도이다. 도 6(a)에 나타내듯이, 2중 파이프(22)는 그 측벽이 2중으로 되어 있으며, 측벽(221)의 내측에는 냉각용 유체통로(222)가 형성되어 있다. 이 냉각용 유체통로(222)의 위쪽 벽에는 유입구(223) 및 유출구(224)가 설치되어 있다. 그리고 도 6(b)에 나타내듯이, 냉각용 유체통로(222)에는 두 개의 칸막이 판(225)이 삽입되어 있고, 도 6(c)에 나타내듯이 이 칸막이 판(225)의 하부에는 개구부(226)가 설치되어 있다. 이로 인해, 냉각용 유체통로(222)의 유입구(223) 측의 상류부(222a)와 유출구(224) 측의 하류부(222b)는 연통되어 있고, 유입구(223)로부터 유입된 액체는 냉각용 유체통로(222)의 상류부(222a)를 위에서 아래로 흘러 개구부(226)를 통과하여 하류부(222b)로 진입하며, 이를 밑에서부터 위로 채워 유출구(224)로부터 측벽(221) 외부로 유출한다. 이와 같이, 냉각용 유체통로(222)는 2중 파이프 내부의 가스통로(227)의 바깥 둘레를 거의 전부 감싸고 있으므로, 가스통로를 위에서 아래로 냉각하는 것이 가능하다. 또한, 도면에서는 유입구(223) 및 유출구(224)는 2중 파이프(22)의 윗면에 설치되어 있으나, 각각 측면에 설치해도 무방하다.
이 경우, 냉각용 유체는 마이크로파의 발진 주파수에 공진하지 않는 유체 매 체일 필요가 있고, 예를 들면 발진 주파수가 2.45GHz인 경우, 3M사제?상품명 플루오리너트(Fluorinert)의 FC-87, FC-72, FC-84, FC-77, FC-75, FC-3283, FC-40, FC-43, FC-70, FC-5312나, 아우시몬트(Ausimont)사제?상품명 갈덴(Galden, 등록상표)을 이용할 수 있다. 또한, 에틸렌 글리콜 혹은 에틸렌 글리콜 주체로 한 액체매체를 이용할 수도 있다. 나아가, 드라이 N2 가스, 드라이 Ar 가스, 드라이 He 가스, 드라이 O2 가스 등의 기체를 이용할 수도 있다.
상기 제2 샤워헤드 구조(2)를 이용하여 성막을 수행할 경우, 냉각가스 도입수단(21)에 의해 냉각가스를 계속 도입하고, 및/또는 2중 파이프(22)에 의해 가스통로(227)를 계속 냉각하면서 성막을 수행한다. 이와 같이 냉각하면서 성막을 실행하면, 도 5에 나타낸 바와 같은 가스통로(227) 내면의 에칭이 억제됨과 함께 라디칼의 생성효율이 높아진다. 라디칼의 생성효율이 높아져도 가스통로(227) 내면이 에칭되지 않은 것은 내벽이 충분히 냉각되었기 때문이다.
이와 같이, 냉각가스 도입수단(21) 및/또는 2중 파이프(22)를 설치함으로써 2중 파이프(22) 내부의 가스통로(227)의 내면 에칭을 억제함과 함께 노출부(111a)의 영역에서 형성된 플라즈마 중 라디칼이 열로 파괴되는 것을 방지하여 라디칼의 생성효율을 높이는 것이 가능하며, 성막을 효율적으로 실시할 수 있다.
이하, 본 발명의 제3 실시의 형태에 따른 성막장치에 이용되는 제3 샤워헤드 구조에 대하여 도 7을 이용하여 설명한다. 도면 중, 도 1과 동일한 구성요소에 대하여는 동일한 참조부호를 붙이고 있다. 본 발명의 제3 샤워헤드 구조(3)는 제1 성 막장치의 경우와 달리, 반응가스를 여기하기 위해 동축형 공진 캐비티(11) 및 마이크로파 생성수단(14)을 이용하지 않고, 촉매여기를 갖춘 촉매실(31)을 구비한 점이 다르다.
촉매실(31)의 상부에는 반응가스 도입관(32)이 설치되며, 이 반응가스 도입관(32)의 하류측에 와이어(33)가 설치되어 있다. 와이어(33)는 공지의 촉매금속, 예를 들면 텅스텐 등으로 이루어지며, 원하는 온도로 가열할 수 있도록 구성되어 있다. 촉매실(31)의 측벽에는 도시하지 않은 온도제어 시스템이 설치되고, 촉매실(31)내를 소정의 온도로 유지할 수 있다. 촉매실(31)의 하류측에는 반응가스 도입실(12)이 있으며, 촉매실과 도입실은 연통되어 있다.
반응가스 도입관(32)으로부터 유량이 제어되어 도입된 반응가스는 촉매실(31)에 도입되면, 와이어(33)에 의해 여기되며, 라디칼이 되어 반응가스 도입실(12)로 도입된다. 또한, 와이어(33)가 소정의 온도로 가열되지 않는 경우는 가스가 여기되기 어렵기 때문에 라디칼화 되지 않는다.
그런데 원료가스에 의해서는 소정의 온도를 넘으면 열분해를 일으키는 점에서 소정의 온도 이하의 온도에서 가스화시켜 도입할 필요가 있다. 이러한 경우에는 제1 ~ 제3의 샤워헤드 구조(1~3)에 이용된 원료가스 도입장치는 도 8 및 도 9에 나타내듯이 구성되어 있는 것이 바람직하다. 이하, 도 8 및 도 9를 참조하여 설명한다.
도 8(a) 및 (b)는 원료가스 도입장치(15)의 구성을 나타내는 모식도이다. 원료로서 Zr(BH4)4를 이용하는 경우, 이 원료는 융점(28.7℃)보다 낮은 온도인 -10℃~25℃로 유지하고, 특히 -5℃~5℃로 유지하는 것이 바람직하다. Zr(BH4)4는 열안정성이 상당히 나쁘므로, 25℃보다 높으면 자기분해에 의해 원료 탱크 안에서 ZrB2나 B2H6 등으로 분해되어 버리기 때문이며, 다른 한편 -10℃ 미만에서는 ALD의 원료로서 이용하는 데에는 증기압이 2㎜Hg 미만이 되어 너무 낮기 때문이다. 예를 들면, 0℃ (증기압 3.7㎜Hg)로 유지된 탱크(151) 내에 메쉬의 촘촘한 망(152)을 설치하고, 그 망 위에 과립형태의 원료(153)를 올려, 버블링 가스로서의 Ar, He 등의 불활성 가스를 질량 유량 제어기(44)를 개입시켜 탱크(151) 내의 아래 방향으로 공급하고, 망(152)의 아래 방향으로부터 윗방향으로 불활성 가스를 원료(153)내로 흘려 보내고, 이 버블링에 의해 원료(153)를 승화시켜 버블링 가스와 함께 원료가스를 원료가스 도입관(133) 및 가스통로(134)를 통해 원료가스 확산실(135) 내로 도입하거나(도 8(a)), 또는 예를 들면 0℃ 정도로 유지된 탱크(151)내에 설치된 2매의 망(152a 및 152b)의 사이에 과립형태의 원료(153)를 끼워넣어 버블링 가스로서의 Ar, He 등의 불활성 가스를 질량 유량 제어기(44)를 개입시켜 탱크(151)내의 망(152a)으로부터 망(152b)으로 원료 내로 흘려 보내고, 이 버블링에 의해 원료(153)를 승화시켜 버블링 가스와 함께 원료가스를 원료가스 도입관(133) 및 가스통로(134)를 통해서 원료가스 확산실(135)로 도입한다(도 8(b)).
원료가스의 도입은 또한, 저차압 질량 유량을 이용한 도 9에 나타낸 원료가스 도입장치(4)에 의해, 다음과 같이 수행해도 좋다. 즉, 예를 들면, 0℃ (증기압 3.7㎜Hg) 정도로 유지된 탱크(151)내로 원료를 넣어, 이를 저차압 질량 유량 제어기와 같은 질량 유량 제어기(44)를 이용하여 원료(153)의 기화가스의 유량을 직접 제어하면서 원료가스 도입관(133) 및 가스통로(134)를 통하여 원료가스 확산실(135) 내로 도입한다. 이 경우, 원료가스를 챔버로 도입할 때에는 반드시 챔버 내의 압력을 원료가스의 증기압보다 낮게 할 필요가 있다. 예를 들면 원료 탱크를 0℃로 냉각 보온하는 경우, 원료가스의 증기압은 3.7㎜Hg이므로, 챔버 압력을 3.7㎜Hg보다 낮게 한다.
상기의 제1 샤워헤드 구조(도 1의 1)를 이용한 성막장치에 대하여 도 10을 참조하여 이하 설명한다.
성막장치는 성막 챔버(5)와 성막 챔버(5)의 천정부에 설치된 샤워헤드 구조(1)로 이루어진다. 성막 챔버(5)는 하부에 배기수단(51)이 설치되어 있고 천정부로부터 도입한 원료가스 및 반응가스를 적당히 배기하여 소정의 진공도로 설정할 수 있다. 성막 챔버(5)의 샤워헤드 구조(1)에 대향한 위치에 기판 받침부(52)가 설치되고, 이 기판 받침부(52)에는 가열수단(53)이 설치되어 있으며, 기판 받침부(52)에 놓인 기판(S)을 소정의 온도, 예를 들면 CVD법을 실시하는 경우에는 300℃ 이상, ALD법을 실시하는 경우에는 300℃ 미만으로 가열하는 것이 가능하다.
이러한 성막장치의 구성은 제1 샤워헤드 구조(1) 대신에 제2, 제3 샤워헤드 구조(도 4의 2, 도 7의 3)를 이용한 경우에도 마찬가지이다.
상기의 샤워헤드 구조(1, 2, 3) 중 어느 것을 구비한 성막장치에서도, 원료가스 및 반응가스의 2종류, 혹은 그 이상의 가스를 이용하는 성막 방법을 실시할 수 있다. 성막 방법으로서는 CVD법이나 ALD법을 들 수 있다.
본 발명의 성막장치를 이용하여 CVD법을 실시하기 위해서는, 예를 들면 샤워헤드 구조(1 또는 2)를 갖춘 성막장치에 있어서, 기판(S)을 기판 받침대(52)에 놓고, 가열수단(53)에 의해 기판온도가 180~260℃ 미만이 되도록 가열한 후, 반응가스로서 N2 가스를 10~5000sccm 조건에서 비금속 파이프(111)(또는 2중 파이프(22))로부터 도입함과 아울러, 원료 탱크내의 Zr(BH4)4에 대하여 버블링 가스로서의 Ar 가스를 1000sccm 도입하고, 버블링하여 얻은 Zr(BH4)4로 이루어지는 원료가스를 원료가스 도입관(133)으로부터 도입한다. 동시에 마이크로파 공급 수단(14)에 의해 투입 파워를 0.1~5kW로 마이크로파를 발진하여 반응가스를 여기하고, 5~180초간 성막을 실시하면 원하는 ZrBN 막을 형성할 수 있다.
또한, 샤워헤드 구조(3)를 갖춘 성막장치에 있어서, 예를 들면 기판(S)을 기판 받침대에 놓고, 기판온도가 400℃가 되도록 가열하며, 와이어(33)를 1500~2000℃로 가열한 후, 반응가스로서 N2 가스를 10~5000sccm 도입함과 아울러 원료 탱크 내의 Zr(BH4)4에 대하여 버블링 가스로서의 Ar 가스를 1000sccm 도입하고, 버블링하여 얻은 Zr(BH4)4로 이루어지는 원료가스를 원료가스 도입관(133)으로부터 도입하여 와이어(33)에 의해 반응가스를 여기하면서 5~180초 간 성막을 실시하면, 원하는 ZrBN 막을 형성할 수 있다.
ALD법을 실시하는 경우에는, 예를 들면 샤워헤드 구조(1 또는 2)를 갖춘 성 막장치에 있어서, 기판(S)을 기판 받침대에 놓고, 기판온도가 150℃가 되도록 가열한 후, 반응가스로서 H2 가스를 1~100sccm 도입함과 아울러, 원료 탱크 내의 Zr(BH4)4에 대하여 버블링 가스로서의 Ar 가스를 1000sccm 도입하고, 버블링하여 얻은 Zr(BH4)4로 이루어지는 원료가스를 원료가스 도입관(133)으로부터 도입한다(흡착공정). 소정 시간 후, 원료가스를 멈추고, 반응가스의 유량을 10~500sccm으로 높이면서, 투입 파워를 0.1~5kW로서 마이크로파를 발진하여 반응가스를 여기하여 도입하고(개질공정), 이들 공정을 수회~수백회 반복하여 실행하여 원하는 두께의 ZrB2 막을 형성할 수 있다.
본 발명에 의하면 CVD법 또는 ALD법을 실시한 경우에, 균일한 두께의 막을 형성할 수 있다. 따라서 본 발명은 반도체 기술에 있어서 이용 가능하다.

Claims (8)

  1. 성막 챔버와 샤워헤드부를 구비한 성막장치에 있어서, 상기 샤워헤드부는, 원료가스 확산실과 반응가스 확산실을 구비하고, 상기 원료가스 확산실과 원료가스 도입관을 접속하는 가스통로는 1단 이상의 다단으로 구성되며, 각 단은 2n-1(n은 단 수)로 표시되는 가스통로를 가지고, 제1단의 가스통로는 상기 원료가스 도입관에 접속되며, 제2단 이후의 각 가스통로는 앞단의 가스통로와 연통하고, 마지막 단의 각 가스통로는 사각형의 원료가스 확산실의 네 귀퉁이에 접속되어 있는 것을 특징으로 하는 성막장치.
  2. 청구항 1에 있어서, 상기 제1단의 가스통로는 그 중심에 상기 원료가스 도입관이 접속되고, 상기 제2단 이후의 각 가스통로는 그 중심에 앞단의 가스통로의 양 끝에 설치된 접속구멍이 접속하여 앞단의 가스통로와 연통하며, 상기 마지막 단의 각 가스통로는 각 가스통로의 양 끝에 형성된 접속구멍에 의해 원료가스 확산실에 접속되어 있는 것을 특징으로 하는 성막장치.
  3. 청구항 1 또는 2에 있어서, 상기 원료가스 확산실은 반응가스 확산실의 저부에 배치되고, 원료가스 도입관은 반응가스 확산실의 벽면에 설치되며, 각 단에 형성된 상기 각 가스통로는 원호 모양으로 형성되어 있는 것을 특징으로 하는 성막장치.
  4. 청구항 1 또는 2에 있어서, 상기 가스통로는 2단으로 구성되고, 제1단의 가스통로는 그 중앙에 상기 원료가스 도입관이 접속되며, 제2단의 각 가스통로는, 그 중앙에 제1단의 가스통로의 양 끝에 설치된 접속구멍이 접속하여 제1단의 가스통로와 연통하고, 각 가스통로의 양 끝에 형성된 접속구멍에 의해 원료가스 확산실에 접속되어 있는 것을 특징으로 하는 성막장치.
  5. 청구항 1 또는 2에 있어서, 반응가스를 도입하기 위한 비금속 파이프의 바깥 둘레의 상부 및 하부에 떨어져 설치된 도체를 갖춘 동축형 공진 캐비티와 마이크로파 공급회로로 된 플라즈마 생성수단으로, 상기 동축형 공진 캐비티 내부의 높이가 여진파장의 1/2의 정수배이며, 비금속 파이프의 한쪽 끝에서 주입된 가스가 비금속 파이프의 상기 도체를 설치하지 않은 영역에서 마이크로파에 의해 여기되고 다른 쪽 끝에서 플라즈마화하여 방출되도록 구성된 플라즈마 생성수단을 상기 샤워헤드부의 상류측에 구비하고, 이 플라즈마 생성수단에 의해 플라즈마화 된 가스를 상기 반응가스 확산실에 도입하도록 구성되어 있는 것을 특징으로 하는 성막장치.
  6. 청구항 5에 있어서, 상기 동축형 공진 캐비티에 냉각수단을 설치하여, 캐비티 내부에 냉각가스를 도입하고, 비금속 파이프의 도체를 설치하지 않은 영역을 냉 각하도록 구성된 것을 특징으로 하는 성막장치.
  7. 청구항 5에 있어서, 상기 비금속 파이프의 측벽이 2중이며, 이 측벽 사이에 냉각용 유체를 순환시키는 유체 순환수단을 구비한 것을 특징으로 하는 성막장치.
  8. 청구항 1 또는 2에 있어서, 반응가스 도입관과, 금속 와이어와, 와이어의 가열수단을 구비한 촉매실을 상기 샤워헤드부의 상류부에 구비하고, 반응가스 도입관으로부터 도입된 가스가 가열된 금속 와이어에 의해 여기되며, 이 여기된 가스가 상기 반응가스 확산실에 도입되도록 구성되어 있는 것을 특징으로 하는 성막장치.
KR1020097022401A 2007-04-17 2008-04-15 성막장치 KR101125086B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007108027 2007-04-17
JPJP-P-2007-108027 2007-04-17
PCT/JP2008/057314 WO2008129977A1 (ja) 2007-04-17 2008-04-15 成膜装置

Publications (2)

Publication Number Publication Date
KR20100015936A KR20100015936A (ko) 2010-02-12
KR101125086B1 true KR101125086B1 (ko) 2012-03-21

Family

ID=39875506

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097022401A KR101125086B1 (ko) 2007-04-17 2008-04-15 성막장치

Country Status (6)

Country Link
US (1) US8419854B2 (ko)
JP (1) JP5179476B2 (ko)
KR (1) KR101125086B1 (ko)
CN (1) CN101657565A (ko)
TW (1) TWI437639B (ko)
WO (1) WO2008129977A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101394916B1 (ko) * 2013-02-22 2014-05-14 주식회사 테스 박막증착장치

Families Citing this family (451)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101136539B1 (ko) * 2010-04-01 2012-04-17 주식회사 누리텍 챔버 후랜지 구조를 갖는 패럴린 코팅 장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011158781A1 (ja) * 2010-06-14 2011-12-22 株式会社アルバック 成膜装置
CN102971449B (zh) * 2010-07-12 2015-01-14 株式会社爱发科 成膜装置
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150118855A1 (en) * 2013-10-30 2015-04-30 Nisene Technology Group Microwave induced plasma decapsulation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102251209B1 (ko) * 2016-06-15 2021-05-11 어플라이드 머티어리얼스, 인코포레이티드 고 전력 플라즈마 에칭 프로세스들을 위한 가스 분배 플레이트 조립체
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
CN108385071B (zh) * 2017-02-03 2020-08-21 台湾积体电路制造股份有限公司 气体供应装置及方法
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR102225657B1 (ko) * 2019-11-14 2021-03-10 피에스케이 주식회사 배플 유닛, 이를 포함하는 기판 처리 장치
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202209420A (zh) * 2020-06-10 2022-03-01 日商東京威力科創股份有限公司 成膜裝置及成膜方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN116194616A (zh) * 2020-09-25 2023-05-30 朗姆研究公司 高温工艺用轴向冷却金属喷头
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11742185B2 (en) * 2021-03-26 2023-08-29 Applied Materials, Inc. Uniform in situ cleaning and deposition
CN113189638B (zh) * 2021-04-21 2024-04-05 中国科学院国家空间科学中心 一种基于粒子径迹成像云室的粒子运动轨迹成像装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115389096A (zh) * 2022-08-26 2022-11-25 江苏微导纳米科技股份有限公司 气体压力探测装置及沉积设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003041365A (ja) * 2001-07-31 2003-02-13 Hitachi Kokusai Electric Inc 基板処理装置
JP2006299294A (ja) * 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53130108A (en) 1977-04-20 1978-11-13 Takeda Chemical Industries Ltd Paper contained seed
JPS5956724A (ja) * 1982-09-27 1984-04-02 Isamu Kato マイクロ波プラズマによる薄膜形成方法
JPS63186875A (ja) * 1987-01-29 1988-08-02 Tadahiro Omi 表面反応成膜装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
FR2762748B1 (fr) * 1997-04-25 1999-06-11 Air Liquide Dispositif d'excitation d'un gaz par plasma d'onde de surface
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
KR100733237B1 (ko) * 1999-10-13 2007-06-27 동경 엘렉트론 주식회사 처리 장치
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
KR101004222B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
CN1202282C (zh) * 2002-09-20 2005-05-18 烽火通信科技股份有限公司 耐高温等离子体谐振腔
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
JP4265762B2 (ja) 2003-09-08 2009-05-20 キヤノンアネルバ株式会社 プラズマ処理装置
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US7715312B2 (en) * 2005-04-25 2010-05-11 Verizon Services Corp. Methods and systems for maintaining quality of service (QOS) levels for data transmissions
US7668107B2 (en) * 2006-03-22 2010-02-23 Marvell Israel (M.I.S.L.) Ltd. Hardware implementation of network testing and performance monitoring in a network device
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003041365A (ja) * 2001-07-31 2003-02-13 Hitachi Kokusai Electric Inc 基板処理装置
JP2006299294A (ja) * 2005-04-15 2006-11-02 Tokyo Electron Ltd ガス供給装置及び成膜装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101394916B1 (ko) * 2013-02-22 2014-05-14 주식회사 테스 박막증착장치

Also Published As

Publication number Publication date
TW200849399A (en) 2008-12-16
TWI437639B (zh) 2014-05-11
US8419854B2 (en) 2013-04-16
WO2008129977A1 (ja) 2008-10-30
KR20100015936A (ko) 2010-02-12
JPWO2008129977A1 (ja) 2010-07-22
CN101657565A (zh) 2010-02-24
US20100180819A1 (en) 2010-07-22
JP5179476B2 (ja) 2013-04-10

Similar Documents

Publication Publication Date Title
KR101125086B1 (ko) 성막장치
KR101064354B1 (ko) 장벽막 형성 방법
JP5438205B2 (ja) プラズマ処理装置用の天板及びプラズマ処理装置
JP6338462B2 (ja) プラズマ処理装置
KR100920280B1 (ko) 처리 장치
KR100960424B1 (ko) 마이크로파 플라즈마 처리 장치
KR100980519B1 (ko) 플라즈마 처리 장치
JP5121698B2 (ja) プラズマ処理装置
JP5096047B2 (ja) マイクロ波プラズマ処理装置およびマイクロ波透過板
JP2017228708A (ja) プラズマ成膜装置および基板載置台
JP5140321B2 (ja) シャワーヘッド
JP5422396B2 (ja) マイクロ波プラズマ処理装置
JP2011044567A (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
US20110114021A1 (en) Planar antenna member and plasma processing apparatus including the same
JP6861479B2 (ja) プラズマ成膜方法およびプラズマ成膜装置
JP6883953B2 (ja) マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理方法
JP2007258570A (ja) プラズマ処理装置
JP2013033979A (ja) マイクロ波プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150205

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160128

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180208

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200220

Year of fee payment: 9