KR101094723B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101094723B1
KR101094723B1 KR1020100064613A KR20100064613A KR101094723B1 KR 101094723 B1 KR101094723 B1 KR 101094723B1 KR 1020100064613 A KR1020100064613 A KR 1020100064613A KR 20100064613 A KR20100064613 A KR 20100064613A KR 101094723 B1 KR101094723 B1 KR 101094723B1
Authority
KR
South Korea
Prior art keywords
gas
processing
blowing hole
injector block
processing container
Prior art date
Application number
KR1020100064613A
Other languages
English (en)
Other versions
KR20110025064A (ko
Inventor
나오키 미하라
나오키 마츠모토
준 요시카와
카즈오 무라카미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110025064A publication Critical patent/KR20110025064A/ko
Application granted granted Critical
Publication of KR101094723B1 publication Critical patent/KR101094723B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(과제) 처리 가스를 처리 용기에 도입하기 위한 도입부에 있어서, 탄소계의 부착물의 발생을 억제한다.
(해결 수단) 처리 용기(2)에 도입된 처리 가스를 플라즈마화시켜 기판(W)을 처리하는 플라즈마 처리 장치(1)로서, 처리 용기(2)의 천정면에, 처리 가스의 도입부(55)가 형성되고, 도입부(55)에는, 처리 용기(2)의 외부로부터 공급로(52)를 거쳐 공급되는 처리 가스를 모으는 가스 저장부(61)와, 가스 저장부(61)와 처리 용기(2)의 내부를 연통시키는 복수의 가스 분출공(66)이 형성되고, 가스 저장부(61)에 있어서, 공급로(52)의 개구부(52a)와 대향하는 위치에는, 가스 분출공(66)이 형성되어 있지 않고, 가스 분출공(66)의 단면은 편평한 형상이다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은, 반도체 제조에 이용되는 플라즈마 처리 장치에 관한 것이다.
종래부터, 반도체 디바이스의 제조 분야에 있어서, 레이디얼 라인 슬롯판(Radial Line Slot Antenna: RLSA)에 형성된 슬롯으로부터 마이크로파를 처리 용기 내에 전파시켜 플라즈마를 생성시키는 플라즈마 처리 장치가 사용되고 있다(예를 들면, 특허문헌 1 참조). 이 RLSA형의 플라즈마 처리 장치는, 고밀도로 저전자 온도의 플라즈마를 균일하게 형성할 수 있고, 대형의 반도체 웨이퍼를 균일하고 그리고 고속으로 플라즈마 처리할 수 있다는 이점이 있다. 이 플라즈마 처리의 일 예로서, CF계 가스나 CFH계 가스를 이용하여, 기판의 표면을 에칭하는 프로세스가 알려져 있다.
이 플라즈마 처리 장치에서는, 처리 용기의 천정면에 배치된 유전체를 통하여, 처리 용기의 내부에 마이크로파가 전파된다. 그리고, 처리 용기에 도입된 처리 가스가 마이크로파의 에너지에 의해 플라즈마화되어, 기판 표면의 에칭이 행해진다. 일반적으로, 처리 용기에 처리 가스를 도입하기 위한 도입부는, 예를 들면 처리 용기의 측면에 배치되어 있다. 또한 최근에는, 처리 용기의 측면에 배치된 도입부에 더하여, 처리 용기의 천정면에 처리 가스의 도입부가 형성되어, 그들 측면의 도입부와 천정면의 도입부로부터의 처리 가스의 도입량의 비(比)를 최적화함으로써, 기판 표면의 에칭의 균일성 향상이 도모되고 있다(Radical Distribution Control: RDC).
일본공개특허공보 2009-99807호
그러나, 종래의 플라즈마 처리 장치에서는, 처리 가스를 처리 용기에 도입하기 위한 도입부에 있어서, 탄소계의 부착물이 발생하는 경우가 있었다. 그리고, 도입부에 형성되어 있는 가스 분출공이, 이 부착물에 의해 막힘으로써, 처리 가스를 처리 용기에 원활히 도입할 수 없게 될 가능성이 있었다. 또한, 가스 분출공이 부착물에 의해 막힘으로써, 측면의 도입부와 천정면의 도입부로부터의 처리 가스의 도입량의 밸런스가 변동하여, 기판 표면의 에칭의 균일성이 흐트러질 가능성이 있었다. 또한, 가스 분출공의 막힘을 해소하는 작업이 번잡하여, 메인터넌스성이 저하되었다.
본 발명은, 처리 가스를 처리 용기에 도입하기 위한 도입부에 있어서, 탄소계의 부착물의 발생을 억제하는 것을 목적으로 한다.
본 발명에 의하면, 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 장치로서, 상기 처리 용기의 천정면에, 처리 가스의 도입부가 형성되고, 상기 도입부에는, 처리 용기의 외부로부터 공급로를 거쳐 공급되는 처리 가스를 모으는 가스 저장부와, 상기 가스 저장부와 상기 처리 용기의 내부를 연통시키는 복수의 가스 분출공이 형성되며, 상기 가스 저장부에 있어서, 상기 공급로의 개구부와 대향하는 위치에는, 상기 가스 분출공이 형성되어 있지 않고, 상기 가스 분출공의 단면(斷面)은 편평한 형상인, 플라즈마 처리 장치가 제공된다.
상기 가스 저장부에 있어서, 상기 공급로의 개구부와 대향하는 위치에는, 상기 공급로로부터 상기 가스 저장부에 공급되는 처리 가스를 수용하는 오목부가 형성되어 있어도 좋다. 이 경우, 상기 공급로의 개구부는, 예를 들면, 상기 가스 저장부의 중앙에 위치하고 있고, 상기 가스 분출공은, 상기 공급로의 개구부를 중심으로 하는 원주 방향으로 배치되어 있어도 좋다. 또한, 상기 가스 분출공은, 상기 공급로의 개구부를 중심으로 하는 원주 방향으로 길고, 상기 공급로의 개구부를 중심으로 하는 반경 방향으로 짧은, 편평한 단면 형상을 갖고 있어도 좋다. 또한, 상기 가스 분출공은, 예를 들면 도전성 재료로 이루어지는 인젝터 블록에 형성되고, 상기 인젝터 블록은, 전기적으로 접지되어 있어도 좋다. 이 경우, 상기 처리 용기의 내부에 면하는 상기 인젝터 블록의 표면에는, 박리성을 높이는 보호막이 형성되어 있어도 좋다. 또한, 상기 처리 용기의 내부에 면하는 상기 가스 분출공의 개구부의 주연은, 테이퍼 형상이어도 좋다. 또한, 상기 처리 용기의 천정면에 유전체창이 형성되어, 처리 용기의 내부에서 위쪽을 본 상태에서는, 상기 유전체창으로 가려져, 상기 가스 분출공이 직접 보이지 않는 상태로 되어 있어도 좋다.
본 발명에 의하면, 처리 가스를 처리 용기에 도입하기 위한 도입부에 있어서, 탄소계의 부착물의 발생을 억제할 수 있다. 이 때문에, 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 장치에 있어서, 처리 용기로의 처리 가스의 도입이 원활히 행해진다. 또한, 측면의 도입부와 천정면의 도입부로부터의 처리 가스의 도입량의 밸런스가 양호하게 유지되어, 기판 표면의 에칭의 균일성이 향상된다. 또한, 가스 분출공의 막힘을 해소하는 작업이 저감되어 메인터넌스성이 향상된다.
도 1은 본 실시 형태에 따른 플라즈마 처리 장치의 개략적인 구성을 나타내는 종단면도이다.
도 2는 도 1 중의 X-X 단면도로서, 유전체창의 하면의 상태를 나타내고 있다.
도 3은 처리 용기의 천정면에 형성된, 처리 가스의 도입부의 확대 단면도이다.
도 4는 처리 가스의 도입부에 부착되는 인젝터 부재의 평면도이다.
도 5는 도 4의 Y-Y 위치에 있어서의 인젝터 부재의 단면도이다.
도 6은 가스 분출공의 확대도이다.
도 7은 종래의 처리 가스의 도입부의 확대 단면도이다.
도 8은 비교예 1∼5와 실시예 1, 2의 인젝터 블록의 설명도이다.
도 9는 비교예 1과 실시예 1의 스플리터에 있어서의 압력과, 처리 가스의 유량의 관계를 나타내는 그래프이다.
도 10은 비교예 1과 실시예 1의 가스 저장부에 있어서의 내압과, 처리 가스의 유량의 관계를 나타내는 그래프이다.
(발명을 실시하기 위한 형태)
이하, 본 발명의 실시 형태의 일 예를, 도면을 참조로 하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성 요소에 대해서는, 동일한 부호를 붙임으로써 중복 설명을 생략한다.
도 1에 나타나는 바와 같이, 이 플라즈마 처리 장치(1)는, 원통 형상의 처리 용기(2)를 구비하고 있다. 처리 용기(2)의 상부는 개구되고, 저부(底部)는 막혀 있다. 처리 용기(2)는, 예를 들면 알루미늄으로 이루어지며, 전기적으로 접지되어 있다. 처리 용기(2)의 내벽면은, 예를 들면 알루미나 등의 보호막으로 피복되어 있다.
처리 용기(2) 내의 저부에는, 기판으로서 예를 들면 반도체 웨이퍼(이하 웨이퍼라고 함)(W)를 올려놓기 위한 재치대로서의 서셉터(3)가 형성되어 있다. 서셉터(3)는 예를 들면 알루미늄으로 이루어지고, 서셉터(3)의 내부에는, 외부 전원(4)으로부터의 전력의 공급에 의해 발열하는 히터(5)가 형성되어 있다. 히터(5)에 의해, 서셉터(3)상의 웨이퍼(W)를 소정 온도로 가열하는 것이 가능하다.
처리 용기(2)의 저부에는, 진공 펌프 등의 배기 장치(10)에 의해 처리 용기(2) 내의 분위기를 배기하기 위한 배기관(11)이 접속되어 있다.
처리 용기(2)의 상부에는, 기밀성을 확보하기 위한 O링 등의 시일재(15)를 통하여, 예를 들면 석영 등의 유전 재료로 이루어지는 유전체창(16)이 형성되어 있다. 도 2에 나타나는 바와 같이, 유전체창(16)은 대략 원반 형상이다. 유전체창(16)의 재료로서, 석영을 대신하여, 다른 유전체 재료, 예를 들면 Al2O3, AlN 등의 세라믹스를 사용해도 좋다.
유전체창(16)의 위쪽에는, 평면 형상의 슬롯판, 예를 들면 원판 형상의 레이디얼 라인 슬롯판(20)이 형성되어 있다. 레이디얼 라인 슬롯판(20)은, 도전성을 갖는 재질, 예를 들면 Ag, Au 등으로 도금이나 코팅된 구리의 얇은 원판으로 이루어진다. 레이디얼 라인 슬롯판(20)에는, 복수의 슬롯(21)이, 동심원 형상으로 복수열로 배치되어 있다.
레이디얼 라인 슬롯판(20)의 상면에는, 마이크로파의 파장을 단축하기 위한 유전체판(25)이 배치되어 있다. 유전체판(25)은, 예를 들면 Al2O3 등의 유전 재료로 이루어진다. 유전체판(25)의 재료로서, Al2O3를 대신하여, 다른 유전체 재료, 예를 들면 석영, AlN 등의 세라믹스를 사용해도 좋다. 유전체판(25)은 도전성의 커버(26)에 의해 덮여 있다. 커버(26)에는 원환(圓環) 형상의 열매체(heat medium) 유로(27)가 형성되고, 이 열매체 유로(27)를 흐르는 열매체에 의해, 커버(26)와 유전체창(16)을 소정 온도로 유지하도록 되어 있다.
커버(26)의 중앙에는 동축 도파관(30)이 접속되어 있다. 동축 도파관(30)은, 내부 도체(31)와 외부 도체(32)에 의해 구성되어 있다. 내부 도체(31)는, 유전체판(25)의 중앙을 관통하여 전술한 레이디얼 라인 슬롯판(20)의 상부 중앙에 접속되어 있다. 레이디얼 라인 슬롯판(20)에 형성된 복수의 슬롯(21)은, 모두 내부 도체(31)를 중심으로 하는 복수의 원주상에 배치되어 있다.
동축 도파관(30)에는, 마이크로파 공급 장치(35)가 직사각형 도파관(36) 및 모드 변환기(37)를 통하여 접속되어 있다. 마이크로파 공급 장치(35)에서 발생시킨, 예를 들면 2.45GHz의 마이크로파가, 직사각형 도파관(36), 모드 변환기(37), 동축 도파관(30), 유전체판(25), 레이디얼 라인 슬롯판(20)을 통하여, 유전체창(16)에 방사된다. 그리고, 그때의 마이크로파에 의해 유전체창(16)의 하면에 전계가 형성되어, 처리 용기(2) 내에 플라즈마가 생성된다.
레이디얼 라인 슬롯판(20)에 접속되는 내부 도체(31)의 하단(40)은 원추대 형상으로 형성되어 있다. 이와 같이 내부 도체(31)의 하단(40)이 원추대 형상으로 형성되어 있음으로써, 동축 도파관(30)으로부터 유전체판(25) 및 레이디얼 라인 슬롯판(20)에 대하여 마이크로파가 효율 좋게 전파된다.
가스 공급원(50)으로부터 공급된 처리 가스가, 스플리터(51)로 배분되어, 두 개의 공급로(52, 53)를 거쳐, 처리 용기(2) 내에 도입된다. 처리 가스에는, 예를 들면 질소, Ar, 산소 등의 플라즈마 생성용의 가스, 예를 들면 CF계 가스나 CFH계 가스 등의 소스 가스 등이 이용된다.
처리 용기(2) 내에는, 천정면과 내측면에 처리 가스의 도입부(55, 56)가 형성되어 있다. 천정면의 도입부(55)는, 처리 용기(2)의 천정면의 중앙에 배치되어 있다. 천정면의 도입부(55)에는, 동축 도파관(30)의 내부 도체(31)를 관통하는 한쪽의 공급로(52)가 접속되어 있다.
처리 용기(2)의 내측면의 도입부(56)는, 서셉터(3)에 올려놓여진 웨이퍼(W)의 위쪽을 둘러싸도록, 처리 용기(2)의 내측면의 둘레 방향으로 분포하여 복수 형성되어 있다. 처리 용기(2)의 내측면의 도입부(56)에는, 처리 용기(2)의 측면을 관통하는 다른 한쪽의 공급로(53)가 접속되어 있다. 그리고, 스플리터(51)로 배분된 처리 가스가, 공급로(52, 53)를 거쳐, 두 개의 도입부(55, 56)로부터 처리 용기(2) 내에 도입된다.
도 3에 나타내는 바와 같이, 천정면의 도입부(55)는, 동축 도파관(30)의 내부 도체(31)의 하면으로부터 극간(gap)을 두고, 원통 형상의 인젝터 블록(60)을 배치한 구성을 갖는다. 인젝터 블록(60)은, 예를 들면 알루미늄 등의 도전성 재료로 이루어지며, 인젝터 블록(60)은 전기적으로 접지되어 있다. 내부 도체(31)의 하단면에는, 인젝터 블록(60)의 상면으로부터 적당한 간격을 두고, 지지 블록(59)이 부착되어 있다. 이 지지 블록(59)과 내부 도체(31)의 하단면과의 사이에, 레이디얼 라인 슬롯판(20)이 지지되어 있다. 내부 도체(31)와 지지 블록(59)의 중심을 관통하는 공급로(52)의 개구부(52a)는, 지지 블록(59)의 하면의 중앙에 위치하고 있다. 지지 블록(59)의 하면과 인젝터 블록(60)의 상면과의 사이에는, 개구부(52a)를 중심으로 하는 원통 형상의 가스 저장부(61)가 형성되어 있다.
유전체창(16)의 중앙에는, 인젝터 블록(60)을 지지하기 위한 지지부(62)가 형성되어 있다. 지지부(62)의 상반부는, 인젝터 블록(60)을 수납 가능한 크기의 원통 형상의 공간으로 되어 있다. 지지부(62)의 하반부에는, 아래쪽으로 갈수록 지름이 좁아지는 원추 형상의 테이퍼면(63)이 형성되어 있다.
인젝터 블록(60)의 상면의 중앙에는, 내부 도체(31)의 하면 중앙의 개구부(52a)로부터 가스 저장부(61)에 공급되는 처리 가스를 수용하는 오목부(65)가 형성되어 있다. 이 오목부(65)는, 공급로(52)의 개구부(52a)와 대향하여 배치된다.
도 4, 5에 나타내는 바와 같이, 인젝터 블록(60)에는, 가스 저장부(61)와 처리 용기(2)의 내부를 연통시키는 복수의 가스 분출공(66)이 형성되어 있다. 가스 분출공(66)은, 내부 도체(31)의 하면 중앙(지지 블록(59)의 하면 중앙)의 개구부(52a)와 대향하는 위치에는 형성되어 있지 않고, 복수의 가스 분출공(66)은, 모두 오목부(65)의 주위에 배치되어 있다.
가스 분출공(66)은, 모두 오목부(65)를 중심으로 하는 원주 방향으로 길고, 오목부(65)를 중심으로 하는 반경 방향으로 짧은, 편평한 단면 형상을 갖고 있다. 전자의 평균 자유 행정 및 컨덕턴스를 고려하여, 가스 분출공(66)은, 반경 방향의 폭(t)이 예를 들면 1mm 이하(바람직하게는 0.3∼0.5mm 정도)이고, 원주 방향의 길이(L)가 예를 들면 수mm∼십수mm 정도이다. 도시의 예에서는, 복수의 가스 분출공(66)은, 오목부(65)를 중심으로 하는 3열의 원주상을 따라서 배치되어 있다.
인젝터 블록(60)을 지지하고 있는 지지부(62)의 하반부가 원추 형상의 테이퍼면(63)으로 되어 있음으로써, 도 2에 나타내는 바와 같이, 처리 용기(2)의 내부에서 위쪽을 본 상태에서는, 유전체창(16)으로 가려져, 가스 분출공(66)이 직접 보이지 않는 상태로 되어 있다.
도 6에 나타내는 바와 같이, 인젝터 블록(60)의 하면에는, 가스에 대한 보호막(67)이 형성되어 있다. 보호막(67)은, 예를 들면, 이트리아(ytria) 등으로 이루어진다. 인젝터 블록(60)의 하면에 나타나는 가스 분출공(66)의 개구부의 주연(66a)은, 테이퍼 형상으로 되어 있다. 이와 같이 테이퍼 형상으로 형성된 가스 분출공(66)의 개구부의 주연(66a)에도, 보호막(67)이 형성되어 있다.
다음으로, 이상과 같이 구성된 플라즈마 처리 장치(1)의 작용에 대해서 설명한다. 또한, 플라즈마 처리의 일 예로서, Ar, 산소 등의 플라즈마 생성 가스와 CF계 가스, CFH계 가스 등의 소스 가스를 포함하는 처리 가스를 사용하여, 웨이퍼(W)의 표면을 에칭하는 예를 설명한다.
도 1에 나타내는 바와 같이, 이 플라즈마 처리 장치(1)에 있어서, 우선 웨이퍼(W)가 처리 용기(2) 내에 반입되어, 서셉터(3)상에 올려놓여진다. 그리고, 배기관(11)으로부터 배기가 행해져 처리 용기(2) 내가 감압된다. 또한, 가스 공급원(50)으로부터 처리 용기(2) 내에 Ar, 산소 등의 플라즈마 생성 가스와 CF계 가스, CFH계 가스 등의 소스 가스를 포함하는 처리 가스가 도입된다.
처리 용기(2) 내로의 처리 가스의 도입은, 처리 용기(2)의 천정면과 내측면에 형성된 도입부(55, 56)로부터 동시에 행해진다. 도입부(55, 56)로부터의 처리 가스의 도입량의 비는, 웨이퍼(W)의 표면 전체에 균일한 에칭 처리가 행해지도록, 스플리터(51)로 조정된다.
그리고, 마이크로파 공급 장치(35)의 작동에 의해, 유전체창(16)의 하면에 전계가 발생하여, 처리 가스가 플라즈마화되고, 그때에 발생한 활성종에 의해, 웨이퍼(W)의 표면에 에칭 처리가 행해진다. 그리고, 소정 시간 에칭 처리가 행해진 후, 마이크로파 공급 장치(35)의 작동과, 처리 용기(2) 내로의 처리 가스의 공급이 정지되고, 웨이퍼(W)가 처리 용기(2) 내로부터 반출되어, 일련의 플라즈마 에칭 처리가 종료된다.
그런데, 이상과 같은 플라즈마 처리 장치(1)에 있어서는, 처리 가스가 플라즈마화됨으로써, 특히 처리 용기(2)의 천정면에 형성된 도입부(55)에 있어서, 인젝터 블록(60)의 가스 분출공(66)에, 탄소계의 부착물이 부착되기 쉽다. 그리고, 가스 분출공(66)이, 이 부착물에 의해 막히면, 처리 가스를 처리 용기(2)에 원활히 도입할 수 없게 된다. 또한, 처리 용기(2)의 내측면의 도입부(56)와 천정면의 도입부(55)로부터의 처리 가스의 도입량의 밸런스가 변동하여, 웨이퍼(W)의 표면에 대한 에칭의 균일성이 흐트러져 버린다.
여기에서, 종래의 처리 가스의 도입부에 대해서, 가스 분출공으로의 탄소계의 부착물의 발생 요인을 검토했다. 도 7에 나타내는 바와 같이, 종래의 처리 가스의 도입부(100)는, 원통 형상의 인젝터 블록(101)의 전체에, 복수의 가스 분출공(102)을 분포시켜 형성한 구성이 알려져 있다. 각 가스 분출공(102)은, 직경이 0.5mm 정도의 원형 단면 형상이다. 인젝터 블록(101)의 상면은 평면이며, 오목부(65)에 상당하는 것은 존재하지 않는다. 또한, 인젝터 블록(101)의 하면 전체에 균일하게 분포하여 복수의 가스 분출공(102)이 개구되어 있고, 내부 도체(31)의 하면 중앙의 개구부(52a)와 대향하는 위치에도, 가스 분출공(102)이 형성되어 있다.
이러한 종래의 처리 가스의 도입부(100)는, 각 가스 분출공(102)의 단면적이 작기 때문에, 공급로(52)로부터 가스 저장부(61)에 공급된 처리 가스가 가스 분출공(102)을 통과할 때의 저항이 크고, 가스 저장부(61)의 내압이 높은 상태가 되고 있었다. 그리고, 가스 저장부(61)의 내부에 처리 가스가 고농도로 모여 있는 상태에서, 처리 용기(2) 내의 전자 등이 가스 분출공(102)으로부터 가스 저장부(61)를 향하여 진입하여, 가스 분출공(102) 내부에 있어서 처리 가스가 플라즈마화되어, 가스 분출공(66)에 탄소계의 부착물이 부착된다고 추측되었다.
또한, 종래의 처리 가스의 도입부(100)는, 내부 도체(31)의 하면 중앙의 개구부(52a)와 대향하는 위치에 있는 가스 분출공(102)에는 처리 가스가 집중적으로 유입되고, 내부 도체(31)의 하면 중앙(지지 블록(59)의 하면 중앙)의 개구부(52a)로부터 떨어진 위치에 있는 가스 분출공(102)에는, 처리 가스가 유입량이 적어져 있었다. 이 때문에, 가스 분출공(102)의 수를 늘려도, 가스 저장부(61)의 내압을 유효하게 내리는 것은 곤란했다. 또한, 직경이 0.5mm 정도의 가스 분출공(102)을 다수 균등하게 형성하는 가공은 곤란하다.
이에 대하여, 이 실시 형태로 나타낸 플라즈마 처리 장치(1)에 있어서는, 도입부(55)의 인젝터 블록(60)에 형성된 가스 분출공(66)이, 편평한 단면 형상을 갖고 있어, 원주 방향의 길이(L)가 종래의 가스 분출공(102)의 직경(0.5mm 정도)에 비하여 충분히 길다. 이 때문에, 본 발명의 실시 형태의 플라즈마 처리 장치(1)에 의하면, 가스 분출공(66)의 단면적이 종래에 비하여 상당히 크고, 가스 저장부(61)로부터 처리 용기(2) 내로 처리 가스가 빠져 나가기 쉬워진다. 이에 따라, 가스 저장부(61)의 내압도 낮아져, 가스 저장부(61) 내의 처리 가스의 농도도 낮아진다. 가스 저장부(61)에는 가스 분출공(102)이 통해 있지 않아, 처리 가스가 전자 등에 의해 플라즈마화되기 어려워진다. 그 결과, 예를 들면 처리 용기(2) 내의 전자 등이 가스 분출공(102)으로부터 가스 저장부(61)를 향하여 진입했다고 해도, 가스 분출공(102) 내부에 있어서 처리 가스가 플라즈마화될 가능성이 낮아져, 가스 분출공(66)에 탄소계의 부착물이 부착되는 문제가 회피된다.
또한, 이 실시 형태의 플라즈마 처리 장치(1)는, 내부 도체(31)의 하면 중앙(지지 블록(59)의 하면 중앙)의 개구부(52a)와 대향하는 위치에는 가스 분출공(66)이 형성되어 있지 않고, 복수의 가스 분출공(66)은 모두 오목부(65)의 주위에 배치되어 있다. 이 때문에, 내부 도체(31)의 중심을 관통하는 공급로(52)로부터 가스 저장부(61)에 공급된 처리 가스는, 가스 저장부(61)의 중앙으로부터 주변부로 퍼진 후, 각 가스 분출공(66)으로 유입된다. 이 때문에, 복수의 가스 분출공(66)에 거의 동일한 상태(압력)로 처리 가스가 유입되어, 가스 저장부(61)의 내압을 유효하게 낮출 수 있다. 이 경우, 인젝터 블록(60)의 상면의 중앙에 오목부(65)가 형성되어 있기 때문에, 공급로(52)를 흘러 온 처리 가스의 기세(관성)가 오목부(65)에 유입되었을 때에 현저하게 저하된다. 그 결과, 공급로(52)로부터 가스 저장부(61)에 공급된 처리 가스는, 가스 저장부(61)의 전체에 균일하게 퍼져, 인젝터 블록(60)에 형성되어 있는 전체 가스 분출공(66)으로부터 처리 용기(2) 내로 도입된다. 이에 따라, 가스 저장부(61)의 내압이 보다 유효하게 낮아져, 가스 저장부(61) 내의 처리 가스의 농도가 낮아지고, 가스 분출공(66)으로의 탄소계의 부착물의 부착이 더욱 회피된다.
또한, 이 실시 형태의 플라즈마 처리 장치(1)는, 처리 용기(2)의 내부에서 위쪽을 본 상태에서는, 유전체창(16)으로 가려짐으로써, 복수의 가스 분출공(66)은 처리 용기(2)의 내부로부터는 모두 직접 보이지 않는 상태로 되어 있다. 이 때문에, 처리 용기(2)의 내부에서 생성된 전자 등이 가스 분출공(66)에 진입하기 어려워, 가스 분출공(66)에 탄소계의 부착물이 발생하기 어렵다.
또한, 인젝터 블록(60)은, 예를 들면 알루미늄 등의 도전성 재료로 이루어지며, 인젝터 블록(60)은 전기적으로 접지되어 있다. 이 때문에, 전자가 가스 분출공(66)에 진입한 경우라도, 가스 분출공(66)의 내벽면에 접촉함으로써, 전자는 용이하게 트랩된다. 이 경우, 가스 분출공(66)의 폭(t)은 예를 들면 0.5mm 정도로 좁기 때문에, 전자가 가스 분출공(66)의 내벽면에 접촉하지 않고 가스 저장부(61)까지 도달할 가능성은 지극히 낮다.
또한, 인젝터 블록(60)의 하면에 형성된 이트리아 등의 보호막(67)에 의해, 인젝터 블록(60)의 하면이 보호된다. 이 경우, 테이퍼 형상으로 형성된 가스 분출공(66)의 개구부의 주연(66a)에도 보호막(67)이 형성되어 있기 때문에, 가스 분출공(66)의 개구부가 가스로부터 보호된다. 또한, 가스 분출공(66)의 개구부가 테이퍼 형상으로 형성되어 있음으로써, 개구부의 주연(66a)에 보호막(67)을 용이하게 형성할 수 있다.
따라서, 이 실시 형태의 플라즈마 처리 장치(1)에 의하면, 처리 용기(2)로의 처리 가스의 도입이 원활히 행해진다. 또한, 처리 용기(2)의 천정면의 도입부(55)와 내측면의 도입부(56)로부터의 처리 가스의 도입량의 밸런스가 양호하게 유지되어, 웨이퍼(W)의 표면에 있어서의 에칭의 균일성이 향상된다. 또한, 가스 분출공(66)의 막힘을 해소하는 작업이 저감되어, 메인터넌스성이 향상된다.
이상, 본 발명의 바람직한 실시 형태의 일 예를 설명했지만, 본 발명은 여기에 예시한 형태에 한정되지 않는다. 당업자라면, 특허청구의 범위에 기재된 사상의 범주 내에 있어서, 각종의 변경예 또는 수정예에 생각이 미칠 수 있음은 분명하며, 그것들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예를 들면, 인젝터 블록(60)의 상면이나 가스 분출공(66)의 내면에 알루마이트(Allumite) 처리를 행함으로써, 인젝터 블록(60)의 상면이나 가스 분출공(66)의 내면의 내구성을 향상시킬 수 있다. 이 경우, 인젝터 블록(60)의 상면에 나타나는 가스 분출공(66)의 입구부의 주연을, 테이퍼 형상으로 해도 좋다. 인젝터 블록(60)에 형성되는 복수의 가스 분출공(66)의 크기는, 동일해도 좋고 차이가 나 있어도 좋다. 또한, 복수의 가스 분출공(66)은, 예를 들면 소용돌이 형상으로 배치되어도 좋다.
이상의 실시 형태에서는, 본 발명을 에칭 처리를 행하는 플라즈마 처리 장치(1)에 적용했지만, 본 발명은, 에칭 처리 이외의 기판 처리, 예를 들면 성막 처리를 행하는 플라즈마 처리 장치에도 적용할 수 있다. 또한, 본 발명의 플라즈마 처리 장치로 처리되는 기판은, 반도체 웨이퍼, 유기 EL 기판, FPD(플랫 패널 디스플레이)용의 기판 등의 어느 것이라도 좋다.
(실시예)
인젝터 블록에 형성되는 가스 분출공의 단면 형상과, 가스 저장부의 내압의 관계를 고찰했다.
(비교예 1 (종래예))
도 8(a)에 나타내는 바와 같이, 비교예 1은, 두께 8mm의 원판 형상의 인젝터 블록(101)에, 직경 0.5mm의 원형 단면 형상의 가스 분출공(102)이 19개 형성되어 있다. 처리 가스의 공급로의 개구부와 대향하는 위치가 되는, 인젝터 블록(101)의 중앙에도 가스 분출공(102)이 형성되어 있다. 인젝터 블록(101)의 상면은 평면으로, 오목부는 없다.
(비교예 2)
도 8(b)에 나타내는 바와 같이, 비교예 2는, 두께 8mm의 원판 형상의 인젝터 블록(101)에, 직경 0.5mm의 원형 단면 형상의 가스 분출공(102)이 324개 형성되어 있다. 처리 가스의 공급로의 개구부와 대향하는 위치가 되는, 인젝터 블록(101)의 중앙에는 가스 분출공(102)이 형성되어 있지 않다. 또한, 인젝터 블록(101)의 상면의 중앙에는 오목부(65)가 형성되어 있다.
(비교예 3)
도 8(c)에 나타내는 바와 같이, 비교예 3은, 두께 4mm의 원판 형상의 인젝터 블록(101)에, 직경 0.5mm의 원형 단면 형상의 가스 분출공(102)이 324개 형성되어 있다. 처리 가스의 공급로의 개구부와 대향하는 위치가 되는, 인젝터 블록(101)의 중앙에는 가스 분출공(102)이 형성되어 있지 않다. 또한, 인젝터 블록(101)의 상면의 중앙에는 오목부(65)가 형성되어 있다.
(비교예 4)
도 8(d)에 나타내는 바와 같이, 비교예 4는, 두께 8mm의 원판 형상의 인젝터 블록(101)에, 직경 0.5mm의 원형 단면 형상의 가스 분출공(102)이 48개 형성되어 있다. 처리 가스의 공급로의 개구부와 대향하는 위치가 되는, 인젝터 블록(101)의 중앙에는 가스 분출공(102)이 형성되어 있지 않다. 또한, 인젝터 블록(101)의 상면의 중앙에는 오목부(65)가 형성되어 있다.
(실시예 1)
도 8(e)에 나타내는 바와 같이, 실시예 1은, 두께 8mm의 원판 형상의 인젝터 블록(60)에, 편평한 단면 형상을 갖는 가스 분출공(66)이 24개 형성되어 있다. 가스 분출공(66)의 인젝터 블록(60)의 반경 방향의 폭(t)은 0.5mm, 원주 방향의 길이(L)는 수mm∼십수mm이다. 처리 가스의 공급로의 개구부와 대향하는 위치가 되는, 인젝터 블록(60)의 중앙에는 가스 분출공(66)이 형성되어 있지 않다. 또한, 인젝터 블록(60)의 상면의 중앙에는 오목부(65)가 형성되어 있다.
(실시예 2)
도 8(f)에 나타내는 바와 같이, 실시예 2는, 두께 8mm의 원판 형상의 인젝터 블록(60)에, 편평한 단면 형상을 갖는 가스 분출공(66)이 12개 형성되어 있다. 가스 분출공(66)의 인젝터 블록(60)의 반경 방향의 폭(t)은 0.5mm, 원주 방향의 길이(L)는 수mm∼십수mm이다. 처리 가스의 공급로의 개구부와 대향하는 위치가 되는, 인젝터 블록(60)의 중앙에는 가스 분출공(66)이 형성되어 있지 않다. 또한, 인젝터 블록(60)의 상면의 중앙에는 오목부(65)가 형성되어 있다.
(비교예 5)
도 8(g)에 나타내는 바와 같이, 비교예 5는, 두께 8mm의 원판 형상의 인젝터 블록(101)의 중앙에, 직경 2.5mm의 원형 단면 형상의 가스 분출공(102)이 1개만 형성되어 있다. 이 가스 분출공(102)은, 처리 가스의 공급로의 개구부와 대향하는 위치에 있다. 인젝터 블록(101)의 상면은 평면으로, 오목부는 없다.
이들 비교예 1∼5와 실시예 1, 2의 인젝터 블록에 대해서, 가스 저장부의 내압과 처리 용기의 내압의 차이를 측정했다. 측정은, Ar가스를 100mtorr, 100sccm로 흘려서 행했다. 비교예 1∼5와 실시예 1, 2의 가스 저장부의 내압과 처리 용기의 내압의 측정 결과를 표 1에 나타낸다.
Figure 112010043468303-pat00001
표 1의 결과로부터, 실시예 1, 2의 인젝터 블록은, 비교예 1∼5의 인젝터 블록에 비하여, 가스 저장부의 내압과 처리 용기의 내압의 차이가 작다.
다음으로, 비교예 1과 실시예 1의 인젝터 블록에 대해서, 유량을 변화시켜, 가스 저장부의 내압의 변화를 측정했다. Ar가스(100mtorr)의 유량을 50∼1000sccm로 변화시켰다. 그 결과를 도 9, 10에 나타낸다. 도 9에 나타내는 바와 같이, 공급측이 되는 스플리터에서는, 비교예 1의 압력(A)과 실시예 1의 압력(B)은, 거의 비례하여 상승했다. 이에 대하여, 도 10에 나타내는 바와 같이, 실시예 1에서는, 가스 저장부의 내압(D)은, 유량의 증가에 상관없이 거의 일정하게 되었다. 한편, 비교예 1에서는, 가스 저장부의 내압(C)은, 유량의 증가에 비례하여 상승했다.
본 발명은, 예를 들면 반도체 제조 분야에 유용하다.
W : 웨이퍼
1 : 플라즈마 처리 장치
2 : 처리 용기
3 : 서셉터
4 : 외부 전원
5 : 히터
10 : 배기 장치
16 : 유전체창
20 : 레이디얼 라인 슬롯판
25 : 유전체판
30 : 동축 도파관
31 : 내부 도체
32 : 외부 도체
35 : 마이크로파 공급 장치
36 : 직사각형 도파관
50 : 가스 공급원
51 : 스플리터
52, 53 : 공급로
55, 56 : 도입부
60 : 인젝터 블록
61 : 가스 저장부
63 : 테이퍼면
65 : 오목부
66 : 가스 분출공
67 : 보호막

Claims (16)

  1. 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 장치로서,
    상기 처리 용기의 천정면에, 처리 가스의 도입부가 형성되고,
    상기 도입부에는, 처리 용기의 외부로부터 공급로를 거쳐 공급되는 처리 가스를 모으는 가스 저장부와, 상기 가스 저장부와 상기 처리 용기의 내부를 연통시키는 복수의 가스 분출공을 포함하는 인젝터 블록이 형성되고,
    상기 인젝터 블록에 있어서, 상기 공급로의 개구부와 대향하는 위치에는, 상기 가스 분출공이 형성되어 있지 않고,
    상기 가스 분출공의 단면은 편평한 형상인, 플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 가스 저장부에 있어서, 상기 공급로의 개구부와 대향하는 위치에는, 상기 공급로로부터 상기 가스 저장부에 공급되는 처리 가스를 수용하는 오목부가 형성되어 있는 플라즈마 처리 장치.
  3. 제1항 또는 제2항에 있어서,
    상기 공급로의 개구부는, 상기 가스 저장부의 중앙에 위치하고 있고,
    상기 가스 분출공은, 상기 공급로의 개구부를 중심으로 하는 원주 방향으로 배치되어 있는 플라즈마 처리 장치.
  4. 제3항에 있어서,
    상기 가스 분출공은, 상기 공급로의 개구부를 중심으로 하는 원주 방향으로 길고, 상기 공급로의 개구부를 중심으로 하는 반경 방향으로 짧은, 편평한 단면 형상을 갖고 있는 플라즈마 처리 장치.
  5. 제4항에 있어서,
    상기 가스 분출공은, 상기 공급로의 개구부를 중심으로 하는 반경 방향의 폭이 1mm 이하, 원주 방향의 길이가 수mm∼십수mm인 플라즈마 처리 장치.
  6. 제1항 또는 제2항에 있어서,
    상기 인젝터 블록은, 도전성 재료로 이루어지며, 전기적으로 접지되어 있는 플라즈마 처리 장치.
  7. 제6항에 있어서,
    상기 처리 용기의 내부에 면하는 상기 인젝터 블록의 표면에는, 박리성을 높이는 보호막이 형성되어 있는 플라즈마 처리 장치.
  8. 제7항에 있어서,
    상기 처리 용기의 내부에 면하는 상기 가스 분출공의 개구부의 주연은, 테이퍼 형상인 플라즈마 처리 장치.
  9. 제1항 또는 제2항에 있어서,
    상기 처리 용기의 천정면에 유전체창이 형성되어, 상기 처리 용기의 내부에서 위쪽을 본 상태에서는, 상기 유전체창으로 가려져, 상기 가스 분출공이 직접 보이지 않는 상태로 되어 있는 플라즈마 처리 장치.
  10. 제5항에 있어서,
    상기 가스 분출공은, 상기 공급로의 개구부를 중심으로 하는 반경 방향의 폭이, 0.3~0.5mm로서, 원주 방향의 길이가 수mm ~ 십수mm인 플라즈마 처리 장치.
  11. 원통 형상을 갖고, 원통의 길이 방향의 일단측에 형성되는 처리 가스를 수용하는 오목부와,
    상기 일단측으로부터 타단측을 향하여 관통하여 형성되고, 상기 오목부의 주위에 배치되는 복수의 가스 분출공을 갖고,
    상기 가스 분출공은, 상기 오목부를 중심으로 하는 원주 방향으로 길고, 상기 오목부를 중심으로 하는 반경 방향으로 짧은 편평한 단면 형상을 갖는 인젝터 블록.
  12. 제11항에 있어서,
    상기 가스 분출공은, 상기 오목부를 중심으로 하는 반경 방향의 폭이 1mm 이하, 원주 방향의 길이가 수mm ~ 십수mm인 인젝터 블록.
  13. 제12항에 있어서,
    상기 가스 분출공은, 상기 오목부를 중심으로 하는 반경 방향의 폭이, 0.3~0.5mm이며, 원주 방향의 길이가 수mm ~ 십수mm인 인젝터 블록.
  14. 제12항 또는 제13항에 있어서,
    도전성 재료로 이루어지는 인젝터 블록.
  15. 제11항 내지 제13항 중 어느 한 항에 있어서,
    표면에는 박리성을 높이는 보호막이 형성되어 있는 인젝터 블록.
  16. 제11항 내지 제13항 중 어느 한 항에 있어서,
    상기 가스 분출공의 개구부의 주연은 테이퍼 형상인 인젝터 블록.
KR1020100064613A 2009-09-02 2010-07-06 플라즈마 처리 장치 KR101094723B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2009-202634 2009-09-02
JP2009202634A JP5457109B2 (ja) 2009-09-02 2009-09-02 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20110025064A KR20110025064A (ko) 2011-03-09
KR101094723B1 true KR101094723B1 (ko) 2011-12-16

Family

ID=43623090

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100064613A KR101094723B1 (ko) 2009-09-02 2010-07-06 플라즈마 처리 장치

Country Status (5)

Country Link
US (2) US8920596B2 (ko)
JP (1) JP5457109B2 (ko)
KR (1) KR101094723B1 (ko)
CN (1) CN102005381B (ko)
TW (1) TWI440084B (ko)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8988012B2 (en) * 2010-03-31 2015-03-24 Tokyo Electron Limited Dielectric window for plasma processing apparatus, plasma processing apparatus and method for mounting dielectric window for plasma processing apparatus
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5525504B2 (ja) * 2011-11-08 2014-06-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP6014661B2 (ja) * 2012-05-25 2016-10-25 東京エレクトロン株式会社 プラズマ処理装置、及びプラズマ処理方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5717888B2 (ja) * 2013-02-25 2015-05-13 東京エレクトロン株式会社 プラズマ処理装置
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6501493B2 (ja) * 2014-11-05 2019-04-17 東京エレクトロン株式会社 プラズマ処理装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN108878248B (zh) * 2017-05-16 2020-03-17 东京毅力科创株式会社 等离子体处理装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20210028275A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 기판 프로세싱 시스템을 위한 유전체 윈도우를 갖는 허니콤 (honeycomb) 주입기
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11261522B2 (en) * 2018-10-18 2022-03-01 Diamond Foundry Inc. Axisymmetric material deposition from plasma assisted by angled gas flow
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021195594A (ja) * 2020-06-15 2021-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115448422B (zh) * 2022-09-22 2023-09-22 西安电子科技大学 一种基于等离子体的液体处理***及方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331555B1 (ko) 1999-09-30 2002-04-06 윤종용 복수의 통공이 형성된 배플 및 그를 구비한 반도체소자 제조장비

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04316325A (ja) * 1991-04-15 1992-11-06 Mitsubishi Electric Corp プラズマ処理装置
KR0156011B1 (ko) * 1991-08-12 1998-12-01 이노우에 아키라 플라즈마 처리장치 및 방법
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
JP3155199B2 (ja) * 1996-04-12 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
JP3318241B2 (ja) * 1997-09-19 2002-08-26 富士通株式会社 アッシング方法
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
KR100545034B1 (ko) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
KR100734775B1 (ko) * 2005-09-15 2007-07-04 주식회사 아이피에스 샤워헤드
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
JP4827083B2 (ja) * 2006-02-27 2011-11-30 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
JP2008311385A (ja) * 2007-06-14 2008-12-25 Hitachi High-Technologies Corp 基板処理装置
JP5374853B2 (ja) 2007-10-17 2013-12-25 東京エレクトロン株式会社 プラズマ処理装置
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331555B1 (ko) 1999-09-30 2002-04-06 윤종용 복수의 통공이 형성된 배플 및 그를 구비한 반도체소자 제조장비

Also Published As

Publication number Publication date
US10062547B2 (en) 2018-08-28
US20110048642A1 (en) 2011-03-03
KR20110025064A (ko) 2011-03-09
US20140338602A1 (en) 2014-11-20
CN102005381A (zh) 2011-04-06
JP5457109B2 (ja) 2014-04-02
TWI440084B (zh) 2014-06-01
TW201125034A (en) 2011-07-16
US8920596B2 (en) 2014-12-30
JP2011054781A (ja) 2011-03-17
CN102005381B (zh) 2012-12-12

Similar Documents

Publication Publication Date Title
KR101094723B1 (ko) 플라즈마 처리 장치
KR101386552B1 (ko) 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
KR101156038B1 (ko) 천판 및 이를 이용한 플라즈마 처리 장치
KR101202270B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR100980519B1 (ko) 플라즈마 처리 장치
KR101046902B1 (ko) 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
TW201318024A (zh) 改進等離子均勻性和效率的電感耦合等離子裝置
KR101496841B1 (ko) 혼합형 플라즈마 반응기
WO2006009213A1 (ja) プラズマ処理装置
KR20130126458A (ko) 플라즈마 처리 장치
US20090218045A1 (en) Plasma processing apparatus
JP5410882B2 (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
JPH0817748A (ja) プラズマ処理装置
KR20010041608A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR101411171B1 (ko) 플라즈마 처리 장치
KR101176063B1 (ko) 플라즈마 처리 장치 및 플라즈마 밀도 분포의 조정 방법
JP5722469B2 (ja) インジェクターブロック
US9431221B2 (en) Plasma-processing apparatus with upper electrode plate and method for performing plasma treatment process
KR20070090470A (ko) 균일한 가스분사를 위한 가스분배판
CN103915307A (zh) 等离子体处理室及用于该等离子体处理室的气体注入装置
TW202101540A (zh) 電漿處理裝置及電漿處理方法
JP7194941B2 (ja) プラズマ処理装置
JP2010278207A (ja) プラズマ処理装置
JP5410881B2 (ja) プラズマ処理装置とプラズマ処理方法
JP2000208491A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 8