KR101037533B1 - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR101037533B1
KR101037533B1 KR1020080129377A KR20080129377A KR101037533B1 KR 101037533 B1 KR101037533 B1 KR 101037533B1 KR 1020080129377 A KR1020080129377 A KR 1020080129377A KR 20080129377 A KR20080129377 A KR 20080129377A KR 101037533 B1 KR101037533 B1 KR 101037533B1
Authority
KR
South Korea
Prior art keywords
high frequency
electrode
frequency power
power
impedance
Prior art date
Application number
KR1020080129377A
Other languages
English (en)
Other versions
KR20090067084A (ko
Inventor
히토시 사이토
료 사토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090067084A publication Critical patent/KR20090067084A/ko
Application granted granted Critical
Publication of KR101037533B1 publication Critical patent/KR101037533B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 과제는 이상 방전에 의한 문제가 발생하지 않고, 또한 전극에 부착되는 반응 생성물에 기인하는 문제를 해소할 수 있는 평행 평판형의 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공하는 것이다. 플라즈마 처리 장치(1)는, 기판(G)이 수용되는 처리 챔버(2)와, 처리 챔버(2) 내에서 서로 대향해서 마련되는 하부 전극(3) 및 상부 전극(20)과, 하부 전극(3)에 주파수가 10MHz 이상의 제 1 고주파 전력을 인가하는 제 1 고주파 전원(14)과, 하부 전극(3)에 주파수가 2MHz 이상 10MHz 미만의 제 2 고주파 전력을 인가하는 제 2 고주파 전원(17)과, 제 2 전극(20)에 주파수가 400kHz 이상 1.6MHz 이하의 고주파 전력을 인가하는 제 3 고주파 전원(33)과, 처리 챔버(2) 내에 플라즈마 생성을 위한 처리 가스를 공급하는 가스 공급 기구(28)와, 챔버(2)를 배기하는 배기 기구(41)를 구비한다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은, 플랫 패널 디스플레이(FPD) 제조용의 유리 기판 등의 기판에 대하여 드라이 에칭 등의 플라즈마를 실시하는 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
예컨대, FPD의 제조 프로세스나 반도체 디바이스의 제조 프로세스에 있어서는, 유리 기판이나 반도체 웨이퍼 등의 기판에 대하여 드라이 에칭 등의 플라즈마 처리가 실행된다. 이러한 플라즈마 처리에는, 평행 평판형의 플라즈마 처리 장치가 많이 이용되고 있다.
평행 평판형의 플라즈마 처리 장치는, 챔버 내에 기판을 탑재하는 탑재대와 처리 가스를 샤워 형상으로 공급하는 샤워 헤드를 서로 대향하도록 마련하고, 탑재대를 하부 전극으로서 기능시키고, 샤워 헤드를 상부 전극으로서 기능시켜서, 이들중 적어도 한쪽에 고주파 전력을 인가하는 것에 의해, 이들 사이에 고주파 전계를 형성하고, 이 고주파 전계에 의해 처리 가스를 플라즈마화해서 유리 기판에 대하여 플라즈마 처리를 실행한다.
이러한 평행 평판형 플라즈마 처리 장치를 플라즈마 에칭 장치로서 적용하는 경우에는, 상부 전극인 샤워 헤드에 상대적으로 주파수가 높은 제 1 고주파 전력을 인가하고, 하부 전극인 탑재대에 상대적으로 주파수가 낮은 제 2 고주파 전력을 인가하는 상부하부 인가 타입이나, 하부 전극인 탑재대에 상대적으로 주파수가 높은 제 1 고주파 전력과 상대적으로 주파수가 낮은 제 2 고주파 전력을 인가하는 하부 2주파 인가 타입 등도 이용되며, 이러한 구성에 의해 플라즈마를 적절하게 제어해서 양호한 에칭 처리가 실행되고 있다.
그런데, 이러한 상부하부 인가 타입이나 하부 2주파 인가 타입을 포함한 평행 평판형 플라즈마 처리 장치는, 방전 조건에 따라서는, 상부 전극인 샤워 헤드의 가스 토출 구멍 내에 아크 방전이 발생하고, 샤워 헤드(샤워 헤드가 유지하는 전극판)가 손상되어 수명이 단축되거나 디바이스 결함을 초래하는 일이 있다.
그것을 해결하는 기술로서, 특허문헌 1에는 상부 전극에 상대적으로 주파수가 낮은 제 2 고주파 전력을 인가하고, 상부 전극의 플라즈마 시스(plasma sheath)를 두껍게 하여, 플라즈마가 샤워 헤드의 가스 토출 구멍 내에 침입하는 것을 막는 기술이 제안되어 있다.
그러나, 이러한 종류의 평행 평판형 플라즈마 처리 장치에는, 이러한 이상 방전에 수반하는 문제뿐만 아니라, 상부 전극에 부착되는 반응성 생성물이 원인이 되는 디바이스의 결함이 발생하여 양품률이 저하한다는 문제나, 이러한 반응 생성물을 제거하기 위해서 유지보수 사이클이 단축되어 스루풋(throughput)이 저하한다는 문제가 있다.
[특허문헌 1] 일본 공개 특허 제 2006-286791 호 공보
본 발명은, 이러한 사정에 비추어 보아서 이루어진 것으로서, 이상 방전에 의한 문제가 발생하지 않고, 또한 전극에 부착되는 반응 생성물에 기인하는 문제를 해소할 수 있는 평행 평판형의 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에서는, 피처리 기판이 수용되는 처리실과, 상기 처리실 내에서 서로 대향해서 마련되는 제 1 전극 및 제 2 전극과, 상기 제 1 전극에 주파수가 10MHz 이상의 제 1 고주파 전력을 인가하는 제 1 고주파 전력 인가 수단과, 상기 제 1 전극에 주파수가 2MHz 이상 10MHz 미만의 제 2 고주파 전력을 인가하는 제 2 고주파 전력 인가 수단과, 상기 제 2 전극에 주파수가 400kHz 이상 1.6MHz 이하의 고주파 전력을 인가하는 제 3 고주파 전력 인가 수단과, 상기 처리실 내에 플라즈마 생성을 위한 처리 가스를 공급하는 가스 공급 기구와, 상기 처리실을 배기하는 배기 기구를 구비하는 것을 특징으로 하는 플라즈마 처리 장치를 제공한다.
상기 제 1 관점에 있어서, 상기 제 1 전극은 피처리 기판을 지지하는 지지 전극이며, 상기 제 2 전극은 상기 지지 전극에 대향해서 마련된 대향 전극으로 할 수 있다.
또한, 상기 제 3 고주파 전력은, 상기 제 1 및 제 2 고주파 전력과 간섭하지 않는 주파수를 갖는 것이 바람직하다. 구체적으로는, 상기 제 3 고주파 전력의 주파수는, 상기 제 1 및 제 2 고주파 전력의 주파수가 그 정수배로 되지 않는 것과 같은 주파수인 것이 바람직하다. 또한, 상기 제 3 고주파 전력은, 상기 제 1 또는 제 2 전극에 부착된 부착물을 충분히 제거할 수 있어 부착물이 부착되지 않는 정도로, 또한 상부 전극을 소모시키기 어려운 파워로 설정하는 것이 바람직하다. 구체적인 파워로서는 0.009∼0.055W/㎠의 범위가 바람직하다. 또한, 상기 제 3 고주파 전력의 보다 바람직한 주파수의 범위로서는, 600kHz 이상 1.0MHz 이하의 범위를 들 수 있다.
또한, 상기 제 2 전극에 접속되고, 상기 제 1 고주파 전력의 주파수에 대해서는 최적 임피던스이며, 상기 제 2 고주파 전력의 주파수 및 상기 제 3 주파수에 대해서는 높은 임피던스가 되도록 임피던스 조정된 제 1 임피던스 조정기와, 상기 제 2 전극에 접속되고, 상기 제 2 고주파 전력의 주파수에 대해서는 최적 임피던스이며, 상기 제 1 고주파 전력의 주파수 및 상기 제 3 주파수에 대해서는 높은 임피던스가 되도록 임피던스 조정된 제 2 임피던스 조정기를 더 구비하는 구성으로 할 수 있다. 이 경우에, 상기 처리실의 측벽에 접속되고, 상기 제 3 고주파 전력의 주파수에 대해서는 최적 임피던스이며, 상기 제 1 고주파 전력의 주파수 및 상기 제 2 주파수에 대해서는 높은 임피던스가 되도록 임피던스 조정된 제 3 임피던스 조정기를 더 구비하도록 할 수도 있다.
피처리 기판으로서 절연성의 기판을 이용할 수 있다.
본 발명의 제 2 관점에서는, 피처리 기판이 수용되는 처리실에서 서로 대향해서 제 1 전극 및 제 2 전극을 마련하고, 이들 사이에 고주파 전계를 형성하고, 이 고주파 전계에 의해 처리 가스를 플라즈마화해서 피처리체에 플라즈마 처리를 실시하는 플라즈마 처리 방법으로서, 상기 제 1 전극에 주파수가 10MHz 이상의 제 1 고주파 전력과 주파수가 2MHz 이상 10MHz 미만의 제 2 고주파 전력을 인가해서 플라즈마를 생성하고, 상기 제 2 전극에 주파수가 400kHz 이상 1.6MHz 이하의 고주파 전력을 인가해서 상기 제 1 전극 또는 상기 제 2 전극의 표면을 스퍼터링해서 클리닝하는 것을 특징으로 하는 플라즈마 처리 방법을 제공한다.
상기 제 2 관점에 있어서, 제 1 관점과 마찬가지로, 상기 제 1 전극은 피처리 기판을 지지하는 지지 전극이며, 상기 제 2 전극은 상기 지지 전극에 대향해서 마련된 대향 전극으로 할 수 있다.
또한, 제 1 관점과 마찬가지로, 상기 제 3 고주파 전력은, 상기 제 1 및 제 2 고주파 전력과 간섭하지 않는 주파수를 갖는 것이 바람직하다. 구체적으로는, 상기 제 3 고주파 전력의 주파수는, 상기 제 1 및 제 2 고주파 전력의 주파수가 그 정수배로 되지 않는 것과 같은 주파수인 것이 바람직하다. 또한, 상기 제 3 고주파 전력은, 상기 제 1 또는 제 2 전극에 부착된 부착물을 충분히 제거할 수 있어 부착물이 부착되지 않는 정도로, 또한 상부 전극을 소모시키기 어려운 파워로 설정하는 것이 바람직하다. 구체적인 파워로서는 0.009∼0.055W/㎠의 범위가 바람직하다. 또한, 상기 제 3 고주파 전력의 보다 바람직한 주파수의 범위로서는, 600kHz 이상 1.0MHz 이하의 범위를 들 수 있다.
피처리 기판으로서 절연성의 기판을 이용할 수 있다.
본 발명에 의하면, 제 1 및 제 2 고주파 전력을 제 1 전극에 인가함으로써, 플라즈마 시스가 넓어져서 이상 방전을 발생하기 어렵게 할 수 있고, 또한 제 3 고주파 전력을 제 2 전극에 인가함으로써, 그 스퍼터링 효과에 의해 제 1 또는 제 2 전극, 전형적으로는 상부 전극의 표면을 클리닝할 수 있다.
이하, 첨부 도면을 참조하여 본 발명의 실시형태에 대해서 설명한다. 도 1은 본 발명의 일 실시형태에 따른 플라즈마 처리 장치를 도시하는 단면도이다. 이 플라즈마 처리 장치(1)는, FPD용 유리 기판(G)의 소정의 막을 플라즈마 에칭하는 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있다. 여기에서, FPD로서는, 액정 디스플레이(LCD), 전기 발광(Electro Luminescence; EL) 디스플레이, 플라즈마 디스플레이 패널(PDP) 등이 예시된다.
이 플라즈마 처리 장치(1)는, 예를 들면 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 각통(角筒) 형상으로 성형된 처리 챔버(2)를 갖고 있다. 이 처리 챔버(2) 내의 바닥부에는 피처리 기판인 유리 기판(G)을 탑재하기 위한 탑재대(3)가 마련되어 있다.
탑재대(3)는, 절연 부재(4)를 거쳐서 처리 챔버(2)의 바닥부에 지지되어 있고, 금속제의 볼록형의 기재(5)와 기재(5)의 볼록부(5a) 상에 마련된 유리 기판(G) 을 흡착하는 정전 척(6)과, 정전 척(6) 및 기재(5)의 볼록부(5a)의 주위에 마련된 절연성 세라믹스, 예컨대 알루미나로 이루어지는 액자 테두리 형상의 실드 링(7)과, 기재(5)의 주위에 마련된 절연성 세라믹스, 예를 들면 알루미나로 이루어지는 링형상의 절연 링(8)을 갖고 있다. 정전 척(6)은 세라믹스 등의 유전체로 이루어지는 본체(6a) 내에 전극(6b)이 매설되어서 구성되어 있다. 전극(6b)에는 급전선(18)이 접속되어 있고, 급전선(18)에는 직류 전원(19)이 접속되어 있어서, 전극(6b)에 직류 전원(19)으로부터의 직류 전압이 인가됨으로써, 쿨롱력 등의 정전 흡착력에 의해 유리 기판(G)이 흡착된다.
처리 챔버(2)의 바닥벽, 절연 부재(4) 및 탑재대(3)를 관통하도록, 그 위에 유리 기판(G)의 로딩 및 언로딩을 실행하기 위한 승강 핀(10)이 승강 가능하게 관통 삽입되어 있다. 이 승강 핀(10)은 유리 기판(G)을 반송할 때에는, 탑재대(3)의 상방의 반송 위치까지 상승되고, 그 이외의 때에는 탑재대(3) 내로 가라앉은 상태가 된다.
탑재대(3)의 기재(5)에는, 제 1 고주파 전력을 공급하기 위한 급전선(12)이 접속되어 있고, 이 급전선(12)에는 제 1 정합기(13) 및 제 1 고주파 전원(14)이 접속되어 있다. 제 1 고주파 전원(14)으로부터는 10MHz 이상, 예컨대 13.56MHz의 제 1 고주파 전력이 탑재대(3)의 기재(5)에 공급된다. 또한, 기재(5)에는, 제 2 고주파 전력을 공급하기 위한 급전선(15)이 접속되어 있고, 이 급전선(15)에는 제 2 정합기(16) 및 제 2 고주파 전원(17)이 접속되어 있다. 제 2 고주파 전원(17)으로부터는 2MHz 이상 10MHz 미만, 예컨대 3.2MHz의 제 2 고주파 전력이 탑재대(3)의 기 재(5)에 공급된다. 따라서, 탑재대(3)는 하부 전극으로서 기능한다.
상기 탑재대(3)의 상방에는, 이 탑재대(3)와 평행하게 대향해서 상부 전극으로서 기능하는 샤워 헤드(20)가 마련되어 있다. 샤워 헤드(20)는 처리 챔버(2)의 상부에 절연 부재(29)를 거쳐서 지지되어 있고, 내부에 내부 공간(21)을 갖는 동시에, 탑재대(3)와의 대향면에 처리 가스를 토출하는 복수의 가스 토출 구멍(22)이 형성되어 있다. 이 상부 전극인 샤워 헤드(20)는 하부 전극인 탑재대(3)와 함께 한쌍의 평행 평판 전극을 구성하고 있다.
샤워 헤드(20)의 상면에는 가스 도입구(24)가 마련되고, 이 가스 도입구(24)에는 처리 가스 공급관(25)이 접속되어 있으며, 이 처리 가스 공급관(25)은 처리 가스 공급원(28)에 접속되어 있다. 또한, 처리 가스 공급관(25)에는, 개폐 밸브(26) 및 매스 플로우 콘트롤러(27)가 개재되어 있다. 처리 가스 공급원(28)으로부터는, 플라즈마 에칭을 위한 처리 가스가 공급된다. 처리 가스로서는, 할로겐계의 가스, O2 가스, Ar 가스 등 통상 이 분야에서 이용되는 가스를 이용할 수 있다.
또한, 상부 전극인 샤워 헤드(20)에는, 제 3 고주파 전력을 공급하기 위한 급전선(31)이 접속되어 있고, 이 급전선(31)에는 제 3 정합기(32) 및 제 3 고주파 전원(33)이 접속되어 있다. 제 3 고주파 전원(33)으로부터는 400kHz 이상 1.6MHz 이하, 예컨대 750kHz의 제 3 고주파 전력이 상부 전극인 샤워 헤드(20)에 공급된다. 또한, 제 3 고주파 전력의 주파수는 제 1 및 제 2 고주파 전력의 주파수와 간섭하지 않는 주파수로 한다. 즉, 상기 제 3 고주파 전력의 주파수는 제 1 및 제 2 고주파 전력의 주파수의 정수분의 1이 되지 않도록 한다. 반대로 말하면, 제 1 및 제 2 고주파 전력의 주파수가 제 3 고주파 전력의 주파수의 정수배로 되지 않도록 한다.
또한, 상부 전극인 샤워 헤드(20)의 상부 외측에는, 제 1 고주파 전력용의 제 1 임피던스 조정기(34)와, 제 2 고주파 전력용의 제 2 임피던스 조정기(35)가 접속되어 있다.
제 1 임피던스 조정기(34)는, 코일(36) 및 가변 콘덴서(37)가 직렬로 접속되어 구성되어 있으며, 제 1 고주파 전력의 주파수에 대한 임피던스의 절대치가 낮고, 다른 고주파 전력의 주파수에 대한 임피던스의 절대치가 높아지도록 회로 정수가 설정되어서, 제 1 고주파 전력은 흐르고, 제 2 및 제 3 고주파 전력은 거의 흐르지 않도록 되어 있다.
제 2 임피던스 조정기(35)는, 코일(38)과 가변 콘덴서(39)가 직렬로 접속되어 구성되어 있으며, 제 2 고주파 전력의 주파수에 대한 임피던스의 절대치가 낮고, 다른 고주파 전력의 주파수에 대한 임피던스의 절대치가 높아지도록 회로 정수가 설정되어서, 제 2 고주파 전력은 흐르고, 제 1 및 제 2 고주파 전력은 거의 흐르지 않도록 되어 있다.
처리 챔버(2)의 바닥부에는 배기관(40)이 형성되어 있고, 이 배기관(40)에는 배기 장치(41)가 접속되어 있다. 배기 장치(41)는 터보 분자 펌프 등의 진공 펌프를 구비하고 있으며, 이로써 처리 챔버(2) 내를 소정의 감압 분위기까지 진공 흡인 가능하도록 구성되어 있다. 또한, 처리 챔버(2)의 측벽에는 기판 반입출구(42)가 마련되어 있고, 이 기판 반입출구(42)가 게이트 밸브(43)에 의해 개폐 가능하게 되어 있다. 그리고, 이 게이트 밸브(43)를 개방시킨 상태에서 반송 장치(도시하지 않음)에 의해 유리 기판(G)이 반입출되도록 되어 있다.
이 플라즈마 처리 장치(1)의 각 구성부는 제어부(50)에 의해 제어되도록 되어 있다. 이 제어부(50)는, 소정의 제어를 실시하기 위한 제어 프로그램 등을 저장하는 프로그램 저장부, 이 제어 프로그램에 근거해서 실제로 각 구성부를 제어하는 콘트롤러, 및 키보드나 디스플레이 등으로 이루어지는 유저 인터페이스를 갖고 있다.
구체적으로는, 이 제어부(50)는, 각 고주파 전원으로부터의 고주파 전력의 인가 타이밍, 이들의 파워 제어, 가스의 공급 및 배기 제어, 게이트 밸브, 승강 핀 등의 구동 제어, 정전 척으로의 전압 공급 제어 등의 제어를 실행한다.
다음에, 이렇게 구성되는 플라즈마 처리 장치(1)에 있어서의 처리 동작에 대해서 설명한다.
우선, 게이트 밸브(43)를 개방하고, 유리 기판(G)을 반송 아암(도시하지 않음)에 의해 기판 반입출구(42)를 거쳐서 처리 챔버(2) 내로 반입하고, 탑재대(3)의 정전 척(6) 상에 탑재한다. 이 경우에, 승강 핀(10)을 상방으로 돌출시켜서 지지 위치에 위치시키고, 반송 아암 상의 유리 기판(G)을 승강 핀(10) 상에 수수한다. 그 후, 승강 핀(10)을 하강시켜서 유리 기판(G)을 탑재대(3)의 정전 척(6) 상에 탑재한다.
그 후, 게이트 밸브(43)를 폐쇄하고, 배기 장치(41)에 의해 처리 챔버(2) 내 를 소정의 진공도까지 진공 흡인한다. 그리고, 직류 전원(19)으로부터 정전 척(6)의 전극(6b)에 전압을 인가함으로써, 유리 기판(G)을 정전 흡착한다. 그리고, 밸브(26)를 개방하고, 처리 가스 공급원(28)으로부터 처리 가스를, 매스 플로우 콘트롤러(27)에 의해 그 유량을 조정하면서, 처리 가스 공급관(25), 가스 도입구(24)를 통해서 샤워 헤드(20)의 내부 공간(21)으로 도입하고, 더욱이 토출 구멍(22)을 통해서 기판(G)에 대해 균일하게 토출하고, 배기량을 조절하면서 처리 챔버(2) 내를 소정 압력으로 제어한다.
이러한 상태에서, 하부 전극인 탑재대(3)의 기재(5)에, 제 1 고주파 전원(14)으로부터 제 1 정합기(13)를 거쳐서 10MHz 이상, 예컨대 13.56MHz의 제 1 고주파 전력을 공급하고, 제 2 고주파 전원(17)으로부터 제 2 정합기(16)를 거쳐서 2MHz 이상 10MHz 미만, 예컨대 3.2MHz의 제 2 고주파 전력을 공급하고, 하부 전극으로서의 탑재대(3)와 상부 전극으로서의 샤워 헤드(20) 사이에 고주파 전계를 발생시켜서, 처리 가스의 플라즈마를 생성하고, 이 플라즈마에 의해 유리 기판(G)에 플라즈마 에칭 처리를 실시한다.
여기서, 제 1 고주파 전력의 주파수를 10MHz 이상으로 한 것은, 플라즈마 중의 이온이 순간 전계에 응답할 수 없어, 부의 직류 전압(자기 바이어스)이 발생하는 주파수 대역이고, 또한 플라즈마의 고밀도화가 가능해지기 때문이다. 또한, 제 2 고주파 전력의 주파수를 2MHz 이상 10MHz 미만으로 한 것은, 이온을 더욱 가속시켜서, 기판 표면 반응의 촉진, 이방성 에칭의 효과를 높이기 위해서이며, 2MHz 미만의 낮은 주파수에서는 플라즈마 중의 이온이 전계의 변화에 따라서, 자기 바이어 스가 아닌 이온 충돌(스퍼터링 효과)로 되어, 기판에의 충격이 강해질 우려가 있기 때문이다.
이와 같이 하부 전극인 탑재대(3)에 제 1 고주파 전력 및 제 2 고주파 전력을 공급해서 이들을 중첩시킴으로써, 플라즈마를 적절하게 제어해서 양호한 에칭 처리를 실행할 수는 있지만, 에칭을 계속하면, 상부 전극인 샤워 헤드(20)의 표면에 반응 생성물이 부착되고, 그것이 원인이 되어서 디바이스의 결함이 발생해서 양품률이 저하할 우려가 있다. 또한, 이러한 디바이스의 결함을 발생하지 않도록 하기 위해서 유지보수 사이클을 짧게 하면 장치 가동율이 저하해버린다.
이러한 것을 방지하는 관점으로부터, 본 실시형태에서는, 상부 전극인 샤워 헤드(20)에, 제 3 고주파 전원(33)으로부터 제 3 정합기(32)를 거쳐서, 보다 저주파수인 400kHz 이상 1.6MHz, 예컨대 750kHz의 제 3 고주파 전력을 적절한 파워로 인가한다. 이로써 상부 전극의 플라즈마 시스를 넓혀서 적극적으로 시스 전압을 높게 해서, 상부 전극인 샤워 헤드(20)에 부착되는 반응 생성물(부착물)을 이온 스퍼터링에 의해 드라이 클리닝할 수 있다.
제 3 고주파 전력의 주파수를 400kHz 이상 1.6MHz 이하의 범위로 한 것은, 이 범위에서 양호한 스퍼터링력을 얻는 것이 가능하기 때문이다. 이것을 확인한 실험에 대해서 설명한다. 여기에서는, 하부 전극을 상부 전극으로 간주하여, 각 주파수의 고주파 전력을 인가한 하부 전극의 자기 바이어스 전압(Vdc)을 측정하는 실험을 실행하여서, 제 3 고주파 전력의 주파수와 스퍼터링력의 지표인 자기 바이어스 전압(Vdc)의 관계를 구했다. 그 결과를 도 2에 나타낸다. 도 2는, 가로축을 고주파 전력의 주파수로 하고, 세로축을 자기 바이어스 전압(Vdc)으로 하여서, 이들의 관계에 대해 도시하는 그래프이다. 이 그래프로부터, Vdc는 800kHz(0.8MHz)에서 극대치를 갖고, 400kHz(0.4MHz) 내지 1.6MHz의 범위에서 600V 이상의 높은 Vdc가 얻어진다는 것을 알았다. 보다 바람직한 범위는, 600kHz(0.6MHz) 내지 1.0MHz의 범위이다. 이러한 주파수의 범위로부터 제 1 및 제 2 고주파 전력의 주파수와 간섭하지 않는 주파수를 선택한다.
다음에, 제 3 고주파 전력의 파워와 스퍼터링력의 관계를 파악한 실험에 대해서 설명한다. 여기에서는, 30㎜×30㎜의 정방형으로 절단한 실리콘 웨이퍼 샘플을 상부 전극(220㎝×250㎝)의 도 3에 도시하는 바와 같은 위치에 부착하고, 하부 전극에 제 1 고주파 전력으로서 주파수 13.56MHz, 파워 5kW, 제 2 고주파 전력으로서 주파수 3.2MHz, 파워 5kW의 고주파 전력을 인가하고, 상부 전극에 제 3 고주파 전력으로서 주파수 750kHz의 고주파 전력을, 파워를 변화시켜서 인가하여, 실리콘 웨이퍼 샘플의 에칭속도를 파악했다. 그 결과를 도 4에 나타낸다. 도 4는, 가로축을 제 3 고주파 전력(750kHz)의 파워로 하고, 세로축을 실리콘 웨이퍼의 에칭속도의 평균치로 하여서, 이들의 관계를 나타내는 도면이다. 이 도면에 도시하는 바와 같이, 제 3 고주파 전력을 인가함으로써 에칭속도가 상승하는 것, 즉 부착물의 스퍼터링 효과가 향상하는 것을 알았다. 그리고, 에칭속도는 제 3 고주파 전력의 파워가 증가할수록 상승하는 것이 확인되었다.
이것으로부터, 반응 생성물(부착물)을 충분히 제거할 수 있고 반응 생성물의 부착이 생기지 않는 파워로 설정해서 제 3 고주파 전력을 공급할 필요가 있다. 단 지, 제 3 고주파 전력의 파워가 지나치게 크면 상부 전극이 소모해버리기 때문에, 이러한 것이 발생하지 않는 적절한 파워로 설정하는 것이 필요하게 된다. 제 3 고주파 전력의 파워의 바람직한 범위는 0.009∼0.055W/㎠ 정도이다.
이러한 제 3 고주파 전력에 의한 상부 전극인 샤워 헤드(20)의 클리닝을 실행하는 방법으로서, 유리 기판(G)에 에칭 처리를 실시하고 있을 때에, 제 1 및 제 2 고주파 전력의 인가후에, 조금 늦게 제 3 고주파 전력을 인가해서, 상부 전극인 샤워 헤드(20)에 부착된 반응 생성물을 실시간으로 제거하고, 외견상, 반응 생성물이 부착되지 않도록 할 수 있다. 또는 제 1 및 제 2 고주파 전력을 인가해서 유리 기판(G)의 플라즈마 처리를 소정 매수 실행한 후, 예컨대 로트의 변경시 등에, 더미 기판을 탑재한 상태로, 혹은 탑재대에 아무것도 탑재하지 않고, 제 1 및 제 2 고주파 전력에 부가하여, 제 3 고주파 전력을 공급해서 상부 전극인 샤워 헤드(20)의 클리닝을 실행하도록 해도 좋다. 또한, 제 1 및 제 2 고주파 전력과 제 3 고주파 전력을 동시에 인가해도 좋다. 또한 다른 방법으로서는, 클리닝만을 실행할 때에는 제 1 고주파 전력과 제 3 고주파 전력만을 공급하도록 하는 것도 가능하다.
다음에, 임피던스 조정에 대해서 설명한다.
본 실시형태가 대상으로 하는 유리 기판은, 대형화의 추세에 있어서, 한변이 2m를 초과하는 것으로 되고 있어, 이러한 대형의 유리 기판에 플라즈마 처리를 실행하는 경우에는, 장치도 대형인 것이 되기 때문에, 플라즈마를 균일하게 형성하는 것이 어려워서, 플라즈마의 편차가 생기기 쉬워진다. 그래서, 이러한 플라즈마의 편차를 방지하는 관점에서, 도 5에도 모식적으로 도시하는 바와 같이, 상부 전극인 샤워 헤드(20)의 상부 외측에 제 1 고주파 전력용의 제 1 임피던스 조정기(34)와, 제 2 고주파 전력용의 제 2 임피던스 조정기(35)를 마련하고, 제 1 임피던스 조정기(34)는 제 1 고주파 전력에 대한 임피던스를 최적화해서 제 1 고주파 전력이 흐르도록 하고, 제 2 임피던스 조정기(35)는 제 2 고주파 전력에 대한 임피던스를 최적화해서 제 2 고주파 전력만이 흐르도록 하여서, 제 1 고주파 전력 및 제 2 고주파 전력을 상부 전극인 샤워 헤드(20)로 도입하여, 이들 고주파 전력에 편차가 생기지 않도록 하고 있다. 즉, 제 1 임피던스 조정기(34) 및 제 2 임피던스 조정기(35)는 임피던스 조정 기능 이외에 필터 기능도 갖는다.
이러한 임피던스 조정기는 종래부터 이용되고 있지만, 본 실시형태에서는, 제 3 고주파 전력을 상부 전극인 샤워 헤드(20)에 공급하기 위해서, 제 1 및 제 2 임피던스 조정기(34, 35)는 상기 기능 이외에, 제 3 고주파 전력이 제 1 및 제 2 임피던스 조정기(34, 35)를 통해서 접지측으로 흐르지 않도록 이들 제 1 및 제 2 임피던스 조정기(34, 35)의 회로 정수를 설정할 필요가 있다. 즉, 도 5에 도시하는 바와 같이, 제 1 임피던스 조정기(34)에서는, 제 1 고주파 전력에 대한 임피던스를 최적화하고, 제 2 및 제 3 고주파 전력에 대한 임피던스의 절대치가 높아지도록 회로 정수를 설정하여서, 거의 제 1 고주파 전력만이 흐르도록 하고, 제 2 임피던스 조정기(35)에서는, 제 2 고주파 전력에 대한 임피던스를 최적화하고, 제 1 및 제 3 고주파 전력에 대한 임피던스의 절대치가 높아지도록 회로 정수를 설정하여서, 거의 제 2 고주파 전력만이 흐르도록 한다. 그리고, 제 3 고주파 전력은 상술한 바와 같이 제 1 및 제 2 임피던스 조정기(34, 35)를 통해서 접지측으로 흐르지 않기 때문에, 도 5에 도시하는 바와 같이 처리 챔버(3)의 측벽부측으로 흐른다. 이 경우에, 도 6에 도시하는 바와 같이, 처리 챔버(2)의 측벽에 제 3 임피던스 조정기(45)를 마련하여, 제 3 고주파 전력에 대한 임피던스를 최적화하고, 제 1 및 제 2 고주파 전력에 대한 임피던스의 절대치가 높아지도록 회로 정수를 설정하여서, 거의 제 2 고주파 전력만이 흐르도록 하여, 제 3 고주파 전력을 적극적으로 측벽으로 흐르도록 할 수도 있다.
이와 같이 제 1 및 제 2 임피던스 조정기(34, 35)에 의해 임피던스 조정을 실행함으로써, 제 1 및 제 2 고주파 전력은 하부 전극인 탑재대(3)로부터 상부 전극인 샤워 헤드(20)로 신속하게 흐르는 동시에, 제 3 고주파 전력은 처리 챔버(2)의 측벽으로 흐르기 때문에, 제 3 고주파 전력은 제 1 및 제 2 고주파 전력에서 생성되는 플라즈마에 악영향을 미치는 일이 없다.
또한, 본 발명은 상기 실시형태에 한정되지는 않고, 각종의 변형이 가능하다. 예를 들면, 상기 실시형태에서는, 제 1 및 제 2 고주파 전력을 하부 전극에 공급하고, 제 3 고주파 전력을 상부 전극에 공급했지만, 제 1 및 제 3 고주파 전력을 상부 전극에 공급하고, 제 2 고주파 전력을 하부 전극에 공급하도록 해도 좋다. 또한, 상기 실시형태에서는, 본 발명을 절연체인 FPD용의 유리 기판의 플라즈마 처리에 적용했을 경우에 대해서 나타냈지만, 이것에 한정되지는 않고, 다른 각종의 기판에 대하여 적용 가능하다.
도 1은 본 발명의 일 실시형태에 따른 플라즈마 처리 장치를 도시하는 단면도,
도 2는 제 3 고주파 전력의 주파수와 Vdc의 관계를 나타내는 도면,
도 3은 제 3 고주파 전력의 파워와 스퍼터링력의 관계를 파악한 실험에 있어서의 상부 전극의 샘플 위치를 도시하는 도면,
도 4는 제 3 고주파 전력(750kHz)의 파워와 에칭속도의 평균치의 관계를 나타내는 도면,
도 5는 임피던스 조정기를 설명하기 위한 모식도,
도 6은 제 3 고주파 전력용의 임피던스 조정기를 마련한 상태를 도시하는 도면.
부호의 설명
1 : 플라즈마 처리 장치 2 : 처리 챔버
3 : 탑재대 5 : 기재
6 : 정전 척 14 : 제 1 고주파 전원
17 : 제 2 고주파 전원 20 : 샤워 헤드
28 : 처리 가스 공급원 33 : 제 3 고주파 전원
34 : 제 1 임피던스 조정기 35 : 제 2 임피던스 조정기
45 : 제 3 임피던스 조정기 50 : 제어부
G : 유리 기판

Claims (18)

  1. 피처리 기판이 수용되는 처리실과,
    상기 처리실 내에서 서로 대향해서 마련되는 제 1 전극 및 제 2 전극과,
    상기 제 1 전극에 주파수가 10MHz 이상의 제 1 고주파 전력을 인가하는 제 1 고주파 전력 인가 수단과,
    상기 제 1 전극에 주파수가 2MHz 이상 10MHz 미만의 제 2 고주파 전력을 인가하는 제 2 고주파 전력 인가 수단과,
    상기 제 2 전극에 주파수가 400kHz 이상 1.6MHz 이하의 고주파 전력을 인가하는 제 3 고주파 전력 인가 수단과,
    상기 처리실 내에 플라즈마 생성을 위한 처리 가스를 공급하는 가스 공급 기구와,
    상기 처리실을 배기하는 배기 기구를 구비하고,
    상기 제 3 고주파 전력은 상기 제 1 및 제 2 고주파 전력과 간섭하지 않는 주파수를 갖는 것을 특징으로 하는
    플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 제 1 전극은 피처리 기판을 지지하는 지지 전극이고, 상기 제 2 전극은 상기 지지 전극에 대향해서 마련된 대향 전극인 것을 특징으로 하는
    플라즈마 처리 장치
  3. 삭제
  4. 제 1 항에 있어서,
    상기 제 3 고주파 전력의 주파수는 상기 제 1 및 제 2 고주파 전력의 주파수가 그 정수배로 되지 않는 주파수인 것을 특징으로 하는
    플라즈마 처리 장치
  5. 제 1 항, 제 2 항 또는 제 4 항중 어느 한 항에 있어서,
    상기 제 3 고주파 전력은, 상기 제 1 또는 제 2 전극에 부착된 부착물을 충분히 제거할 수 있어 부착물이 부착되지 않는 정도로, 또한 상부 전극을 소모시키기 어려운 파워로 설정하는 것을 특징으로 하는
    플라즈마 처리 장치.
  6. 제 5 항에 있어서,
    상기 제 3 고주파 전력의 파워는 0.009W/㎠ 내지 0.055W/㎠의 범위인 것을 특징으로 하는
    플라즈마 처리 장치.
  7. 제 1 항, 제 2 항 또는 제 4 항중 어느 한 항에 있어서,
    상기 제 3 고주파 전력의 주파수는 600kHz 이상 1.0MHz 이하의 범위인 것을 특징으로 하는
    플라즈마 처리 장치.
  8. 제 1 항, 제 2 항 또는 제 4 항중 어느 한 항에 있어서,
    상기 제 2 전극에 접속되며, 상기 제 1 고주파 전력의 주파수에 대해서는 최적 임피던스이고, 상기 제 2 고주파 전력의 주파수 및 상기 제 3 주파수에 대해서는 높은 임피던스가 되도록 임피던스 조정된 제 1 임피던스 조정기와,
    상기 제 2 전극에 접속되며, 상기 제 2 고주파 전력의 주파수에 대해서는 최적 임피던스이고, 상기 제 1 고주파 전력의 주파수 및 상기 제 3 주파수에 대해서는 높은 임피던스가 되도록 임피던스 조정된 제 2 임피던스 조정기를 더 구비하는 것을 특징으로 하는
    플라즈마 처리 장치.
  9. 제 8 항에 있어서,
    상기 처리실의 측벽에 접속되며, 상기 제 3 고주파 전력의 주파수에 대해서는 최적 임피던스이고, 상기 제 1 고주파 전력의 주파수 및 상기 제 2 주파수에 대해서는 높은 임피던스가 되도록 임피던스 조정된 제 3 임피던스 조정기를 더 구비 하는 것을 특징으로 하는
    플라즈마 처리 장치.
  10. 제 1 항, 제 2 항 또는 제 4 항중 어느 한 항에 있어서,
    피처리 기판으로서 절연성의 기판을 이용하는 것을 특징으로 하는
    플라즈마 처리 장치.
  11. 피처리 기판이 수용되는 처리실에서 서로 대향해서 제 1 전극 및 제 2 전극을 마련하고, 이들 사이에 고주파 전계를 형성하고, 이 고주파 전계에 의해 처리 가스를 플라즈마화해서 피처리체에 플라즈마 처리를 실시하는 플라즈마 처리 방법에 있어서,
    상기 제 1 전극에 주파수가 10MHz 이상의 제 1 고주파 전력과 주파수가 2MHz 이상 10MHz 미만의 제 2 고주파 전력을 인가해서 플라즈마를 생성하고,
    상기 제 2 전극에 주파수가 400kHz 이상 1.6MHz 이하의 제 3 고주파 전력을 인가해서 상기 제 1 전극 또는 상기 제 2 전극의 표면을 스퍼터링해서 클리닝하며,
    상기 제 3 고주파 전력은 상기 제 1 및 제 2 고주파 전력과 간섭하지 않는 주파수를 갖는 것을 특징으로 하는
    플라즈마 처리 방법.
  12. 제 11 항에 있어서,
    상기 제 1 전극은 피처리 기판을 지지하는 지지 전극이며, 상기 제 2 전극은 상기 지지 전극에 대향해서 마련된 대향 전극인 것을 특징으로 하는
    플라즈마 처리 방법.
  13. 삭제
  14. 제 11 항에 있어서,
    상기 제 3 고주파 전력의 주파수는 상기 제 1 및 제 2 고주파 전력의 주파수가 그 정수배로 되지 않는 주파수인 것을 특징으로 하는
    플라즈마 처리 방법.
  15. 제 11 항, 제 12 항 또는 제 14 항중 어느 한 항에 있어서,
    상기 제 3 고주파 전력은, 상기 제 1 전극 또는 상기 제 2 전극에 부착된 부착물을 충분히 제거할 수 있어 부착물이 부착되지 않는 정도로, 또한 상부 전극을 소모시키기 어려운 파워로 설정하는 것을 특징으로 하는
    플라즈마 처리 방법.
  16. 제 15 항에 있어서,
    상기 제 3 고주파 전력의 파워는 0.009W/㎠ 내지 0.055W/㎠의 범위인 것을 특징으로 하는
    플라즈마 처리 방법.
  17. 제 11 항, 제 12 항 또는 제 14 항중 어느 한 항에 있어서,
    상기 제 3 고주파 전력의 주파수는 600kHz 이상 1.0MHz 이하의 범위인 것을 특징으로 하는
    플라즈마 처리 방법
  18. 제 11 항, 제 12 항 또는 제 14 항중 어느 한 항에 있어서,
    피처리 기판으로서 절연성의 기판을 이용하는 것을 특징으로 하는
    플라즈마 처리 방법.
KR1020080129377A 2007-12-20 2008-12-18 플라즈마 처리 장치 및 플라즈마 처리 방법 KR101037533B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007328335A JP5371238B2 (ja) 2007-12-20 2007-12-20 プラズマ処理装置およびプラズマ処理方法
JPJP-P-2007-328335 2007-12-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020100118869A Division KR20100131961A (ko) 2007-12-20 2010-11-26 플라즈마 처리 장치 및 플라즈마 처리 방법

Publications (2)

Publication Number Publication Date
KR20090067084A KR20090067084A (ko) 2009-06-24
KR101037533B1 true KR101037533B1 (ko) 2011-05-26

Family

ID=40805782

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020080129377A KR101037533B1 (ko) 2007-12-20 2008-12-18 플라즈마 처리 장치 및 플라즈마 처리 방법
KR1020100118869A KR20100131961A (ko) 2007-12-20 2010-11-26 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020100118869A KR20100131961A (ko) 2007-12-20 2010-11-26 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (4)

Country Link
JP (1) JP5371238B2 (ko)
KR (2) KR101037533B1 (ko)
CN (2) CN101465283B (ko)
TW (1) TWI492294B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
TWI563582B (en) * 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
KR101226266B1 (ko) * 2010-09-13 2013-01-25 (주)세미머티리얼즈 플라즈마 텍스처링 반응 장치
WO2013046640A1 (ja) * 2011-09-26 2013-04-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102046193B1 (ko) * 2012-02-01 2019-11-18 도쿄엘렉트론가부시키가이샤 플라스마 에칭 방법 및 플라스마 에칭 장치
JP6675260B2 (ja) 2016-04-27 2020-04-01 東京エレクトロン株式会社 変圧器、プラズマ処理装置、及び、プラズマ処理方法
JP6630630B2 (ja) * 2016-05-18 2020-01-15 東京エレクトロン株式会社 プラズマ処理装置
TWI693861B (zh) * 2017-06-27 2020-05-11 日商佳能安內華股份有限公司 電漿處理裝置
WO2019004187A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
CN110800376B (zh) 2017-06-27 2022-04-01 佳能安内华股份有限公司 等离子体处理装置
KR20220031132A (ko) 2017-06-27 2022-03-11 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
KR102257134B1 (ko) 2017-06-27 2021-05-26 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
SG11201912567RA (en) 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
JP6728502B2 (ja) * 2017-09-06 2020-07-22 東芝三菱電機産業システム株式会社 活性ガス生成装置
CN108172531B (zh) * 2017-12-20 2021-01-15 武汉华星光电半导体显示技术有限公司 刻蚀设备
SG11202009122YA (en) 2018-06-26 2020-10-29 Canon Anelva Corp Plasma processing apparatus, plasma processing method, program, and memory medium
CN112530775A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
KR102675856B1 (ko) * 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3404536B2 (ja) * 1994-06-03 2003-05-12 東京エレクトロン株式会社 低温プラズマエンハンスによる集積回路形成方法
KR100390532B1 (ko) * 2002-10-04 2003-07-04 에이엔 에스 주식회사 반도체 장치의 플라즈마 처리장치 및 그 처리방법
KR20070020142A (ko) * 2004-06-21 2007-02-16 동경 엘렉트론 주식회사 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
KR20070098643A (ko) * 2006-03-30 2007-10-05 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JP2005223367A (ja) * 1998-06-24 2005-08-18 Hitachi Ltd プラズマ処理装置およびプラズマ処理方法
JP2001057363A (ja) * 1999-08-19 2001-02-27 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
US6893971B2 (en) * 2001-07-19 2005-05-17 Matsushita Electric Industrial Co., Ltd. Dry etching method and apparatus
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
JP3948296B2 (ja) * 2002-02-06 2007-07-25 松下電器産業株式会社 プラズマエッチング処理方法及び装置
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体
JP4754374B2 (ja) * 2006-03-16 2011-08-24 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3404536B2 (ja) * 1994-06-03 2003-05-12 東京エレクトロン株式会社 低温プラズマエンハンスによる集積回路形成方法
KR100390532B1 (ko) * 2002-10-04 2003-07-04 에이엔 에스 주식회사 반도체 장치의 플라즈마 처리장치 및 그 처리방법
KR20070020142A (ko) * 2004-06-21 2007-02-16 동경 엘렉트론 주식회사 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 판독가능한 기억 매체
KR20070098643A (ko) * 2006-03-30 2007-10-05 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Also Published As

Publication number Publication date
KR20100131961A (ko) 2010-12-16
TWI492294B (zh) 2015-07-11
CN101465283B (zh) 2012-04-25
CN101465283A (zh) 2009-06-24
CN101969016A (zh) 2011-02-09
JP2009152345A (ja) 2009-07-09
KR20090067084A (ko) 2009-06-24
JP5371238B2 (ja) 2013-12-18
TW200935512A (en) 2009-08-16

Similar Documents

Publication Publication Date Title
KR101037533B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP7345607B2 (ja) 基板処理装置
KR102002216B1 (ko) 기판 승강 기구, 기판 탑재대 및 기판 처리 장치
TWI427733B (zh) A substrate stage and a substrate processing device
KR101119646B1 (ko) 탑재대 및 그것을 이용한 플라즈마 처리 장치
KR101406524B1 (ko) 플라즈마 생성용 전극 및 플라즈마 처리 장치
CN110323119B (zh) 等离子体处理装置和被处理体的输送方法
KR20140063415A (ko) 기판 탑재대 및 기판 처리 장치
KR102302313B1 (ko) 재치대에 피흡착물을 흡착시키는 방법 및 플라즈마 처리 장치
JPWO2004097919A1 (ja) 処理ガス導入機構およびプラズマ処理装置
KR101760982B1 (ko) 기판 처리 방법 및 기판 처리 장치
US9653317B2 (en) Plasma processing method and plasma processing apparatus
KR101063127B1 (ko) 기판 처리 장치
WO2020162157A1 (ja) プラズマ処理装置および電極構造体
CN111755312B (zh) 等离子体处理装置
JP2010097993A (ja) プラズマ処理方法
JP7482657B2 (ja) クリーニング方法及び半導体装置の製造方法
JP6574588B2 (ja) プラズマ処理装置
JP2004158781A (ja) ガス処理装置およびガス処理方法
KR20230063101A (ko) 기판 처리 장치 및 방법
JP2022058790A (ja) プラズマ処理装置、及び被処理体の搬送方法
CN113410161A (zh) 基片处理装置和基片处理方法
JP2004266028A (ja) ガス処理装置およびガス処理方法、ならびにガス処理システム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180502

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 9