KR100969027B1 - Method of treatment of porous dielectric films to reduce damage during cleaning - Google Patents

Method of treatment of porous dielectric films to reduce damage during cleaning Download PDF

Info

Publication number
KR100969027B1
KR100969027B1 KR1020047016321A KR20047016321A KR100969027B1 KR 100969027 B1 KR100969027 B1 KR 100969027B1 KR 1020047016321 A KR1020047016321 A KR 1020047016321A KR 20047016321 A KR20047016321 A KR 20047016321A KR 100969027 B1 KR100969027 B1 KR 100969027B1
Authority
KR
South Korea
Prior art keywords
supercritical
dielectric material
low
dielectric
solvent
Prior art date
Application number
KR1020047016321A
Other languages
Korean (ko)
Other versions
KR20040111507A (en
Inventor
쉴링폴
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20040111507A publication Critical patent/KR20040111507A/en
Application granted granted Critical
Publication of KR100969027B1 publication Critical patent/KR100969027B1/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/30Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0075Cleaning of glass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 발명은 마이크로전자 소자 세정 공정 중에서의 손상을 저감시키기 위한 저-k 유전 재료 필름을 처리하는 장치, 방법 및 시스템을 개시한다. 본 발명은 마이크로전자 소자를 패시베이팅 공정으로 먼저 처리한 후, 세정 용액 공정에 의해 최소한의 유전 재료 손상을 갖는 고도의 선택성으로 다공질 저-k 유전 재료 필름을 세정한다.The present invention discloses an apparatus, method and system for processing a low-k dielectric material film to reduce damage during microelectronic device cleaning processes. The present invention first treats the microelectronic device with a passivation process and then cleans the porous low-k dielectric material film with a high selectivity with minimal dielectric material damage by the cleaning solution process.

Description

세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법{METHOD OF TREATMENT OF POROUS DIELECTRIC FILMS TO REDUCE DAMAGE DURING CLEANING}METHODS OF TREATMENT OF POROUS DIELECTRIC FILMS TO REDUCE DAMAGE DURING CLEANING}

관련 출원Related application

본 특허출원은 "웨이퍼 처리에서의 저유전 재료의 패시베이팅 방법"이라는 명칭으로 2003년 3월 4일자로 출원된 공계류중인 미국 특허출원 제 10/379,984 호의 일부 계속 출원이다. 이 특허출원은 미국 특허법 제119조 e항(35USC 119(e))에 따라 "세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법"이라는 명칭으로 2002년 4월 12일자로 출원된 공계류중인 미국 가특허출원 제 60/377,822 호의 우선권을 주장하고 있다. "세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법"이라는 명칭으로 2002년 4월 12일자로 출원된 미국 가특허출원 제 60/372,822 호, 및 "웨이퍼 처리에서의 저유전 재료의 패시베이팅 방법"이라는 명칭으로 2003년 3월 4일자로 출원된 미국 특허출원 제 10/379,984 호는 또한 본원에 참조로 이용된다.This patent application is part of a continuing application of co-pending US patent application Ser. No. 10 / 379,984, filed March 4, 2003 entitled "Method for Passivating Low Dielectric Materials in Wafer Treatment." This patent application was filed on April 12, 2002, entitled “Method for Processing Porous Dielectric Membrane to Reduce Damage in Cleaning Process”, pursuant to U.S. Patent Act Article 119e (35USC 119 (e)). US Patent Application No. 60 / 377,822 claims priority. US Provisional Patent Application No. 60 / 372,822, filed April 12, 2002, entitled "Method for Treating Porous Dielectric Membrane for Reducing Damage in Cleaning Process," and "Fassibay of Low Dielectric Material in Wafer Treatment." US Patent Application No. 10 / 379,984, filed Mar. 4, 2003, entitled "Ting Method", is also incorporated herein by reference.

본 발명은 유전체막의 세정(cleaning) 기술분야에 관한 것이다. 더욱 구체적으로는, 본 발명은 세정 과정에서의 손상을 저감시키기 위한 저-k 유전 재료 필름을 처리하는 시스템, 장치 및 방법에 관한 것이다.The present invention relates to the field of cleaning dielectric films. More specifically, the present invention relates to systems, apparatus, and methods for treating low-k dielectric material films to reduce damage in cleaning processes.

반도체 기술에서의 최근의 진보는 인터커넥트(interconnect)를 절연시키는데 사용되는 유전 재료를 저-k 유전 재료로 대체시키는 것을 포함한다. 저-k 유전 재료는 현재 층간 유전 재료로서 통합되어 있다. 저-k 유전 재료의 3개의 주요 부류로는 무기(SiO2계 재료), 하이브리드(유기 작용화 무기 매트릭스), 및 유기 재료를 들 수 있다. 이와 같이 저-k 유전 재료를 사용하는 기술로의 이동은 비용 추가나 처리량에 영향을 주는 일 없이 청결성 및 잔류물 제거에 대한 더욱 높은 요건을 충족하도록 이끄는 포토레지스트 스트리핑을 필요로 한다.Recent advances in semiconductor technology include replacing the dielectric materials used to insulate interconnects with low-k dielectric materials. Low-k dielectric materials are now incorporated as interlayer dielectric materials. Three major classes of low-k dielectric materials include inorganic (SiO 2 -based materials), hybrids (organic functionalized inorganic matrices), and organic materials. This shift to technologies using low-k dielectric materials requires photoresist stripping leading to higher requirements for cleanliness and residue removal without adding cost or impacting throughput.

인터커넥트를 절연시키기 위해 저-k 유전 재료를 사용함으로써, 더욱 작은 형태의 인터커넥트 구조물이 더욱 빠르게 회로에 통합될 수 있다. 다공질 저-k 유전 재료는 이들 저-k 유전 재료의 특정한 부류이다. 다공질 저-k 유전 재료에서의 선 및 바이어스를 에칭하는 경우, 실란올기는 선 및 바이어스 내에서 표면 상에 형성되는 경향이 있다. 또한, 실란올기는 선 및 바이어스와 인접하는 다공질 저-k 유전 재료의 공극에 형성되는 경향이 있다.By using low-k dielectric materials to insulate the interconnects, smaller interconnect structures can be incorporated into the circuit more quickly. Porous low-k dielectric materials are a specific class of these low-k dielectric materials. When etching lines and vias in porous low-k dielectric materials, silanol groups tend to form on the surface within lines and vias. In addition, silanol groups tend to form in the pores of the porous low-k dielectric material adjacent to the lines and vias.

저-k 유전성 무기 및 하이브리드 재료의 경우, 전형적인 세정 제제가 에칭 잔류물의 용해 또는 유전체를 약간 에칭하여 잔류물을 방출함을 통해 잔류물을 제거하도록 고안되었다는 점에서 이들 재료의 세정은 해볼 만하다. 그러나, 저-k 유전 재료를 사용하면, 다공질으로 인해 증가된 표면적이 이들 세정 제제에 대한 민감성을 크게 증가시켜 에칭 잔류물에 대한 제제의 선택성을 감소시킨다. 또한, 애슁(ashing)과 같은 전형적인 드라이 세정법은, 애슁 프라즈마가 하이브리드 재료의 유기 함량에 영향을 줌으로써 유전 상수를 증가시키는 경향을 갖기 때문에 허용될 수 없는 결점을 가진다.For low-k dielectric inorganic and hybrid materials, cleaning of these materials is worthwhile in that typical cleaning formulations are designed to remove residues by dissolving the etch residues or slightly etching the dielectric to release the residues. However, using low-k dielectric materials, the increased surface area due to the porosity greatly increases the sensitivity to these cleaning formulations, thereby reducing the selectivity of the formulation to etch residues. In addition, typical dry cleaning methods, such as ashing, have unacceptable drawbacks because ash plasmas tend to increase the dielectric constant by affecting the organic content of the hybrid material.

현재, 사용되고 있는 2개의 기본적인 시스템, 즉 습식 및 건식 시스템이 존재한다. 건식 시스템은 스트리핑에 전형적으로 사용되며, 습식 시스템은 세정에 통상 사용된다. 습식 시스템은 산, 염기 또는 용매를 사용하며, 잔류물 제거를 위한 여러 처리 단계를 필요로 한다. 건식 시스템은 유기 포토레지스트 재료를 다룰 경우 바람직한 선택안이다. 건식 스트리핑 시스템이 이용되는 경우일지라도, 건식 시스템에서 남게 되는 무기 잔류물을 제거하기 위해 스트리핑-후 습식 처리가 여전히 요구된다.Currently, there are two basic systems in use, wet and dry. Dry systems are typically used for stripping, while wet systems are commonly used for cleaning. Wet systems use acids, bases or solvents and require several treatment steps to remove residues. Dry systems are a preferred option when dealing with organic photoresist materials. Even when dry stripping systems are used, post-strip wet treatment is still required to remove inorganic residues left in the dry system.

반도체 제작시, 저-k 유전 재료 층은 하나 이상의 에칭 및 애슁 단계에서 포토레지스트 마스크를 사용하여 패턴화시키는 것이 일반적이다. 이들 필름은 에칭 후 또는 그의 물성으로 인해 표면 상에 다수의 실란올 작용기를 갖는 경향이 있으며, 그 다공질 성질로 인해 세정 동안 세정 제제에 대해 재료의 넓은 표면적을 제공한다. 이는 다수의 세정 제제에 의한, 종종 저-k 유전 재료 막을 파괴하는 지점까지의 저-k 유전 재료 막의 실질적 에칭의 문제를 초래한다.In semiconductor fabrication, low-k dielectric material layers are typically patterned using photoresist masks in one or more etching and ashing steps. These films tend to have a large number of silanol functional groups on the surface after etching or due to their physical properties, and because of their porous nature they provide a large surface area of material for the cleaning formulation during cleaning. This results in the problem of substantial etching of the low-k dielectric material film by a number of cleaning agents, often up to the point of breaking the low-k dielectric material film.

이들 실란올기, 선 및 바이어스에서의 에칭 및 포토레지스트 잔류물, 및 저-k 유전 재료의 노출 표면으로부터의 벌크 포토레지스트를 제거하기 위해, 선 및 바이어스의 에칭 이후에 세정 공정을 수행한다. 이 세정 공정에서, 약한 에칭제를 사용하여 단층의 저-k 유전 재료를 제거하여 에칭 잔류물, 포토레지스트 및 벌크 포토레지스트를 박리하는 것이 전형적이다. 이 세정 공정은 다공질 저-k 유전 재료 에칭 속도를 허용될 수 없이 높게 하는 것으로 밝혀졌다. 이는 다공질 저-k 유전 재료가 약한 에칭제에 노출되는 경우에도 그러하다. 실란올기가 존재하는 경우, 단층의 저-k 유전 재료 보다 훨씬 많은 부분이 약한 에칭제에 의해 제거됨이 밝혀졌다.To remove these silanol groups, etching and photoresist residues in lines and bias, and bulk photoresist from exposed surfaces of low-k dielectric materials, a cleaning process is performed after the etching of lines and biases. In this cleaning process, it is typical to remove a single layer of low-k dielectric material using a weak etchant to exfoliate the etch residue, photoresist and bulk photoresist. This cleaning process has been found to unacceptably increase the porous low-k dielectric material etch rate. This is true even if the porous low-k dielectric material is exposed to a weak etchant. When silanol groups are present, it has been found that much more than a single low-k dielectric material is removed by a weak etchant.

현재의 대량(high-dose) 임플랜트(implant) 세정은 문제점을 가진다. 사용시, 레지스트가 심하게 이식되어, 레지스트의 상부 1/3로부터 수소가 방출됨으로써, 극단적으로 탄화된 층이 생성된다. 이 탄화된 층은 제거하기 힘들고 신속히 에칭되지 않는다. 또한, 휘발성 성분을 갖는 벌크 레지스트가 여전히 하부에 존재한다.Current high-dose implant cleaning has a problem. In use, the resist is heavily implanted, releasing hydrogen from the upper third of the resist, resulting in an extremely carbonized layer. This carbonized layer is difficult to remove and does not etch quickly. In addition, there is still a bulk resist with volatile components at the bottom.

통상의 스트리핑이 사용되는 경우라도, 더욱 느린 속도로 세정하는 동안 압력 축적으로 인해 튀어오르거나 부풀어오르는 현상이 발생한다. 이는 챔버를 오염시킬 뿐 아니라 이들 탄화 덩어리가 또한 웨이퍼 표면의 노출된 면적과 결합한다. 또한, 표준 고온 산소계 플라즈마는 저-k 유전 재료 세정에 적합하지 않다. 이러한 고온 및 고-산소 환경은 산화시켜 필름 무결점 및 저-k 유전 재료 특성을 열화시킨다.Even when conventional stripping is used, swelling or swelling occurs due to pressure buildup during cleaning at slower speeds. This not only contaminates the chamber, but these carbonized masses also combine with the exposed area of the wafer surface. In addition, standard hot oxygen-based plasmas are not suitable for low-k dielectric material cleaning. These high temperature and high oxygen environments oxidize to degrade film defects and low-k dielectric material properties.

에칭 이후 세정 이전에 다공질 저-k 유전 재료에서 실란올기의 존재를 감소시키는 다공질 저-k 유전 재료의 처리 방법이 요구된다. 저-k 재료를 에칭시키거나 변경하지 않으면서 표면을 효율적으로 세척하기에 충분히 공격적인 세정 방법을 확보하는 것이 관건이다.What is needed is a method of processing a porous low-k dielectric material that reduces the presence of silanol groups in the porous low-k dielectric material after etching and prior to cleaning. The challenge is to have a cleaning method that is sufficiently aggressive to clean the surface efficiently without etching or changing low-k materials.

발명의 요약Summary of the Invention

더욱 미세한 아키텍처 및 더욱 높은 종횡비를 갖는 오늘날의 마이크로전자 소자는 신규한 저-k 재료를 요구한다. 결정적인 종횡비 및 수축 크기에 의한 요건을 충족시키는 포토레지스트 스트리핑 기법에 대한 요구가 존재한다. 저-k 유전 재료는 그 제조 공정에 전례없는 수준의 청결함이 요구되는 필름이다. 저-k 유전 재료는 바이어스 및 선 모두가 잔류물을 가둘 수 있는 유전성 층내로 에칭된다는 점에서 0.25㎛ 아키텍처에서 발견되는 전형적인 특징부와 상이하다. 또한, 현재의 포토레지스트는 더욱 처리 곤란한 잔류물을 생성한다. 본 발명은 한편으로는 바이어스 및 선을 세정하고 다른 한편으로는 유전체막을 보호하는 수단을 제공한다.Today's microelectronic devices with finer architectures and higher aspect ratios require new low-k materials. There is a need for a photoresist stripping technique that meets the requirements by critical aspect ratio and shrink size. Low-k dielectric materials are films that require an unprecedented level of cleanliness in their manufacturing process. Low-k dielectric materials differ from the typical features found in 0.25 [mu] m architectures in that both bias and line are etched into the dielectric layer to trap residues. In addition, current photoresists produce residues that are more difficult to process. The present invention provides a means for cleaning the bias and wire on the one hand and protecting the dielectric film on the other.

본 발명은 노출된 저-k 재료의 세정, 즉 스트리핑시 가장 큰 어려움을 처리한다. 스트리핑은 중합체가 저-k 및 유기 레지스트에 사용된다는 점으로 인해 제한이 있다. 저-k 유전 재료에 영향을 주지 않으면서 저-k 유전 재료로부터 레지스트 또는 잔류물을 세척하는 것은 복잡하다. 통상적으로, 저-k 유전 재료 상에 경질(硬質) 마스크를 위치시켜 에칭 스탑을 제공한다. 경질 마스크는 또한 CMP 스탑으로서 사용될 수 있다. 에칭시, 대부분의 벌크 레지스트가 제거된다. 그러나, 상당량의 잔류물 및 중합체는 트렌치 및 바이어스의 측벽에 남는 것이 전형적이다. 본 발명은 이들 잔류물 및 중합체의 제거와 관련된 문제점을 처리하지만 저-k 유전 재료를 에칭시켜 없애지는 않는다.The present invention addresses the greatest difficulties in cleaning, ie stripping, of exposed low-k materials. Stripping is limited due to the fact that polymers are used in low-k and organic resists. It is complicated to clean resist or residues from low-k dielectric materials without affecting the low-k dielectric materials. Typically, a hard mask is placed on a low-k dielectric material to provide an etch stop. Hard masks can also be used as CMP stops. During etching, most of the bulk resist is removed. However, significant amounts of residue and polymer typically remain on the sidewalls of the trench and bias. The present invention addresses the problems associated with removing these residues and polymers but does not etch away low-k dielectric materials.

표준 250°F 산소계 플라즈마는 저-k 유전 재료 세정에 적합하지 않다. 고-산소 환경은 산화하고 필름 무결점 및 저-k 유전 재료 특성을 열화시킨다. 본 발명은 측벽을 세척하기 위한 추가적인 물리적 세정이 없으며 서로 마주하는 중합체에 선택적인 화학적 세정을 제공한다. 또한, 본 발명은 세정 공정 과정에서 더욱 낮은 온도를 이용함으로써 현재의 세정 공정 상의 결점을 해소한다.Standard 250 ° F. oxygen-based plasma is not suitable for low-k dielectric material cleaning. The high-oxygen environment oxidizes and degrades film defects and low-k dielectric material properties. The present invention provides no selective physical cleaning for cleaning the sidewalls and provides selective chemical cleaning for the polymers facing each other. The present invention also eliminates the drawbacks of current cleaning processes by using lower temperatures in the cleaning process.

본 발명의 바람직한 실시양태는 초임계 이산화탄소(SCCO2)와 함께 사용하는 것에 관한 것이다. 본 발명의 다른 실시양태에서는, 건식 화학적 이온-고갈된 다운스트림 마이크로웨이브 플라즈마 접근법이 이용된다. 본 발명의 또다른 실시양태에서, 습식 화학적 공정이 본 발명의 방법과 함께 이용되어 고 선택성 및 최소한의 저-k 유전 재료 손상을 달성한다.Preferred embodiments of the invention relate to use with supercritical carbon dioxide (SCCO 2 ). In another embodiment of the present invention, a dry chemical ion-depleted downstream microwave plasma approach is used. In another embodiment of the present invention, a wet chemical process is used with the method of the present invention to achieve high selectivity and minimal low-k dielectric material damage.

본 발명은 스트리퍼(stripper) 또는 잔류물 제거기가 저-k 유전 재료를 확실히 공격하거나 열화시키지 않아야 한다는 1차적인 장애 요인을 해결한다. 또한, 이의 에칭으로 인한 두께의 감소 또는 개구부의 확대가 최소화된다. 또한, 필름의 k-값은 본 발명의 사용을 통해 유지되거나 감소한다.The present invention addresses the primary barrier that strippers or residue removers should not reliably attack or degrade low-k dielectric materials. In addition, the reduction in thickness or enlargement of the opening due to the etching thereof is minimized. In addition, the k-value of the film is maintained or reduced through the use of the present invention.

도 1A 및 1B는, 본 발명에 따라 초임계 이산화탄소 및 규소계 패시베이팅제를 포함하는 초임계 용액을 사용하고(즉, 패시베이팅 처리 단계) 이후 세정 용액 처리 단계를 거치는 에칭-후 잔류물의 제거 이전 및 이후의 저-k 유전 재료에 대한 간략한 개략도이다.1A and 1B show removal of post-etch residues using a supercritical solution comprising a supercritical carbon dioxide and a silicon-based passivating agent (ie, a passivation treatment step) followed by a cleaning solution treatment step according to the present invention. A brief schematic of the low-k dielectric material before and after.

도 2는 본 발명의 실시양태에 따른 초임계 웨이퍼 처리 장치의 간략한 개략도이다.2 is a simplified schematic diagram of a supercritical wafer processing apparatus according to an embodiment of the present invention.

도 3은 본 발명의 실시양태에 따른 초임계 처리 장치의 세부적인 개략도이다.3 is a detailed schematic diagram of a supercritical processing apparatus according to an embodiment of the present invention.

도 4는 본 발명의 실시양태에 따라 산화규소계 저-k 유전 재료 층을 처리하는 단계를 개요한 개략적인 블록 다이어그램이다.4 is a schematic block diagram outlining the steps of treating a silicon oxide based low-k dielectric material layer in accordance with an embodiment of the present invention.

3.5 내지 2.5의 저 유전 상수를 나타내는 재료가 일반적으로 저-k 유전 재료로 지칭된다. 유전 상수가 2.5 이하인 다공질 재료는 초저-k(ULK) 유전 재료로 일반적으로 지칭된다. 본 발명의 목적을 위해, 저-k 유전 재료는 저-k 유전 재료 및 초저-k 유전 재료 모두를 지칭한다. 저-k 유전 재료는 통상 다공질 산화물계 재료이며 유기 또는 탄화수소 성분을 포함할 수 있다. 저-k 유전 재료의 예로는 탄소-도핑된 산화물(COD), 스핀-온-글라스(spin-on-glass)(SOG) 및 불화규소 유리(FSG) 재료를 들 수 있지만 이에 한정되지 않는다. 이들 다공질 저-k 유전 재료 막은 전형적으로 탄소 및 수소를 함유하고, 스핀-온 또는 CVD와 같은 방법에 의해 침착된다. 이들 막은 세정 제제로부터의 손상에 대해 저항성인 막을 생성하고 SiOx 기재(base) 또는 SiOx-CxHy 기재의 무기 매트릭스를 전형적으로 갖도록 하는 방식으로 처리된다.Materials exhibiting low dielectric constants of 3.5 to 2.5 are generally referred to as low-k dielectric materials. Porous materials with dielectric constants of 2.5 or less are generally referred to as ultra low-k (ULK) dielectric materials. For the purposes of the present invention, low-k dielectric material refers to both low-k dielectric material and ultra low-k dielectric material. Low-k dielectric materials are typically porous oxide based materials and may include organic or hydrocarbon components. Examples of low-k dielectric materials include, but are not limited to, carbon-doped oxide (COD), spin-on-glass (SOG), and silicon fluoride glass (FSG) materials. These porous low-k dielectric material films typically contain carbon and hydrogen and are deposited by methods such as spin-on or CVD. These membranes are treated in a manner that produces a membrane that is resistant to damage from the cleaning formulation and typically has an inorganic matrix based on SiOx base or SiOx-CxHy.

본 발명의 방법에 따르면, 패턴화된 저-k 유전 재료 층은 저-k 유전 재료의 연속 층을 침착시키고, 사진석판 공정을 사용하여 저-k 유전 재료에 패턴을 에칭시키고, 초임계 이산화탄소 및 규소계 패시베이팅제를 포함하는 초임계 용액을 이용하여 에칭-후 잔류물을 제거(즉, 패시베이팅 처리 단계)함으로써 형성되며, 후속적으로 세정 용액 처리 단계가 이어진다.According to the method of the present invention, a patterned low-k dielectric material layer deposits a continuous layer of low-k dielectric material, etches a pattern in the low-k dielectric material using a photolithography process, supercritical carbon dioxide and It is formed by removing post-etch residues (ie, passivation treatment steps) using a supercritical solution comprising a silicon-based passivating agent, followed by a cleaning solution treatment step.

본 발명은 초임계 실릴화제와 실란올 작용기를 반응시킴으로써 에칭을 감소시키거나 제거함으로써 세정 제제에서의 저-k 유전 재료 막의 에칭 속도를 감소시키도록 작용한다. 본 발명의 방법은 바람직하게는 저-k 유전 재료의 표면 및/또는 벌크 상에 실란올기를 말단캡핑시킴으로써 패턴화된 저-k 유전 재료 층을 패시베이팅하여 더욱 소수성이고, 오염에 대해 더욱 저항성이 있고/있거나 덜 반응성인 패턴화된 저-k 유전 재료를 생성한다. 이러한 패시베이팅 후, 본 발명의 방법은 바람직하게는 막을 최소한으로 에칭시키면서 세정 용액으로 세척한다. 본 발명의 실시양태에 따르면, 패시베이팅 처리 단계는 초임계 에칭-후 세정 공정과 별도로 수행되거나, 다르게는 초임계 에칭-후 세정 공정과 동시에 수행된다. 또한, 본 발명의 실시양태에 따르면, 세정 용액 처리 단계는 패시베이팅 처리 단계 후에 수행된다. 본 발명의 실시양태에 따르면, 초임계 실릴화제는 초임계 이산화탄소 및 실릴화제로서 바람직한 소정량의 패시베이팅제를 포함한다. 실릴화제는 바람직하게는 실레인 구조(R1);(R2);(R3)SiNH(R4)를 포함하며, 여기서 R1, R2, R3은 동일하거나 독립적으로 H, 알킬, 아릴, 프로필, 페닐 및/또는 그의 유도체 뿐만 아니라 할로젠(Cl, Br, F, I)으로 이루어진 군으로부터 선택될 수 있다. R4는 H, 알킬, 아릴, 프로필, 페닐 및 이들의 유도체로 이루어진 군으로부터 독립적으로 선택되는 것에 부가하여 (SiR1;R2;R3)일 수 있다. 다른 실시양태에서, 실릴화제는 4가 유기규소 화합물을 포함하며, 상기 규소원자는 피라미드 구조로 1, 2, 3 및 4 위치에서 4개의 리간드와 배위결합되어 있다. 다른 실시양태에서, 실릴화제는 실라잔 구조를 포함하며, 이는 아민의 질소에 배위결합된 2개의 유기실릴 기를 갖는 아민 구조로서 기술될 수 있다.The present invention serves to reduce the etch rate of low-k dielectric material films in cleaning formulations by reducing or eliminating etching by reacting supercritical silylating agents with silanol functional groups. The method of the present invention is more hydrophobic and more resistant to contamination, preferably by passivating the patterned low-k dielectric material layer by endcapping silanol groups on the surface and / or bulk of the low-k dielectric material. Produces a patterned low-k dielectric material that is and / or is less reactive. After this passivation, the method of the present invention is preferably washed with a cleaning solution with minimal etching of the film. According to embodiments of the present invention, the passivating treatment step is performed separately from the supercritical post-etch cleaning process or alternatively simultaneously with the supercritical post-etch cleaning process. In addition, according to an embodiment of the present invention, the cleaning solution treatment step is performed after the passivating treatment step. According to embodiments of the present invention, the supercritical silylating agent comprises a supercritical carbon dioxide and a predetermined amount of passivating agent which is preferred as the silylating agent. The silylating agent preferably comprises a silane structure (R 1 ); (R 2 ); (R 3 ) SiNH (R 4 ), wherein R 1 , R 2 , R 3 are the same or independently H, alkyl, Aryl, propyl, phenyl and / or derivatives thereof, as well as halogens (Cl, Br, F, I). R 4 may be (SiR 1 ; R 2 ; R 3 ) in addition to being independently selected from the group consisting of H, alkyl, aryl, propyl, phenyl and derivatives thereof. In other embodiments, the silylating agent comprises a tetravalent organosilicon compound, wherein the silicon atoms are coordinated with four ligands at the 1, 2, 3, and 4 positions in a pyramid structure. In other embodiments, the silylating agent comprises a silazane structure, which may be described as an amine structure having two organosylyl groups coordinated to the nitrogen of the amine.

실릴화제는 단독으로 또는 담체 용매, 예컨대 N-다이메틸아세트아마이드(DMAC), 감마-뷰티롤아세톤(BLO), 다이메틸 설폭사이드(DMSO), 에틸렌 카보네이트(EC), N-메틸피롤리돈(NMP), 다이메틸피페리돈, 프로필렌 카보네이트, 알콜 또는 이들의 조합과 함께 초임계 이산화탄소(SCCO2)에 도입되어 초임계 실릴화제를 생성할 수 있다. 바람직하게는, SCCO2는 실릴화제를 위한 담체 유체로서 사용된다. 담체 유체로서 SCCO2를 사용함으로써, 실릴화제가 필름 전반에 걸쳐 용이하고 신속하게 이동될 수 있어 전체 필름과의 완벽하고 신속한 반응을 보장할 수 있다.The silylating agent can be used alone or in a carrier solvent such as N-dimethylacetamide (DMAC), gamma-butyrolacetone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone ( NMP), dimethylpiperidone, propylene carbonate, alcohol or combinations thereof may be introduced into supercritical carbon dioxide (SCCO 2 ) to produce a supercritical silylating agent. Preferably, SCCO 2 is used as carrier fluid for the silylating agent. By using SCCO 2 as the carrier fluid, the silylating agent can be easily and quickly moved throughout the film to ensure complete and rapid reaction with the entire film.

임의의 개수의 실릴화제 및 실릴화제의 조합을 함유하는 초임계 패시베이팅 용액은 본 발명의 범위내에 있음이 당해 분야의 숙련자에게 자명할 것이다.It will be apparent to those skilled in the art that supercritical passivating solutions containing any number of silylating agents and combinations of silylating agents are within the scope of the present invention.

열역학적 조건은 처리 온도가 25 내지 200℃이고, 압력이 700 내지 9000 psi로 가변적이다. 초임계 CO2가 바람직하지만, 특정 환경하에서는 액상 CO2가 사용될 수 있다. 바람직하게는, 실릴화제는 헥사메틸다이실라잔을 포함한다. 다르게는, 실릴화제는 오가노클로로실레인을 포함한다. 또 다르게는, 실릴화제는 가수분해된 알콕시실레인을 포함한다. 전형적인 공정 시간은 15초 내지 10분이다.Thermodynamic conditions have a treatment temperature of 25-200 ° C. and pressure varying from 700-9000 psi. Supercritical CO 2 is preferred, but under certain circumstances liquid CO 2 may be used. Preferably, the silylating agent comprises hexamethyldisilazane. Alternatively, the silylating agent includes organochlorosilanes. Alternatively, the silylating agent includes hydrolyzed alkoxysilanes. Typical process time is 15 seconds to 10 minutes.

도 1A 및 1B는, 초임계 이산화탄소 및 규소계 패시베이팅제를 포함하는 초임계 용액을 사용하여 에칭-후 잔류물을 제거하기(즉, 패시베이팅 처리 단계) 이전 및 이후의 저-k 유전 재료에 대한 간략한 개략도이며, 이후 세정 용액 처리 단계가 수행된다. 도 1A의 패턴화된 저-k 유전 재료(100)는 에칭-후 잔류물의 제거 이전의 패턴화된 저-k 유전 재료(100)를 도시한 것이며, 도 1B는 에칭-후 잔류물의 제거 이후의 저-k 유전 재료(100)를 도시한 것이다. 특히, 레지스트(110) 및 측벽 중합체 잔류물(120)이 초임계 이산화탄소 세정 및 세정 용액 처리 단계 이전의 도 1A에서의 저-k 유전 재료 구조(130) 상에 나타날 수 있다. 도 1B는 고-선택성 세정 이후의 동일한 저-k 유전 재료 구조(130)를 도시한 것으로, 잘려나간 아래부분(undercut)이 없고 잔류물이 제거된 것을 보여준다.1A and 1B show low-k dielectric materials before and after removing post-etch residues (ie, passivating step) using a supercritical solution comprising supercritical carbon dioxide and a silicon-based passivating agent. Is a simplified schematic diagram, after which a cleaning solution treatment step is performed. The patterned low-k dielectric material 100 of FIG. 1A shows the patterned low-k dielectric material 100 before removal of the post-etch residues, and FIG. 1B shows the removal of post-etch residues. Low-k dielectric material 100 is shown. In particular, resist 110 and sidewall polymer residue 120 may appear on low-k dielectric material structure 130 in FIG. 1A prior to the supercritical carbon dioxide cleaning and cleaning solution processing steps. 1B shows the same low-k dielectric material structure 130 after high-selective cleaning, showing no undercuts and residues removed.

도 2는 초임계 처리 장치(200)의 간략한 개략도를 도시한 것이다. 장치(200)는 공급원 밸브(223)를 통해 주입 라인(226)에 연결된 이산화탄소 공급원(221)을 포함하는데, 상기 밸브(223)는 개방 및 폐쇄되어 이산화탄소 공급원(221)으로부터 주입 라인(226)으로 이산화탄소의 유동을 시작 및 중단시킬 수 있다. 주입 라인(226)에는 바람직하게는 초임계 이산화탄소의 스트림을 생성하고/하거나 유지하기 위해 박스(220)로 개략적으로 도시되어 있는 하나 이상의 역류 밸브, 펌프 및 히터가 구비되어 있다. 또한, 주입 라인(226)은 바람직하게는 개방 및 폐쇄되어 처리 챔버(201)내로 유동하도록 하거나 이를 방지하는 구조로 된 주입 밸브(225)를 가진다.2 shows a simplified schematic diagram of a supercritical processing device 200. Apparatus 200 includes a carbon dioxide source 221 connected to an injection line 226 via a source valve 223, which valve 223 is open and closed to feed line 226 from the carbon dioxide source 221. It is possible to start and stop the flow of carbon dioxide. Injection line 226 is preferably equipped with one or more backflow valves, pumps and heaters, schematically depicted as box 220, for generating and / or maintaining a stream of supercritical carbon dioxide. In addition, the infusion line 226 preferably has an infusion valve 225 configured to open and close to allow flow into or prevent flow into the processing chamber 201.

도 2를 참조하면, 처리 챔버(201)에는 바람직하게는 처리 챔버(201)를 배기시키고/시키거나 처리 챔버(201)내의 압력을 조절하는 하나 이상의 압력 밸브(209)가 구비되어 있다. 또한, 본 발명의 실시양태에 따르면, 처리 챔버(201)는 처리 챔버(201)에 압력을 가하고/하거나 제거하는 펌프 및/또는 진공(211)과 연결된다.Referring to FIG. 2, the processing chamber 201 is preferably equipped with one or more pressure valves 209 for evacuating the processing chamber 201 and / or adjusting the pressure in the processing chamber 201. In addition, according to embodiments of the present invention, the processing chamber 201 is connected with a pump and / or a vacuum 211 that pressurizes and / or removes the processing chamber 201.

다시, 도 2를 참조하면, 장치(200)의 처리 챔버(201) 내에는, 바람직하게는 웨이퍼 구조물(213)을 고정시키고/시키거나 지지하는 척(233)이 존재한다. 본 발명의 추가의 실시양태에 따르면, 척(233) 및/또는 처리 챔버(201)는 웨이퍼 구조물(213)의 온도 및/또는 처리 챔버(201)내의 초임계 처리 용액의 온도를 조절하는 히터(231)를 하나 이상 가진다.Referring again to FIG. 2, there is preferably a chuck 233 in the processing chamber 201 of the apparatus 200 that secures and / or supports the wafer structure 213. According to a further embodiment of the present invention, the chuck 233 and / or the processing chamber 201 may be configured to control the temperature of the wafer structure 213 and / or the temperature of the supercritical processing solution in the processing chamber 201. 231) have one or more.

장치(200)는 또한 바람직하게는 처리 챔버(201)와 연결된 순환 루프(203)를 가진다. 순환 루프(203)에는 순환 루프(203) 및 처리 챔버(201)를 통해 초임계 처리 용액의 유동을 조절하는 하나 이상의 밸브(215, 215')가 구비된다. 순환 루프(203)에는 또한 바람직하게는 초임계 처리 용액을 유지하고, 순환 루프(203) 및 처리 챔버(201)를 통해 초임계 처리 용액이 유동하도록 하는, 박스(205)로 도시되어 있는 임의 개수의 역류 밸브, 펌프 및/또는 히터가 구비된다. 본 발명의 바람직한 실시양태에 따르면, 순환 루프(203)는 동일 반응계에서 초임계 처리 용액을 생성하는 순환 루프(203)로 패시베이팅제 및 용매와 같은 화학성분을 도입시키기 위한 주입 포트(207)를 가진다.The apparatus 200 also preferably has a circulation loop 203 connected with the processing chamber 201. The circulation loop 203 is equipped with one or more valves 215, 215 ′ that regulate the flow of the supercritical processing solution through the circulation loop 203 and the processing chamber 201. The circulation loop 203 is also preferably any number shown by the box 205 which holds the supercritical treatment solution and allows the supercritical treatment solution to flow through the circulation loop 203 and the treatment chamber 201. Counter flow valve, pump and / or heater. According to a preferred embodiment of the present invention, the circulation loop 203 has an injection port 207 for introducing chemical components such as passivating agent and solvent into the circulation loop 203 which produces a supercritical treatment solution in situ. Have

도 3은 도 2보다 더욱 상세하게 초임계 처리 장치(76)를 도시하고 있다. 초임계 처리 장치(76)는 초임계 세정, 헹굼 및 경화 용액을 생성하고, 이를 이용하여 웨이퍼를 처리하는 구조로 이루어져 있다. 초임계 처리 장치(76)는 이산화탄소 공급 용기(332), 이산화탄소 펌프(334), 처리 챔버(336), 화학성분 공급 용기(338), 순환 펌프(340) 및 배기 가스 포집 용기(344)를 포함한다. 이산화탄소 공급 용기(332)는 이산화탄소 펌프(334) 및 이산화탄소 파이프(346)를 거쳐 처리 챔버(336)와 연결된다. 이산화탄소 파이프(346)는 이산화탄소 펌프(334)와 처리 챔버(336) 사이에 위치하는 이산화탄소 히터(348)를 포함한다. 처리 챔버(336)는 처리 챔버 히터(350)를 포함한다. 순환 펌프(340)는 순환 라인(352) 상에 위치하며, 이는 순환 입구(354) 및 순환 출구(356)에서 처리 챔버(336)와 연결되어 있다. 화학성분 공급 용기(338)는 제 1 주입 펌프(359)를 포함하는 화학성분 공급 라인(358)을 거쳐 순환 라인(352)에 연결되어 있다. 헹굼제 공급 용기(360)는 제 2 주입 펌프(363)를 포함하는 헹굼액 공급 라인(362)을 거쳐 순환 라인(352)에 연결되어 있다. 배기 가스 포집 용기(344)는 배기 가스 파이프(364)를 거쳐 처리 챔버(336)와 연결되어 있다.FIG. 3 illustrates the supercritical processing device 76 in more detail than FIG. The supercritical processing device 76 has a structure for generating a supercritical cleaning, rinsing and curing solution and processing the wafer using the supercritical cleaning device. Supercritical processing device 76 includes a carbon dioxide supply vessel 332, a carbon dioxide pump 334, a processing chamber 336, a chemical supply vessel 338, a circulation pump 340, and an exhaust gas collection vessel 344. do. The carbon dioxide supply container 332 is connected to the processing chamber 336 via a carbon dioxide pump 334 and a carbon dioxide pipe 346. The carbon dioxide pipe 346 includes a carbon dioxide heater 348 positioned between the carbon dioxide pump 334 and the processing chamber 336. Process chamber 336 includes a process chamber heater 350. The circulation pump 340 is located on the circulation line 352, which is connected to the processing chamber 336 at the circulation inlet 354 and the circulation outlet 356. The chemical supply container 338 is connected to the circulation line 352 via a chemical supply line 358 that includes a first infusion pump 359. The rinse agent supply container 360 is connected to the circulation line 352 via a rinse solution supply line 362 including a second infusion pump 363. The exhaust gas collecting vessel 344 is connected to the processing chamber 336 via the exhaust gas pipe 364.

이산화탄소 공급 용기(332), 이산화탄소 펌프(334) 및 이산화탄소 히터(348)는 이산화탄소 공급 장치(349)를 형성한다. 화학성분 공급 용기(338), 제 1 주입 펌프(359), 헹굼제 공급 용기(360) 및 제 2 주입 펌프(363)는 화학성분 및 헹굼제 공급 장치(365)를 형성한다.The carbon dioxide supply container 332, the carbon dioxide pump 334, and the carbon dioxide heater 348 form the carbon dioxide supply device 349. The chemical supply container 338, the first infusion pump 359, the rinse agent supply container 360, and the second infusion pump 363 form a chemical and rinse agent supply device 365.

초임계 처리 장치(76)가 초임계 유체 가공 시스템에 전형적인 밸브, 제어 전기장치, 여과기 및 설비 연결기를 포함한다는 것은 당해 분야의 숙련자에게 쉽게 자명할 것이다. It will be readily apparent to those skilled in the art that the supercritical processing device 76 includes valves, control electronics, filters, and facility connectors that are typical of supercritical fluid processing systems.                 

도 3을 참조하면, 작동시 상부에 잔류물을 갖는 웨이퍼(도시되지 않음)는 챔버(336)의 웨이퍼 공동(312)내로 삽입되고, 처리 챔버(336)는 봉입된다. 처리 챔버(336)는 이산화탄소 공급 용기(332)로부터의 이산화탄소를 사용하여 이산화탄소 펌프(334)에 의해 가압되며, 처리 챔버(336)가 처리 챔버 히터(350)에 의해 가열되어 처리 챔버(336) 내의 이산화탄소의 온도가 확실하게 임계 온도 이상이 되게 하면서 이산화탄소는 이산화탄소 히터(348)에 의해 가열된다. 이산화탄소에 대한 임계 온도는 31℃이다. 바람직하게는, 처리 챔버(336)내 이산화탄소의 온도는 초임계 패시베이팅 단계에서 25℃ 내지 약 200℃ 범위내이고, 바람직하게는 70℃ 또는 70℃ 근처이다.Referring to FIG. 3, in operation a wafer (not shown) having a residue on top is inserted into the wafer cavity 312 of the chamber 336 and the processing chamber 336 is enclosed. The processing chamber 336 is pressurized by the carbon dioxide pump 334 using carbon dioxide from the carbon dioxide supply vessel 332, and the processing chamber 336 is heated by the processing chamber heater 350 to allow the processing chamber 336 to be in the processing chamber 336. The carbon dioxide is heated by the carbon dioxide heater 348 while the temperature of the carbon dioxide is surely above the critical temperature. The critical temperature for carbon dioxide is 31 ° C. Preferably, the temperature of the carbon dioxide in the processing chamber 336 is in the range of 25 ° C. to about 200 ° C. in the supercritical passivating step, preferably 70 ° C. or near 70 ° C.

초기 초임계 조건에 도달시, 제 1 주입 펌프(359)는, 이산화탄소 펌프가 초임계 이산화탄소를 추가로 가압시키면서, 순환 라인(352)을 거쳐 화학성분 공급 용기(338)로부터 처리 챔버(336)내로 처리 화학성분, 예컨대 실릴화제를 펌핑시킨다. 처리 화학성분의 처리 챔버(336)로의 첨가를 시작할 때에, 처리 챔버(336)내 압력은 바람직하게는 약 700 내지 9,000 psi 범위이고, 가장 바람직하게는 3,000 psi 또는 그 근처이다. 요구되는 양의 처리 화학성분이 처리 챔버(336)내로 펌핑되고, 요구되는 초임계 조건에 도달되는 경우, 이산화탄소 펌프(334)는 처리 챔버(336)를 가압시키는 것을 중단하고, 제 1 주입 펌프(359)는 처리 화학성분을 처리 챔버(336)내로 펌핑시키는 것을 중단하고, 순환 펌프(340)는 초임계 이산화탄소 및 세정 용액을 순환시키기 시작한다. 최종적으로, 순환 펌프(340)는 초임계 이산화탄소 및 처리 화학성분을 포함하는 초임계 세정 용액을 순환시키기 시작한다. 바람직하게는, 이 시점에서의 처리 챔버(336) 내의 압력은 약 3000 psi이다. 초임계 세정 용액 및 초임계 처리 용액을 순환시킴으로써, 초임계 용매 및 용액이 웨이퍼의 표면에 신속하에 보급되고, 이에 의해 웨이퍼 상의 저-k 유전 재료 층 표면의 패시베이팅 및 세정 속도를 향상시킨다.Upon reaching the initial supercritical condition, the first infusion pump 359 causes the carbon dioxide pump to pressurize the supercritical carbon dioxide further from the chemical supply vessel 338 into the processing chamber 336 via the circulation line 352. Treatment chemicals such as silylating agents are pumped out. At the start of addition of the treatment chemical to the treatment chamber 336, the pressure in the treatment chamber 336 is preferably in the range of about 700 to 9,000 psi, most preferably at or near 3,000 psi. When the required amount of processing chemistry is pumped into the processing chamber 336 and the required supercritical conditions are reached, the carbon dioxide pump 334 stops pressurizing the processing chamber 336 and the first infusion pump ( 359 stops pumping the processing chemical into the processing chamber 336, and the circulation pump 340 begins to circulate the supercritical carbon dioxide and cleaning solution. Finally, the circulation pump 340 begins to circulate a supercritical cleaning solution comprising supercritical carbon dioxide and processing chemicals. Preferably, the pressure in the processing chamber 336 at this point is about 3000 psi. By circulating the supercritical cleaning solution and the supercritical treatment solution, the supercritical solvent and solution are rapidly spread to the surface of the wafer, thereby improving the passivation and cleaning speed of the low-k dielectric material layer surface on the wafer.

저-k 유전 재료 층을 갖는 웨이퍼(도시되지 않음)가 처리 챔버(336) 내에서 가공되는 경우, 웨이퍼는 기계 척, 진공 척 또는 다른 적합한 고정 또는 잠금 수단을 이용하여 고정된다. 본 발명의 실시양태에 따르면, 웨이퍼는 처리 챔버(336) 내에 고정되어 있거나, 또는 초임계 처리 단계 과정에서 회전하거나, 공전하거나 교반된다.When a wafer (not shown) having a low-k dielectric material layer is processed in the processing chamber 336, the wafer is secured using a mechanical chuck, vacuum chuck or other suitable fastening or locking means. According to an embodiment of the present invention, the wafer is fixed in the processing chamber 336 or rotated, idle or stirred during the supercritical processing step.

초임계 처리 용액이 순환 라인(352) 및 처리 챔버(336)를 통해 순환된 후, 처리 챔버(336)는 초임계 처리 용액 중 일부를 배기 가스 포집 용기(344)로 배기시킴으로써 부분적으로 감압하여 초기 초임계 조건 근처로 처리 챔버(336)내 조건을 되돌린다. 바람직하게는, 처리 챔버(336)는, 초임계 처리 용액이 처리 챔버(336)를 포집 용기(344) 내로의 배기물로 완전 배기시키기 전 한 주기 이상의 이러한 감압 및 압축 주기를 통해 순환된다. 압력 챔버(336)를 배기시킨 후, 제 2 초임계 처리 단계가 수행되거나 웨이퍼가 처리 챔버(336)로부터 제거되고, 웨이퍼 처리는 제 2 처리 장치 또는 모듈(도시되지 않음)에서 계속된다.After the supercritical treatment solution is circulated through the circulation line 352 and the treatment chamber 336, the treatment chamber 336 is partially depressurized by evacuating some of the supercritical treatment solution into the exhaust gas collection vessel 344. Return the condition in the processing chamber 336 near the supercritical condition. Preferably, the processing chamber 336 is circulated through one or more such decompression and compression cycles before the supercritical processing solution completely exhausts the processing chamber 336 into the exhaust into the collection vessel 344. After evacuating the pressure chamber 336, a second supercritical processing step is performed or the wafer is removed from the processing chamber 336, and wafer processing continues in a second processing apparatus or module (not shown).

도 4는 초임계 세정 및 패시베이팅 용액을 이용하여 패턴화된 저-k 유전 재료 층 및 그 위의 에칭-후 또는 애슁-후 잔류물을 포함하는 기판 구조물을 처리하는 단계를 개략적으로 나타낸 블록 다이어그램(400)이다. 단계(402)에서, 에칭-후 잔류물을 포함하는 기판 구조물이 처리 챔버내에 위치하여 봉입된다. 기판 구조물이 단계(402)에서 처리 챔버 내에 위치하여 봉입된 후, 단계(404)에서 처리 챔버는 초임계 CO2로 가압되고, 처리 화학성분이 초임계 CO2에 첨가되어 초임계 세정 및 패시베이팅 용액을 생성한다. 바람직하게는, 세정 및 패시베이팅 화학성분은 1종 이상의 유기규소 화합물을 포함한다.4 is a block diagram schematically illustrating a step of treating a substrate structure comprising a patterned low-k dielectric material layer and post-etch or post-ash residues thereon using a supercritical cleaning and passivating solution. Diagram 400. In step 402, a substrate structure including post-etch residues is located and enclosed in a processing chamber. After the substrate structure is positioned and enclosed in the processing chamber in step 402, the processing chamber is pressurized with supercritical CO 2 in step 404, and the processing chemical is added to the supercritical CO 2 to supercritical cleaning and passivation. To produce a ting solution. Preferably, the cleaning and passivating chemistry comprises at least one organosilicon compound.

초임계 세정 및 패시베이팅 용액이 단계(404)에서 생성된 후, 단계(406)에서 기판 구조물은 기판 구조물로부터 적어도 일부의 잔류물을 제거하고 잔류물이 제거된 후 노출된 표면을 패시베이팅하기에 충분한 시간 동안 초임계 처리 용액 중에 유지된다. 단계(406) 과정에서, 초임계 세정 및 패시베이팅 용액은 바람직하게는 처리 챔버를 통해 순환되고/되거나 또는 교반되어 기판 구조물의 표면 상에서 초임계 세정 용액을 이동시킨다. 이 세정 단계는 또한 패시베이팅 후, 이전 또는 도중에 수행될 수 있다.After the supercritical cleaning and passivating solution is generated in step 404, the substrate structure in step 406 removes at least some residue from the substrate structure and passivates the exposed surface after the residue is removed. It is maintained in the supercritical treatment solution for a sufficient time below. In the course of step 406, the supercritical cleaning and passivating solution is preferably circulated and / or stirred through the processing chamber to move the supercritical cleaning solution on the surface of the substrate structure. This cleaning step can also be performed before, during, or after passivation.

도 4를 참조하면, 잔류물 중 적어도 일부는 단계(406)에서 기판 구조물로부터 제거된 후, 단계(408)에서, 초임계 세정 용액 처리 단계가 이루어져 초임계 세정 용액이 바람직하게는 처리 챔버를 통해 순환하고/하거나 또는 교반되어 기판 구조물의 표면 상에서 초임계 용매를 이동시킨다. 초임계 용액 처리 단계(408) 이후, 처리 챔버는 단계(401)에서 일부 배기된다. 단계(404, 406, 408)를 포함하는 세정 공정은 단계(410, 404)를 연결시키는 화살표로 나타낸 바와 같이 수차례 반복되며, 이때 기판 구조물로부터 잔류물을 제거하고 노출된 표면을 패시베이팅시키는 것이 요구된다. 단계(404, 406, 408)를 포함하는 처리는 본 발명에 따른 실시양태에 따라 새로운 초임계 이산화탄소, 새로운 화학성분 또는 둘 모두를 사용한다. 다르게는, 세정 화학성분의 농도는 초임계 이산화탄소를 갖는 처리 챔버를 희석함으로써, 세정 화학성분의 추가량을 첨부함으로써, 또는 이들을 조합함으로써 변경된다.4, at least some of the residue is removed from the substrate structure in step 406, and then in step 408, a supercritical cleaning solution treatment step is performed such that the supercritical cleaning solution is preferably passed through the processing chamber. Circulation and / or agitation moves the supercritical solvent on the surface of the substrate structure. After the supercritical solution processing step 408, the processing chamber is partially evacuated in step 401. The cleaning process comprising steps 404, 406, 408 is repeated several times, as indicated by the arrows connecting steps 410, 404, removing the residue from the substrate structure and passivating the exposed surface. Is required. The treatment comprising steps 404, 406, 408 uses new supercritical carbon dioxide, new chemicals or both in accordance with an embodiment according to the present invention. Alternatively, the concentration of the cleaning chemicals is changed by diluting the processing chamber with supercritical carbon dioxide, attaching additional amounts of cleaning chemicals, or combining them.

도 4를 참조하면, 처리 단계(404, 406, 408, 410)가 완결된 후, 단계(412)에서, 기판 구조물은 바람직하게는 초임계 헹굼 용액으로 처리된다. 초임계 헹굼 용액은 바람직하게는 초임계 CO2 및 1종 이상의 유기 용매를 포함하지만, 순수한 초임계 CO2일 수도 있다.Referring to FIG. 4, after processing steps 404, 406, 408, and 410 are completed, in step 412, the substrate structure is preferably treated with a supercritical rinse solution. The supercritical rinse solution preferably comprises supercritical CO 2 and at least one organic solvent, but may also be pure supercritical CO 2 .

도 4를 참조하면, 기판 구조물이 단계(404, 406, 408, 410)에서 세정되고, 단계(412)에서 헹궈진 후, 단계(414)에서 처리 챔버는 감압되고 기판 구조물이 기판 구조물로부터 제거된다. 다르게는, 기판 구조물은 단계(412, 404)를 연결하는 화살표로 나타낸 바와 같이 단계(404, 406, 408 및 412)를 포함하는 하나 이상의 추가적인 세정/헹굼 공정을 통해 순환된다. 다르게는, 또는 하나 이상의 세정/헹굼 주기를 통해 기판 구조물을 순환시키는 것에 더하여, 기판 구조물은 단계(414)에서 챔버로부터 기판 구조물을 제거하기 전에 단계(412, 410)를 연결하는 화살표로 나타내는 바와 같이 여러 차례의 헹굼 주기로 처리된다.Referring to FIG. 4, after the substrate structure is cleaned in steps 404, 406, 408, and 410 and rinsed in step 412, the process chamber is depressurized and the substrate structure is removed from the substrate structure in step 414. . Alternatively, the substrate structure is circulated through one or more additional cleaning / rinsing processes comprising steps 404, 406, 408, and 412 as indicated by arrows connecting steps 412, 404. Alternatively, or in addition to circulating the substrate structure through one or more cleaning / rinsing cycles, the substrate structure may be represented by an arrow connecting steps 412 and 410 before removing the substrate structure from the chamber in step 414. It is treated in several rinsing cycles.

전술한 바와 같이, 기판 구조물은 초임계 이산화탄소 및 메탄올, 에탄올 및/또는 이들의 조합과 같은 1종 이상의 용매를 포함하는 초임계 용액을 사용함으로써 그 위의 저-k 유전 재료 층을 패시베이팅하기 전에 건조 및/또는 전처리될 수 있다. 또한, 전술한 바와 같이, 공용매와 함께 또는 이를 사용하지 않으면서 초임계 이산화탄소를 포함하는 초임계 용액으로 저-k 유전 재료 층을 전처리하면 저-k 유전 재료 층의 표면 상의 실릴기의 범위를 개선시키는 것으로 보여진다. 또한, 에칭-후 잔류물 및/또는 패턴화된 저-k 유전 재료 층을 포함하는 웨이퍼는 임의의 개수의 세정 및 패시베이팅 단계 및/또는 순서로 처리될 수 있음은 당해 분야의 숙련자에게 자명할 것이다.As described above, the substrate structure may passivate a low-k dielectric material layer thereon by using a supercritical solution comprising supercritical carbon dioxide and one or more solvents such as methanol, ethanol and / or combinations thereof. Prior to drying and / or pretreatment. In addition, as described above, pretreatment of a low-k dielectric material layer with a supercritical solution comprising supercritical carbon dioxide with or without a cosolvent will reduce the range of silyl groups on the surface of the low-k dielectric material layer. It seems to improve. It will also be apparent to those skilled in the art that wafers comprising post-etch residues and / or patterned low-k dielectric material layers may be processed in any number of cleaning and passivating steps and / or sequences. something to do.

저-유전 재료를 패시베이팅시키는 방법이 에칭-후 처리 및/또는 에칭-후 세정 처리와 관련하여 본원에 이전에 기재되어 있지만, 본 발명의 방법은 저-k 유전 재료를 직접 패시베이팅하는데 사용될 수 있음을 당해 분야의 숙련자는 이해할 것이다. 또한, 저-k 유전 재료의 처리시 본 발명의 방법에 따르면, 초임계 헹굼 단계는 항상 필수적인 것은 아니며, 저-k 유전 재료를 초임계 패시베이팅 용액으로 처리하기 전 간단히 저-k 유전 재료를 건조시키는 것이 일부 경우 적합할 것임이 이해될 것이다.Although methods for passivating low-dielectric materials have been previously described herein with respect to post-etch treatments and / or post-etch cleaning treatments, the methods of the present invention are directed to directly passivating low-k dielectric materials. Those skilled in the art will understand that it can be used. In addition, according to the method of the present invention in the treatment of low-k dielectric materials, the supercritical rinsing step is not always necessary, and the low-k dielectric material may simply be treated before the low-k dielectric material is treated with the supercritical passivating solution. It will be appreciated that drying will be suitable in some cases.

Claims (41)

(a) 저-k 유전 재료 표면을 초임계 실릴화제로 처리하여 패시베이팅된 저-k 유전 재료 표면을 형성하고,(a) treating the low-k dielectric material surface with a supercritical silylating agent to form a passivated low-k dielectric material surface, (b) 상기 저-k 유전 재료 표면을 초임계 실릴화제로 처리한 후, 초임계 실릴화제를 제거하고,(b) treating the low-k dielectric material surface with a supercritical silylating agent, and then removing the supercritical silylating agent, (c) 상기 패시베이팅된 저-k 유전 재료 표면을 초임계 용매로 처리하고,(c) treating the passivated low-k dielectric material surface with a supercritical solvent, (d) 상기 패시베이팅된 저-k 유전 재료 표면을 초임계 용매로 처리한 후, 초임계 용매를 제거하는 것을 포함하고,(d) treating the passivated low-k dielectric material surface with a supercritical solvent, followed by removing the supercritical solvent, 상기 패시베이팅된 저-k 유전 재료 표면이 초임계 실릴화제 및 초임계 용매에 의해 적어도 부분적으로 패시베이팅되는, 저-k 유전 재료 표면의 처리 방법.Wherein the passivated low-k dielectric material surface is at least partially passivated by a supercritical silylating agent and a supercritical solvent. 제 1 항에 있어서,The method of claim 1, 상기 초임계 실릴화제가 초임계 CO2, 및 유기기를 포함하는 소정량의 실릴화제를 포함하는, 저-k 유전 재료 표면의 처리 방법.Wherein the supercritical silylating agent comprises a supercritical CO 2 , and a predetermined amount of silylating agent comprising an organic group. 제 2 항에 있어서,The method of claim 2, 상기 유기기가 5개 이하의 탄소원자를 포함하는, 저-k 유전 재료 표면의 처리 방법.And wherein said organic group comprises up to 5 carbon atoms. 제 1 항에 있어서,The method of claim 1, 상기 초임계 용매가 초임계 CO2 및 산과 불화물의 혼합물을 포함하는, 저-k 유전 재료 표면의 처리 방법.Wherein the supercritical solvent comprises supercritical CO 2 and a mixture of acid and fluoride. 제 4 항에 있어서,The method of claim 4, wherein 상기 산이 유기 산을 포함하는, 저-k 유전 재료 표면의 처리 방법.And wherein said acid comprises an organic acid. 제 4 항에 있어서,The method of claim 4, wherein 상기 산이 무기 산을 포함하는, 저-k 유전 재료 표면의 처리 방법.And wherein said acid comprises an inorganic acid. 제 1 항에 있어서,The method of claim 1, 상기 초임계 실릴화제가 하기 식의 구조를 갖는 실레인인, 저-k 유전 재료 표면의 처리 방법.And said supercritical silylating agent is a silane having a structure of the following formula. (R1);(R2);(R3)SiNH(R4)(R 1 ); (R 2 ); (R 3 ) SiNH (R 4 ) (상기 식에서, R1, R2, R3은 독립적으로 H, 알킬, 아릴, 프로필, 페닐, F, Cl, Br 및 I로 이루어진 군으로부터 선택되고, R4는 H, 알킬, 아릴, 프로필 및 페닐로 이루어진 군으로부터 독립적으로 선택되거나 (SiR1R2R3)일 수 있다)Wherein R 1 , R 2 , R 3 are independently selected from the group consisting of H, alkyl, aryl, propyl, phenyl, F, Cl, Br and I, and R 4 is H, alkyl, aryl, propyl and May be independently selected from the group consisting of phenyl or (SiR 1 R 2 R 3 )) 제 1 항에 있어서,The method of claim 1, 상기 초임계 실릴화제가 담체 용매를 추가로 포함하는, 저-k 유전 재료 표면의 처리 방법.And the supercritical silylating agent further comprises a carrier solvent. 제 8 항에 있어서,The method of claim 8, 상기 담체 용매가 N,N-다이메틸아세트아마이드(DMAC), 감마-뷰티롤아세톤(BLO), 다이메틸 설폭사이드(DMSO), 에틸렌 카보네이트(EC), N-메틸피롤리돈(NMP), 다이메틸피페리돈, 프로필렌 카보네이트 및 알콜로 이루어진 군으로부터 선택되는, 저-k 유전 재료 표면의 처리 방법.The carrier solvent is N, N-dimethylacetamide (DMAC), gamma-butyrolacetone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), di A method of treating a low-k dielectric material surface, selected from the group consisting of methylpiperidone, propylene carbonate and alcohol. 제 1 항에 있어서,The method of claim 1, 상기 저-k 유전 재료 표면이 25 내지 200℃ 범위의 온도에서 유지되는, 저-k 유전 재료 표면의 처리 방법.And the low-k dielectric material surface is maintained at a temperature in the range of 25 to 200 ° C. 제 1 항에 있어서,The method of claim 1, 상기 저-k 유전 재료 표면을 초임계 실릴화제로 처리하는 것이 저-k 유전 재료 표면 상에 초임계 실릴화제를 순환시키는 것을 포함하는, 저-k 유전 재료 표면의 처리 방법.Treating the low-k dielectric material surface with a supercritical silylating agent comprises circulating the supercritical silylating agent on the low-k dielectric material surface. 제 1 항에 있어서,The method of claim 1, 상기 저-k 유전 재료 표면을 초임계 용매로 처리하는 것이 저-유전 재료 표면 상에 초임계 용매를 순환시키는 것을 포함하는, 저-k 유전 재료 표면의 처리 방법.Treating the low-k dielectric material surface with a supercritical solvent comprises circulating a supercritical solvent on the low-k dielectric material surface. 제 1 항에 있어서,The method of claim 1, 상기 초임계 실릴화제가 700 내지 9,000 psi 범위 압력으로 유지되는, 저-k 유전 재료 표면의 처리 방법.Wherein the supercritical silylating agent is maintained at a pressure in the range from 700 to 9,000 psi. 제 1 항에 있어서,The method of claim 1, 상기 저-k 유전 재료 표면을 초임계 용액으로 처리하기 전에 저-k 유전 재료 표면을 건조시키는 것을 추가로 포함하는 저-k 유전 재료 표면의 처리 방법.Further comprising drying the low-k dielectric material surface prior to treating the low-k dielectric material surface with a supercritical solution. 제 14 항에 있어서,The method of claim 14, 상기 저-k 유전 재료 표면을 건조시키는 것이 저-k 유전 재료 표면을 초임계 이산화탄소를 포함하는 초임계 건조 용액으로 처리하는 것을 포함하는, 저-k 유전 재료 표면의 처리 방법.Drying the low-k dielectric material surface comprises treating the low-k dielectric material surface with a supercritical dry solution comprising supercritical carbon dioxide. 제 1 항에 있어서,The method of claim 1, 상기 저-k 유전 재료 표면이 산화규소를 포함하는, 저-k 유전 재료 표면의 처리 방법.And the low-k dielectric material surface comprises silicon oxide. 제 1 항에 있어서,The method of claim 1, 상기 저-k 유전 재료 표면이 탄소-도핑된 산화물(COD), 스핀-온 글라스(SOG) 및 불화 규소 유리(FSG)로 이루어진 군으로부터 선택된 재료를 포함하는, 저-k 유전 재료 표면의 처리 방법.Wherein the low-k dielectric material surface comprises a material selected from the group consisting of carbon-doped oxide (COD), spin-on glass (SOG), and silicon fluoride glass (FSG). . (a) 제 1 초임계 세정 용액을 사용하여 에칭-후 잔류물을 유전성 표면으로부터 제거하고,(a) removing the post-etch residue from the dielectric surface using a first supercritical cleaning solution, (b) 상기 유전성 표면을 제 2 초임계 세정 용액에 존재하는 실릴화제로 처리하여 패시베이팅된 유전성 표면을 형성하고,(b) treating said dielectric surface with a silylating agent present in a second supercritical cleaning solution to form a passivated dielectric surface, (c) 상기 패시베이팅된 유전성 표면을 제 3 초임계 세정 용액에 존재하는 용매로 처리하는 것을 포함하는 유전성 표면의 처리 방법.(c) treating the passivated dielectric surface with a solvent present in a third supercritical cleaning solution. 제 18 항에 있어서,The method of claim 18, 상기 잔류물이 중합체를 포함하는, 유전성 표면의 처리 방법.And the residue comprises a polymer. 제 19 항에 있어서,The method of claim 19, 상기 중합체가 포토레지스트 중합체인, 유전성 표면의 처리 방법.And the polymer is a photoresist polymer. 제 20 항에 있어서,The method of claim 20, 상기 포토레지스트 중합체가 반사 방지 염료를 포함하는, 유전성 표면의 처리 방법.And the photoresist polymer comprises an antireflective dye. 제 18 항에 있어서,The method of claim 18, 상기 유전성 표면이 산화규소를 포함하는, 유전성 표면의 처리 방법.And the dielectric surface comprises silicon oxide. 제 18 항에 있어서,The method of claim 18, 상기 유전성 표면이 저-k 유전 재료를 포함하는, 유전성 표면의 처리 방법.And the dielectric surface comprises a low-k dielectric material. 제 18 항에 있어서,The method of claim 18, 상기 유전성 표면이 탄소-도핑된 산화물(COD), 스핀-온 글라스(SOG) 및 불화 규소 유리(FSG)로 이루어진 군으로부터 선택된 재료를 포함하는, 유전성 표면의 처리 방법.And the dielectric surface comprises a material selected from the group consisting of carbon-doped oxide (COD), spin-on glass (SOG) and silicon fluoride glass (FSG). 제 18 항에 있어서,The method of claim 18, 에칭-후 잔류물이 반사 방지 코팅을 포함하는, 유전성 표면의 처리 방법.The post-etch residue comprises an antireflective coating. 제 18 항에 있어서,The method of claim 18, 상기 실릴화제가 유기규소 화합물을 포함하는, 유전성 표면의 처리 방법.And the silylating agent comprises an organosilicon compound. 제 18 항에 있어서,The method of claim 18, 상기 용매가 초임계 CO2, 및 산과 불화물의 혼합물을 포함하는, 유전성 표면의 처리 방법.And the solvent comprises a supercritical CO 2 , and a mixture of acid and fluoride. 제 26 항에 있어서,The method of claim 26, 유기규소 화합물이 하기 식의 구조를 갖는 실레인인, 유전성 표면의 처리 방법.A method for treating a dielectric surface, wherein the organosilicon compound is a silane having a structure of the following formula. (R1);(R2);(R3)SiNH(R4)(R 1 ); (R 2 ); (R 3 ) SiNH (R 4 ) (상기 식에서, R1, R2, R3은 독립적으로 H, 알킬, 아릴, 프로필, 페닐, F, Cl, Br 및 I로 이루어진 군으로부터 선택되고, R4는 H, 알킬, 아릴, 프로필 및 페닐로 이루어진 군으로부터 독립적으로 선택되거나 (SiR1R2R3)일 수 있다)Wherein R 1 , R 2 , R 3 are independently selected from the group consisting of H, alkyl, aryl, propyl, phenyl, F, Cl, Br and I, and R 4 is H, alkyl, aryl, propyl and May be independently selected from the group consisting of phenyl or (SiR 1 R 2 R 3 )) (a) 저-k 유전 재료의 연속 층을 침착시키고,(a) depositing a continuous layer of low-k dielectric material, (b) 상기 저-k 유전 재료의 연속 층 상에 포토레지스트 마스크를 형성하고,(b) forming a photoresist mask on the continuous layer of low-k dielectric material, (c) 상기 저-k 유전 재료의 연속 층을 포토레지스트 마스크를 통해 패턴화함으로써 에칭-후 잔류물을 형성하고,(c) patterning the continuous layer of low-k dielectric material through a photoresist mask to form post-etch residues, (d) 에칭-후 잔류물 중 일부를 초임계 이산화탄소 및 패시베이팅제를 포함하는 초임계 용액을 이용하여 제거하고,(d) some of the post-etch residues are removed using a supercritical solution comprising supercritical carbon dioxide and a passivating agent, (e) 잔존하는 에칭-후 잔류물을 산 및 불화물 용액을 포함하는 초임계 용매를 이용하여 제거하는 것을 포함하는, (e) removing the remaining post-etch residue using a supercritical solvent comprising an acid and fluoride solution, 패턴화된 저-k 유전 재료 층의 형성 방법.A method of forming a patterned low-k dielectric material layer. 제 29 항에 있어서,30. The method of claim 29, 상기 초임계 용액이 초임계 이산화탄소를 포함하는, 패턴화된 저-k 유전 재료 층의 형성 방법.And the supercritical solution comprises supercritical carbon dioxide. 제 29 항에 있어서,30. The method of claim 29, 상기 초임계 용매가 초임계 이산화탄소를 추가로 포함하는, 패턴화된 저-k 유전 재료 층의 형성 방법.And the supercritical solvent further comprises supercritical carbon dioxide. 제 29 항에 있어서,30. The method of claim 29, 상기 패시베이팅제가 규소계인, 패턴화된 저-k 유전 재료 층의 형성 방법.Wherein the passivating agent is silicon-based. 제 32 항에 있어서,33. The method of claim 32, 상기 규소계 패시베이팅제가 유기규소 화합물을 포함하는, 패턴화된 저-k 유전 재료 층의 형성 방법.And wherein said silicon-based passivating agent comprises an organosilicon compound. (a) 유전 재료 층을 패턴화하여 제 1 k 값을 갖는 패턴화된 유전 재료 층을 형성하고,(a) patterning the dielectric material layer to form a patterned dielectric material layer having a first k value, (b) 상기 패턴화된 유전 재료 층을 패시베이팅제로 패시베이팅하여 제 2 k 값을 갖는 패턴화된 감소된 저-k 유전 재료 층을 형성하고,(b) passivating the patterned dielectric material layer with a passivating agent to form a patterned reduced low-k dielectric material layer having a second k value, (c) 상기 패턴화된 감소된 저-k 유전 재료 층을 초임계 세정 용매로 처리하는 것을 포함하는,(c) treating the patterned reduced low-k dielectric material layer with a supercritical cleaning solvent, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.A method of forming a layer of dielectric material having a reduced k value. 제 34 항에 있어서,The method of claim 34, wherein 상기 제 1 k 값이 3.0 초과인, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.And a method of forming a dielectric material layer having a reduced k value, wherein said first k value is greater than 3.0. 제 34 항에 있어서,The method of claim 34, wherein 상기 제 2 k 값이 3.0 미만인, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.And wherein said second k value is less than 3.0. 제 34 항에 있어서,The method of claim 34, wherein 제 1 k 값과 제 2 k 값이 1.0 이상 차이나는, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.A method of forming a layer of dielectric material having a reduced k value, wherein the first k value and the second k value differ by at least 1.0. 제 34 항에 있어서,The method of claim 34, wherein 유전 재료가 산화규소 성분 및 탄화수소 성분을 포함하는, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.A method of forming a layer of dielectric material having a reduced k value, wherein the dielectric material comprises a silicon oxide component and a hydrocarbon component. 제 34 항에 있어서,The method of claim 34, wherein 상기 패시베이팅제가 유기기를 포함하는 실릴화제인, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.And wherein said passivating agent is a silylating agent comprising organic groups. 제 34 항에 있어서,The method of claim 34, wherein 초임계 세정 용매가 산과 불화물 용액인, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.A method of forming a layer of dielectric material having a reduced k value, wherein the supercritical cleaning solvent is an acid and fluoride solution. 제 34 항에 있어서,The method of claim 34, wherein 상기 초임계 세정 용매가 0.1 내지 15.0 부피%인, 저감된 k 값을 갖는 유전 재료 층의 형성 방법.And wherein said supercritical cleaning solvent is from 0.1 to 15.0 volume percent.
KR1020047016321A 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning KR100969027B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US37282202P 2002-04-12 2002-04-12
US60/372,822 2002-04-12
PCT/US2003/011012 WO2003087936A1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Publications (2)

Publication Number Publication Date
KR20040111507A KR20040111507A (en) 2004-12-31
KR100969027B1 true KR100969027B1 (en) 2010-07-09

Family

ID=29250913

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047016321A KR100969027B1 (en) 2002-04-12 2003-04-11 Method of treatment of porous dielectric films to reduce damage during cleaning

Country Status (7)

Country Link
EP (1) EP1495366A1 (en)
JP (1) JP4424998B2 (en)
KR (1) KR100969027B1 (en)
CN (2) CN100335969C (en)
AU (1) AU2003226048A1 (en)
TW (1) TWI272693B (en)
WO (1) WO2003087936A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10850985B2 (en) 2018-08-03 2020-12-01 Samsung Electronics Co., Ltd. Method of forming nanocrystalline graphene, and device including nanocrystalline graphene

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100335969C (en) * 2002-04-12 2007-09-05 东京毅力科创株式会社 Method of treatment of porous dielectric films to reduce damage during cleaning
US20050158664A1 (en) * 2004-01-20 2005-07-21 Joshua Tseng Method of integrating post-etching cleaning process with deposition for semiconductor device
JP4630077B2 (en) 2005-01-27 2011-02-09 日本電信電話株式会社 Resist pattern forming method
JP4555698B2 (en) * 2005-01-27 2010-10-06 日本電信電話株式会社 Resist pattern forming method
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
WO2006113222A2 (en) * 2005-04-15 2006-10-26 Advanced Technology Materials, Inc. Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP5247999B2 (en) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 Substrate processing method and computer-readable storage medium
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5173396B2 (en) * 2007-12-25 2013-04-03 大陽日酸株式会社 Insulation film damage recovery method
JP6151484B2 (en) 2012-06-11 2017-06-21 東京応化工業株式会社 Lithographic cleaning liquid and wiring forming method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001929A1 (en) 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20030036023A1 (en) 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
GB2262465A (en) * 1991-12-16 1993-06-23 Secr Defence Casting of aluminium-lithium alloys
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
CN100335969C (en) * 2002-04-12 2007-09-05 东京毅力科创株式会社 Method of treatment of porous dielectric films to reduce damage during cleaning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20020001929A1 (en) 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20030036023A1 (en) 2000-12-12 2003-02-20 Moreau Wayne M. Supercritical fluid(SCF) silylation process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10850985B2 (en) 2018-08-03 2020-12-01 Samsung Electronics Co., Ltd. Method of forming nanocrystalline graphene, and device including nanocrystalline graphene

Also Published As

Publication number Publication date
EP1495366A1 (en) 2005-01-12
CN100335969C (en) 2007-09-05
TWI272693B (en) 2007-02-01
WO2003087936A1 (en) 2003-10-23
CN101005024A (en) 2007-07-25
CN1646990A (en) 2005-07-27
CN101005024B (en) 2011-06-08
TW200308051A (en) 2003-12-16
KR20040111507A (en) 2004-12-31
JP4424998B2 (en) 2010-03-03
AU2003226048A1 (en) 2003-10-27
JP2005522737A (en) 2005-07-28

Similar Documents

Publication Publication Date Title
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7270941B2 (en) Method of passivating of low dielectric materials in wafer processing
US7553769B2 (en) Method for treating a dielectric film
JP3771496B2 (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide method
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
US6680164B2 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
KR100969027B1 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
WO2004105093A2 (en) Tetra-organic ammonium fluoride and hf in supercritical fluid for photoresist and residue removal
KR100505693B1 (en) Cleaning method of photoresist or organic material from microelectronic device substrate
US20050158667A1 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
US8017568B2 (en) Cleaning residues from semiconductor structures
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
TW500985B (en) Removal of photoresist and residue from substrate using supercritical carbon dioxide process
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee