KR100893675B1 - Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same - Google Patents

Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same Download PDF

Info

Publication number
KR100893675B1
KR100893675B1 KR1020070046166A KR20070046166A KR100893675B1 KR 100893675 B1 KR100893675 B1 KR 100893675B1 KR 1020070046166 A KR1020070046166 A KR 1020070046166A KR 20070046166 A KR20070046166 A KR 20070046166A KR 100893675 B1 KR100893675 B1 KR 100893675B1
Authority
KR
South Korea
Prior art keywords
amorphous carbon
carbon film
film
substrate
hydrocarbon compound
Prior art date
Application number
KR1020070046166A
Other languages
Korean (ko)
Other versions
KR20080100065A (en
Inventor
박근오
Original Assignee
주식회사 테스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 테스 filed Critical 주식회사 테스
Priority to KR1020070046166A priority Critical patent/KR100893675B1/en
Publication of KR20080100065A publication Critical patent/KR20080100065A/en
Application granted granted Critical
Publication of KR100893675B1 publication Critical patent/KR100893675B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

본 발명은 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의 제조 방법에 관한 것이다.The present invention relates to an amorphous carbon film forming method and a semiconductor device manufacturing method using the same.

본 발명에 의하면 액체 상태의 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 등을 적어도 하나 이상 포함하는 탄화 수소 화합물을 기화시킨 소오스 가스를 이용하여 400∼1000W의 높은 고주파 파워를 인가하여 낮은 기판 온도에서 PECVD 방식으로 비정질 탄소막을 형성한다.According to the present invention, a hydrocarbon compound containing at least one or more liquid octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 H 22 ), or the like is vaporized. A high-frequency power of 400-1000 W is applied using the source gas thus formed to form an amorphous carbon film at a low substrate temperature by PECVD.

따라서, 비정질 탄소막을 하부 재료층의 식각시 마스크로 이용하기 위한 하드 마스크막으로 이용함으로써 하부 재료층과의 높은 식각 선택비를 갖을 수 있어 하부 재료층이 식각되기 이전에 하드 마스크막이 쓰러지는 현상을 방지할 수 있다.Therefore, by using the amorphous carbon film as a hard mask film for use as a mask for etching the lower material layer, it is possible to have a high etching selectivity with the lower material layer, thereby preventing the hard mask film from falling down before the lower material layer is etched. can do.

비정질 탄소막, 탄화 수소 화합물, 고주파 파워, 기판 온도 Amorphous carbon film, hydrocarbon compound, high frequency power, substrate temperature

Description

비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의 제조 방법{Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same}Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same}

도 1은 본 발명의 일 실시 예에 따른 비정질 탄소막을 형성하기 위한 장치의 개략 단면도.1 is a schematic cross-sectional view of an apparatus for forming an amorphous carbon film according to one embodiment of the present invention.

도 2는 본 발명의 일 실시 예에 따른 비정질 탄소막 형성 방법의 개략적은 공정 흐름도.2 is a schematic process flowchart of an amorphous carbon film forming method according to an embodiment of the present invention.

도 3(a) 및 도 3(b)는 본 발명에 따라 형성된 비정질 탄소막의 고주파 전력에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프.3 (a) and 3 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the high frequency power of the amorphous carbon film formed according to the present invention.

도 4(a) 및 도 4(b)는 본 발명에 따라 형성된 비정질 탄소막의 압력에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프.4 (a) and 4 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the pressure of the amorphous carbon film formed according to the present invention.

도 5(a) 및 도 5(b)는 본 발명에 따라 형성된 비정질 탄소막의 탄화 수소 화합물의 양에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프.5 (a) and 5 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the amount of hydrocarbon compound of the amorphous carbon film formed according to the present invention.

도 6(a) 및 도 6(b)는 본 발명에 따라 형성된 비정질 탄소막의 기판과 샤워헤드 사이의 거리에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프. 6 (a) and 6 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the distance between the showerhead and the substrate of the amorphous carbon film formed according to the present invention.

도 7(a) 및 도 7(b)는 본 발명에 따라 형성된 비정질 탄소막의 기판 온도에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프.7 (a) and 7 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the substrate temperature of the amorphous carbon film formed according to the present invention.

도 8은 본 발명에 따라 형성된 비정질 탄소막과 이산화실리콘막의 고주파 파워에 따른 식각 선택비를 나타낸 그래프.8 is a graph showing the etching selectivity according to the high frequency power of the amorphous carbon film and the silicon dioxide film formed according to the present invention.

도 9(a) 내지 도 9(f)는 본 발명에 따른 비정질 탄소막을 반도체 소자의 제조 공정에 적용한 일 실시 예를 설명하기 위한 단면도.9 (a) to 9 (f) are cross-sectional views illustrating an example in which an amorphous carbon film according to the present invention is applied to a manufacturing process of a semiconductor device.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

110 : 반도체 기판 120 : 재료층110 semiconductor substrate 120 material layer

130 : 비정질 탄소막 140 : 감광막130: amorphous carbon film 140: photosensitive film

150 : 마스크150: mask

본 발명은 비정질 탄소막 형성 방법에 관한 것으로, 특히 높은 고주파 파워와 낮은 온도에서 탄화 수소 화합물을 이용한 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의 제조 방법에 관한 것이다.The present invention relates to a method of forming an amorphous carbon film, and more particularly, to an amorphous carbon film forming method using a hydrocarbon compound at a high high frequency power and a low temperature, and a method of manufacturing a semiconductor device using the same.

반도체 소자의 고집적화 및 고성능화에 따라 반도체 소자의 제조에 이용되는 재료 또는 공정 기술에 대한 요구가 매우 높아지고 있다. 특히, 반도체 소자의 고 집적화에 따른 소자 크기의 감소로 인하여 반도체 기판 상에 형성된 여러 층에 미세 패턴을 형성하는 방법에 대한 연구가 계속되고 있다. BACKGROUND ART With the higher integration and higher performance of semiconductor devices, the demand for materials or process technologies used in the manufacture of semiconductor devices is increasing. In particular, research on a method of forming a fine pattern on various layers formed on a semiconductor substrate has been continued due to the reduction in device size due to the high integration of semiconductor devices.

미세 패턴을 형성하기 위해 포토리소그래피(photolithography) 공정에 대한 요구가 증대됨에 따라 노광 광원의 파장이 점차 짧아지고 있다. 즉, 반도체 소자의 고집적화에 따라 노광 광원은 파장이 436nm, 365nm인 G-line, i-line으로부터 파장이 248㎚인 KrF 레이저(laser)나 193㎚인 ArF 레이저(laser)를 사용하며, 더욱 미세한 패턴 형성을 위하여 X-선이나 전자빔을 노광 광원으로 이용하기도 한다.As the demand for a photolithography process for forming fine patterns increases, the wavelength of an exposure light source is gradually shortened. That is, according to the high integration of the semiconductor device, the exposure light source uses a KrF laser having a wavelength of 248 nm or an ArF laser having a wavelength of 193 nm from a G-line having a wavelength of 436 nm and a 365 nm, or an i-line. X-rays or electron beams may be used as exposure light sources for pattern formation.

이와 같이 패턴 크기가 작아짐에 따라 패턴 해상력을 제어하기 위해 에너지에 민감한 감광막 패턴의 두께는 감소되어야 한다. 그러나, 감광막 패턴의 두께가 얇아지게 되면 감광막 패턴보다 두꺼운 하부 재료층이 식각되기 이전에 식각 용액 등에 의해 감광막 패턴이 먼저 제거되어 하부 재료층 패턴을 형성할 수 없게 된다. 따라서, 패턴 형성을 위한 식각 공정시 공정 마진을 확보하기 위해 감광막 패턴 이외에 질화막 등의 하드 마스크막(hard mask film)를 하부 재료층 상부에 추가적으로 형성한다.As the pattern size decreases as described above, the thickness of the energy-sensitive photoresist pattern must be reduced to control the pattern resolution. However, when the thickness of the photoresist pattern becomes thin, the photoresist pattern is first removed by an etching solution or the like before the lower material layer thicker than the photoresist pattern is etched, so that the lower material layer pattern cannot be formed. Accordingly, a hard mask film, such as a nitride film, is additionally formed on the lower material layer in addition to the photoresist pattern to secure a process margin during the etching process for forming the pattern.

고집적 반도체 소자, 예를들어 100나노 이하의 반도체 소자에서는 금속 배선의 폭 및 간격이 줄어들고, 이에 따른 저항 증가를 보상하기 위해 금속 배선의 높이가 증가하게 된다. 따라서, 금속층이 모두 식각되어 금속 배선이 형성되기 이전에 하드 마스크막이 식각되는 것을 방지하기 위해 하드 마스크막의 두께를 증가시키게 된다. 그러나, 하드 마스크막의 두께가 증가하게 되면 식각 공정시 하드 마스크막이 쓰러지는 현상이 발생하게 되어 반도체 소자의 중대한 결함을 발생시킨다. 따라서, 하드 마스크막의 밀도를 높여 하드 마스크막의 두께를 줄이기 위해 하드 마스크막을 높은 온도, 예를들어 550℃ 이상의 온도에서 증착하고 있다. 그러나, 하드 마스크막의 증착 온도를 증가시킬 경우 트랜지스터를 구성하기 위해 주입된 불순물이 확산되기 때문에 불순물의 농도와 위치가 변형되어 반도체 소자의 전기적 특성에 문제를 발생시킨다. 뿐만 아니라, 녹는점이 낮은 알루미늄이나 텅스텐 상부에 높은 온도에서 하드 마스크막을 형성할 경우 이들 금속층이 녹게 되는 문제점이 있다.In a highly integrated semiconductor device, for example, a semiconductor device of 100 nm or less, the width and spacing of the metal wiring are reduced, and the height of the metal wiring is increased to compensate for the increase in resistance. Therefore, the thickness of the hard mask layer is increased to prevent the hard mask layer from being etched before all of the metal layers are etched to form the metal lines. However, when the thickness of the hard mask film is increased, the hard mask film may collapse during the etching process, thereby causing a serious defect of the semiconductor device. Therefore, in order to increase the density of the hard mask film and to reduce the thickness of the hard mask film, the hard mask film is deposited at a high temperature, for example, a temperature of 550 ° C. or higher. However, when the deposition temperature of the hard mask film is increased, the impurities implanted to form the transistor are diffused, so that the concentration and position of the impurities are changed, which causes problems in the electrical characteristics of the semiconductor device. In addition, there is a problem that these metal layers are melted when a hard mask layer is formed at a high temperature on aluminum or tungsten having a low melting point.

또한, 단차가 형성된 부분에 하드 마스크막을 형성할 경우 단차 부위에 오버행이 발생되어 스텝커버러지(Step coverage)의 불량이 발생하고, 이는 식각 공정시 원하지 않는 부위의 식각을 유발시켜 소자의 불량을 유발하게 된다. In addition, when the hard mask layer is formed on the stepped portion, an overhang occurs in the stepped portion, thereby causing a step coverage defect, which causes etching of an unwanted portion during the etching process, thereby causing a defect of the device. Done.

그리고, 두께가 증가된 금속층 상부에 기존의 하드 마스크막을 형성할 경우 기존의 하드 마스크막은 광 흡수 계수(K)가 높아 금속층에 의한 난반사가 발생된다. 이러한 난반사에 의해 식각 공정시 감광막 패턴의 아랫 부분이 좁아지는 넥킹(necking) 현상이 발생하고, 감광막 아랫 부분이 완만하게 넓어지는 풋팅(footing) 현상이 발생하게 된다. 이러한 감광막 패턴을 사용하여 금속층을 패터닝하게 되면 패턴의 단면적이 감소하는데, 이는 패턴의 간격이 좁을수록 심하게 발생하고, 배선의 저항을 높게 하여 소자의 속도를 떨어뜨리고, 전자의 이동을 촉진시켜 소자의 신뢰성을 저하시키는 문제점이 있다. 따라서, 하드 마스크막의 난반사를 방지하기 위해 반사 방지막을 추가적으로 형성해야 한다.In addition, when the conventional hard mask layer is formed on the metal layer having an increased thickness, the conventional hard mask layer has high light absorption coefficient K, thereby causing diffuse reflection by the metal layer. Due to such diffuse reflection, a necking phenomenon occurs in which the lower portion of the photoresist pattern is narrowed during the etching process, and a footing phenomenon occurs in which the lower portion of the photoresist layer is gently widened. When the metal layer is patterned using such a photoresist pattern, the cross-sectional area of the pattern decreases, which occurs more severely as the pattern interval is narrower, and the resistance of the wiring is increased to decrease the speed of the device and to promote the movement of electrons. There is a problem of lowering reliability. Therefore, in order to prevent diffuse reflection of the hard mask film, an anti-reflection film should be additionally formed.

본 발명의 목적은 낮은 온도에서 비정질 탄소막을 형성함으로써 상기한 문제점을 해결할 수 있는 비정질 탄소막 형성 방법을 제공하는데 있다.An object of the present invention is to provide an amorphous carbon film forming method that can solve the above problems by forming an amorphous carbon film at a low temperature.

본 발명의 다른 목적은 낮은 광 흡수 계수를 갖고, 이로 인해 난반사를 방지할 수 있어 노광 공정시 반사 방지막이 필요없는 하드 마스크막을 형성할 수 있는 비정질 탄소막 형성 방법을 제공하는데 있다.Another object of the present invention is to provide an amorphous carbon film forming method which has a low light absorption coefficient and can thereby prevent diffuse reflection and form a hard mask film that does not require an antireflection film during an exposure process.

본 발명의 또다른 목적은 낮은 압력에서 이온의 직진성을 개선하여 스텝커버러지가 우수한 하드 마스크막을 형성할 수 있는 비정질 탄소막 형성 방법을 제공하는데 있다.Still another object of the present invention is to provide an amorphous carbon film forming method capable of forming a hard mask film having excellent step coverage by improving the linearity of ions at low pressure.

본 발명의 일 실시 예에 따른 비정질 탄소막 형성 방법은 기판을 챔버내에 로딩하는 단계; 및 상기 챔버내에 탄화 수소 화합물을 포함하는 소오스 가스를 공급하고 이온화시켜 상기 기판 상에 비정질 탄소막을 형성하는 단계를 포함하며, 상기 반응 소오스는 400 내지 1000W의 고주파 파워에 의해 이온화된다.According to one or more exemplary embodiments, a method of forming an amorphous carbon film includes loading a substrate into a chamber; And supplying and ionizing a source gas containing a hydrocarbon compound in the chamber to form an amorphous carbon film on the substrate, wherein the reaction source is ionized by a high frequency power of 400 to 1000W.

상기 탄화 수소 화합물은 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 등을 적어도 하나 이상 포함한다.The hydrocarbon compound includes at least one of octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 H 22 ), and the like.

상기 소오스 가스는 액체 상태의 상기 탄화 수소 화합물을 기화시켜 이용하며, 상기 액체 상태의 탄화 수소 화합물은 0.1g/min 내지 0.5g/min의 양으로 공급 된다.The source gas is used by vaporizing the hydrocarbon compound in a liquid state, and the liquid hydrocarbon compound is supplied in an amount of 0.1 g / min to 0.5 g / min.

상기 비정질 탄소막은 상기 챔버의 압력을 1Torr 내지 6Torr로 유지하여 형성한다.The amorphous carbon film is formed by maintaining the pressure of the chamber at 1 Torr to 6 Torr.

상기 챔버는 상기 소오스 가스를 공급받으며 상기 고주파 파워가 인가되어 상기 소오스 가스를 이온화시키는 샤워헤드를 포함한다.The chamber includes a showerhead supplied with the source gas and applied with the high frequency power to ionize the source gas.

상기 비정질 탄소막은 상기 샤워헤드와 상기 기판 사이가 200Mils 내지 600Mils의 거리를 유지하여 형성된다.The amorphous carbon film is formed by maintaining a distance of 200 Mils to 600 Mils between the showerhead and the substrate.

상기 비정질 탄소막은 1000 내지 5000Å/min의 두께로 형성된다.The amorphous carbon film is formed to a thickness of 1000 to 5000 Å / min.

상기 비정질 탄소막은 탄소 및 수소를 포함하며, 상기 탄소 대 상기 수소의 비율이 상기 고주파 파워, 상기 탄화 수소 화합물의 양, 상기 챔버 압력 및 상기 기판 온도에 따라 조절된다.The amorphous carbon film includes carbon and hydrogen, and the ratio of carbon to hydrogen is adjusted according to the high frequency power, the amount of hydrocarbon compound, the chamber pressure, and the substrate temperature.

상기 비정질 탄소막의 상기 수소 함량은 질소 또는 암모니아 가스를 더 유입시켜 조절한다.The hydrogen content of the amorphous carbon film is controlled by further introducing nitrogen or ammonia gas.

상기 비정질 탄소막은 1.7 내지 2.2의 굴절률과 0.001 내지 0.5의 광 흡수 계수를 갖는다.The amorphous carbon film has a refractive index of 1.7 to 2.2 and a light absorption coefficient of 0.001 to 0.5.

상기 비정질 탄소막은 이산화실리콘막과의 식각 선택비가 1대5 내지 1대40이며, 질화실리콘막과의 식각 선택비가 1대1 내지 1대20이다.The amorphous carbon film has an etching selectivity ratio of 1 to 5 to 1 40 with an silicon dioxide film, and an etching selectivity ratio with a silicon nitride film of 1 to 1 to 1 to 20.

상기 비정질 탄소막은 불활성 가스를 유입시켜 형성하여 증착 속도 및 식각 선택비가 조절된다.The amorphous carbon film is formed by introducing an inert gas to control the deposition rate and the etching selectivity.

본 발명의 다른 실시 예에 따른 반도체 소자의 제조 방법은 소정의 구조가 형성된 기판 상부에 재료층을 형성하는 단계; 상기 재료층이 형성된 상기 기판을 챔버내에 로딩하는 단계; 상기 챔버내에 탄화 수소 화합물을 이온화시켜 공급하고, 200 내지 400℃의 기판 온도에서 상기 기판 상에 비정질 탄소막을 형성하는 단계; 상기 비정질 탄소막 상부에 감광막 패턴을 형성한 후 상기 감광막 패턴을 식각 마스크로 상기 비정질 탄소막을 식각하는 단계; 노출된 상기 재료층을 식각한 후 상기 비정질 탄소막 및 감광막 패턴을 제거하는 단계를 포함한다.In another embodiment, a method of manufacturing a semiconductor device includes forming a material layer on a substrate on which a predetermined structure is formed; Loading the substrate on which the material layer is formed into a chamber; Ionizing and supplying a hydrocarbon compound into the chamber, and forming an amorphous carbon film on the substrate at a substrate temperature of 200 to 400 ° C .; Forming a photoresist pattern on the amorphous carbon layer, and then etching the amorphous carbon layer using the photoresist pattern as an etching mask; And removing the amorphous carbon film and the photoresist pattern after etching the exposed material layer.

상기 비정질 탄소막은 반응성 이온 식각에 의해 식각된다.The amorphous carbon film is etched by reactive ion etching.

상기 비정질 탄소막은 C4F8 플라즈마, 산소(O2) 플라즈마 및 오존(O3) 플라즈마를 각각 이용하거나 적어도 하나 이상 혼합하여 식각한다.The amorphous carbon film is etched using C 4 F 8 plasma, oxygen (O 2 ) plasma and ozone (O 3 ) plasma, respectively, or at least one of them.

이하, 첨부된 도면을 참조하여 본 발명의 실시 예를 상세히 설명하기로 한다.Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention;

도 1은 본 발명에 따른 비정질 탄소막을 형성하기 위한 증착 장치의 개략적인 단면도로서, 플라즈마 강화 기상 증착(Plasma Enhanced Chemical Vapor Deposition; PECVD) 장비의 개략 단면도이다.1 is a schematic cross-sectional view of a deposition apparatus for forming an amorphous carbon film according to the present invention, which is a schematic cross-sectional view of a plasma enhanced chemical vapor deposition (PECVD) equipment.

도 1을 참조하면, 증착 장치는 진공부(10), 챔버(20), 가스 공급부(30) 및 전원 공급부(40)를 포함한다.Referring to FIG. 1, the deposition apparatus includes a vacuum unit 10, a chamber 20, a gas supply unit 30, and a power supply unit 40.

진공부(10)는 펌프(11), 예를 들어 터보 분자 펌프(turbo molecular pump)와 밸브(12), 그리고 배기구(13)를 포함하여 챔버(20) 내부를 증착이 적합한 진공 상태로 유지시킨다. 또한, 진공부(10)는 챔버(20) 내부에 잔류하는 미반응 가스등을 배출하기 위해 이용된다.The vacuum section 10 includes a pump 11, for example a turbo molecular pump, a valve 12, and an exhaust port 13, to maintain the interior of the chamber 20 in a vacuum suitable for deposition. . In addition, the vacuum unit 10 is used to discharge the unreacted gas remaining in the chamber 20.

챔버(20)는 기판 지지대(21), 샤워헤드(22), 압력 측정기(23), 라이너(24) 및 펌프 플랫(Pump plat)(25)을 포함한다. 기판 지지대(21)는 챔버(20) 내부의 하부에 배치되어 비정질 탄소막을 형성하기 위한 기판(1)이 안착된다. 또한, 기판 지지대(21)는 기판(1)의 온도가 적정 온도 이상으로 올라가지 않도록 지속적으로 냉각수가 흐를 수 있도록 냉각수 유로가 설치될 수 있다. 샤워헤드(22)는 가스 공급부(30)로부터 소오스 가스를 공급받고, 전원 공급부(40)로부터 고주파 전원을 공급받는다. 따라서, 가스 공급부(30)를 통해 공급되어 샤워헤드(22)를 통해 분사된 소오스 가스는 전원 공급부(40)로부터 인가되는 고주파 전원에 의해 이온화되어 기판(1)상에 증착된다. 또한, 샤워헤드(22)는 챔버(22) 내벽과는 절연되어 있다. 압력 측정기(23)는 챔버(20)내의 압력을 측정하는데, 압력 측정기(23)에 의해 측정된 압력은 밸브(12)의 개방도 조절에 반영되며, 이로써 챔버(20)내의 압력을 적정 수준으로 유지할 수 있게 된다. 라이너(24)는 알루미늄 재질의 챔버(20) 내벽이 플라즈마에 의해 손상되거나 반응물이 챔버(20) 내벽에 증착되지 않도록 보호하기 위해 챔버(20) 내벽에 마련되며, 바람직하게는 세라믹 재질을 이용한다. 펌프 플랫(25)은 펌프(11)에 의해 배기구(13)를 통해 배출되는 잔류 가스가 균일하게 배기되도록 한다. 펌프 플랫(25)은 다수의 구멍이 형성된 판 형상으로 마련된다.The chamber 20 includes a substrate support 21, a showerhead 22, a pressure meter 23, a liner 24 and a pump plat 25. The substrate support 21 is disposed below the inside of the chamber 20 so that the substrate 1 for forming the amorphous carbon film is seated. In addition, the substrate support 21 may be provided with a cooling water flow path so that the cooling water continuously flows so that the temperature of the substrate 1 does not rise above a proper temperature. The shower head 22 receives a source gas from the gas supply unit 30, and receives a high frequency power from the power supply unit 40. Therefore, the source gas supplied through the gas supply unit 30 and injected through the shower head 22 is ionized by the high frequency power applied from the power supply unit 40 and deposited on the substrate 1. In addition, the shower head 22 is insulated from the inner wall of the chamber 22. The pressure gauge 23 measures the pressure in the chamber 20, and the pressure measured by the pressure gauge 23 is reflected in the opening degree control of the valve 12, thereby adjusting the pressure in the chamber 20 to an appropriate level. It can be maintained. The liner 24 is provided on the inner wall of the chamber 20 to protect the inner wall of the chamber 20 made of aluminum from being damaged by plasma or the reactant is not deposited on the inner wall of the chamber 20, and preferably, a ceramic material is used. The pump flat 25 allows the residual gas discharged through the exhaust port 13 by the pump 11 to be exhausted uniformly. The pump flat 25 is provided in a plate shape in which a plurality of holes are formed.

가스 공급부(30)는 기판(1)상에 비정질 탄소막을 형성하기 위해 필요한 액체 상태의 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 등을 적어도 하나 이상 포함하는 탄화 수소 화합물을 기화시키기 위한 기화기(31)와, 기화기(31)에 의해 기화된 탄화 수소 화합물 가스와 아르곤 가스를 포함하는 캐리어 가스를 챔버(20)내에 공급하는 가스 공급관(32)을 포함한다.The gas supply unit 30 is a liquid octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 ) required to form an amorphous carbon film on the substrate 1 Supplying a vaporizer 31 for vaporizing a hydrocarbon compound including at least one H 22 ) and the like, and a carrier gas containing a hydrocarbon compound gas and argon gas vaporized by the vaporizer 31 into the chamber 20. It includes a gas supply pipe (32).

전원 공급부(40)는 고주파 발생기(41) 및 정합기(42)를 포함하며, 샤워헤드(22)에 고주파 전원을 인가하여 소오스 가스가 이온화되어 기판(1) 상에 증착되도록 한다. 이러한 전원 공급부(40)는 고주파 발생기(41)가 400∼1000W의 고주파 파워를 인가받아 13.56㎒의 고주파가 발생되도록 한다.The power supply unit 40 includes a high frequency generator 41 and a matching unit 42, and applies a high frequency power to the showerhead 22 so that the source gas is ionized and deposited on the substrate 1. The power supply unit 40 is a high frequency generator 41 is applied to a high frequency power of 400 ~ 1000W to generate a high frequency of 13.56 MHz.

상기 증착 장비를 이용한 본 발명에 따른 비정질 탄소막 형성 방법을 도 2를 이용하여 설명하면 다음과 같다.A method of forming an amorphous carbon film according to the present invention using the deposition apparatus will be described with reference to FIG. 2.

S110 : 소정의 구조, 예를들어 금속 배선을 형성하기 위한 금속층, 게이트 전극을 형성하기 위한 도전층등이 형성된 기판(1)을 기판 지지대(21)에 장착하여 챔버(20) 내부로 로딩한다.S110: A substrate 1 having a predetermined structure, for example, a metal layer for forming metal wiring and a conductive layer for forming a gate electrode, is mounted on the substrate support 21 and loaded into the chamber 20.

S120 : 진공부(10)를 이용하여 챔버(20) 내부를 진공 상태로 만든다. S120: The chamber 20 is made into a vacuum state by using the vacuum unit 10.

S130 : 기화기(31)를 이용하여 액체 상태의 탄화 수소 화합물을 기체 상태로 기화시켜 가스 공급관(32)를 통해 공급한다.S130: The hydrocarbon compound in the liquid state is vaporized in the gas state using the vaporizer 31, and is supplied through the gas supply pipe 32.

S140 : 샤워헤드(22)에 전원 공급부(40)로부터 13.56㎒ 정도의 고주파(Radio Frequency; RF)가 인가되어 플라즈마가 생성된다. 따라서, 가스 공급부(30)를 통해 공급된 소오스 가스가 샤워헤드(22)를 통해 분사되고, 분사된 소오스 가스가 이온화되어 이온 및 라디컬이 생성된다. S140: A radio frequency (RF) of about 13.56 MHz is applied to the shower head 22 from the power supply unit 40 to generate plasma. Therefore, the source gas supplied through the gas supply unit 30 is injected through the shower head 22, and the injected source gas is ionized to generate ions and radicals.

S150 : 이렇게 생성된 이온 및 라디컬이 기판(1)상에 증착되어 비정질 탄소막이 형성된다.S150: The ions and radicals thus produced are deposited on the substrate 1 to form an amorphous carbon film.

여기서, 비정질 탄소막을 형성하기 위한 소오스 가스는 액체 상태의 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 등을 적어도 하나 이상 포함하는 탄화 수소 화합물을 기화기를 통해 기화시켜 이용한다. 소오스 가스를 운반하기 위한 캐리어 가스로는 아르곤 및 헬륨을 포함하는 불활성 가스를 이용한다. 여기서, 탄화 수소 화합물은 액체 상태로 0.1g/min∼0.5g/min의 양으로 공급된다. 또한, 캐리어 가스로 이용되는 불활성 가스는 플라즈마의 균일도와 비정질 탄소막의 두께 및 식각 선택비를 조절하기 위해 이용된다. 그리고, 비정질 탄소막 내의 수소 농도를 조절하기 위해 수소(H2) 및 암모니아(NH3)가스가 이용될 수 있다.Here, the source gas for forming the amorphous carbon film includes at least one of octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 H 22 ), and the like in a liquid state. The containing hydrocarbon compound is vaporized and used through a vaporizer. As a carrier gas for carrying the source gas, an inert gas including argon and helium is used. Here, the hydrocarbon compound is supplied in an amount of 0.1 g / min to 0.5 g / min in the liquid state. In addition, the inert gas used as the carrier gas is used to control the uniformity of the plasma and the thickness and etching selectivity of the amorphous carbon film. In addition, hydrogen (H 2 ) and ammonia (NH 3 ) gas may be used to adjust the concentration of hydrogen in the amorphous carbon film.

또한, 비정질 탄소막을 형성하기 위해 400∼1000W의 고주파 파워를 인가하여 발생된 13.56㎒의 고주파와, 1Torr∼6Torr의 챔버 압력과, 200Mils∼600Mils의 기판과 샤워헤드 사이의 거리를 유지하도록 하고, 비정질 탄소막이 1000∼5000Å/min의 두께로 형성되도록 한다. 고주파 파워를 높게 인가하여 비정질 탄소막을 형성하기 때문에 기판 온도를 종래보다 낮은 200∼400℃로 낮출 수 있다. 여기서, 고주파 파워를 400W 이하로 인가하면 기판 온도를 높여 공정을 진행하여야 하며, 고주파 파워를 1000W 이상으로 인가하면 굴절률(N) 및 광 흡수 계수(K)가 높아지므로 필요 로 하는 비정질 탄소막의 특성에 따라 고주파 파워를 400∼1000W로 조절하여 인가한다.In addition, in order to form an amorphous carbon film, a high frequency power of 13.56 MHz generated by applying a high frequency power of 400 to 1000 W, a chamber pressure of 1 Torr to 6 Torr, and a distance between the substrate of 200 to 600 Mils and the showerhead are maintained. The carbon film is formed to a thickness of 1000 to 5000 mW / min. Since the amorphous carbon film is formed by applying a high frequency power high, the substrate temperature can be lowered to 200 to 400 ° C., which is lower than that of the conventional art. In this case, when the high frequency power is applied at 400W or less, the process must be performed by raising the substrate temperature. When the high frequency power is applied at 1000W or higher, the refractive index (N) and the light absorption coefficient (K) are increased, so that the characteristics of the amorphous carbon film are required. Therefore, high frequency power is adjusted to 400 ~ 1000W.

비정질 탄소막은 수소를 포함하고 있으며, 탄소 대 수소의 비율은 9대1 내지 6대4로 조절할 수 있는데, 이는 고주파 파워, 탄화 수소 화합물의 양, 챔버 압력 및 기판 온도을 조절함으로써 조절할 수 있다. 즉 수소 비율을 높이기 위해서는 고주파 파워 및 온도를 낮추고, 챔버 압력 및 탄화 수소 화합물의 양을 높인다. 이와 반대로 수소 비율은 낮추기 위해서는 고주파 파워 및 온도를 높이고, 챔버 압력 및 탄화 수소 화합물의 양을 낮춘다.The amorphous carbon film contains hydrogen, and the ratio of carbon to hydrogen can be adjusted from 9 to 1 to 6 to 4, which can be controlled by adjusting high frequency power, amount of hydrocarbon compound, chamber pressure and substrate temperature. In other words, in order to increase the hydrogen ratio, the high frequency power and temperature are lowered, and the chamber pressure and the amount of hydrocarbon compound are increased. Conversely, in order to lower the hydrogen ratio, the high frequency power and temperature are increased, and the chamber pressure and the amount of hydrocarbon compound are lowered.

이러한 비정질 탄소막은 후속 식각 공정시 탄소 대 수소의 비율에 따라 하지막과의 식각 선택비가 조절되는데, 이산화실리콘막과는 1대5 내지 1대40의 식각 선택비를 갖으며, 질화실리콘막과는 1대1 내지 1대20의 식각 선택비를 갖는다.In the subsequent etching process, the etching selectivity with the underlying film is controlled according to the ratio of carbon to hydrogen in the subsequent etching process, and the silicon dioxide film has an etching selectivity of 1 to 5 to 1 to 40, and with the silicon nitride film It has an etching selectivity ratio of 1 to 1 to 1 to 20.

또한, 비정질 탄소막은 탄소 및 수소의 조성비에 따라 굴절율(N)과 광 흡수 계수(K)도 조절되는데, 수소의 조성비가 증가함에 따라 굴절율(N)과 광 흡수 계수(K)는 감소하게 된다. 예를들어 굴절율(N)은 1.7 내지 2.2로 조절할 수 있으며, 광 흡수 계수(K)는 0.001 내지 0.5로 조절할 수 있다.In addition, the refractive index (N) and the light absorption coefficient (K) of the amorphous carbon film are also adjusted according to the composition ratio of carbon and hydrogen, and the refractive index (N) and the light absorption coefficient (K) decrease as the composition ratio of hydrogen increases. For example, the refractive index N may be adjusted to 1.7 to 2.2, and the light absorption coefficient K may be adjusted to 0.001 to 0.5.

도 3(a) 및 도 3(b)는 본 발명에 따라 형성된 비정질 탄소막의 고주파 파워에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프이다. 도 3(a) 및 도 3(b)에 도시된 바와 같이 고주파 파워가 500W, 600W, 700W, 800W 및 1000W로 증가할수록 굴절률(N)이 증가하며, 광 흡수 계수(K)는 감소한다. 그런데, 도 3(a)에 도시된 바와 같이 파장에 따라 굴절률(N)은 400㎚까지는 점차 증가하다 그 이후로 감소하며, 도 3(b)에 도시된 바와 같이 파장에 따라 광 흡수 계수(K)는 점차 감소한다. 특히, 633nm 파장에서는 고주파 파워가 증가할수록 굴절율(N)이 약 1.93에서 약 2.2까지 증가하며, 광 흡수 계수(K)는 약 0.06에서 약 0.16까지 증가한다. 이때, 고주파 파워가 증가함에 따라 증착 두께는 증가하게 된다.3 (a) and 3 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the high frequency power of the amorphous carbon film formed according to the present invention. As shown in FIGS. 3A and 3B, as the high frequency power increases to 500W, 600W, 700W, 800W, and 1000W, the refractive index N increases, and the light absorption coefficient K decreases. However, as shown in FIG. 3 (a), the refractive index N gradually increases up to 400 nm according to the wavelength, and then decreases thereafter. As shown in FIG. 3 (b), the light absorption coefficient K according to the wavelength is shown. ) Gradually decreases. In particular, at 633 nm, as the high frequency power increases, the refractive index N increases from about 1.93 to about 2.2, and the light absorption coefficient K increases from about 0.06 to about 0.16. At this time, as the high frequency power increases, the deposition thickness increases.

도 4(a) 및 도 4(b)는 본 발명에 따라 형성된 비정질 탄소막의 압력에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프이다. 도 4(a) 및 도 4(b)에 도시된 바와 같이 압력이 1Torr, 2Torr, 3Torr, 4Torr 및 6Torr로 증가할수록 굴절률(N)은 감소하고, 광 흡수 계수(K) 또한 감소한다. 특히, 633nm 파장에서는 압력이 증가할수록 굴절률(N)은 약 1.82부터 약 1.08까지 감소하며, 광 흡수 계수(K)는 약 0.2부터 약 0.01까지 감소한다. 이때, 압력이 증가함에 따라 증착 두께는 증가하게 된다.4 (a) and 4 (b) are graphs showing changes in refractive index N and light absorption coefficient K according to the pressure of the amorphous carbon film formed according to the present invention. As shown in FIGS. 4A and 4B, as the pressure increases to 1 Torr, 2 Torr, 3 Torr, 4 Torr and 6 Torr, the refractive index N decreases and the light absorption coefficient K also decreases. In particular, at 633 nm, the refractive index N decreases from about 1.82 to about 1.08 as the pressure increases, and the light absorption coefficient K decreases from about 0.2 to about 0.01. At this time, as the pressure increases, the deposition thickness increases.

도 5(a) 및 도 5(b)는 본 발명에 따라 형성된 비정질 탄소막의 탄화 수소 화합물의 양에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프이다. 도 5(a) 및 도 5(b)에 도시된 바와 같이 탄화 수소 화합물의 양이 1g/min, 2g/min 및 3g/min으로 증가할수록 굴절률(N)은 감소하고, 광 흡수 계수(K) 또한 감소한다. 특히, 633nm 파장에서는 탄화 수소 화합물의 양이 증가할수록 굴절률(N)은 약 1.98부터 약 1.85까지 감소하며, 광 흡수 계수(K)는 약 0.1부터 약 0.03까지 감소한다. 이때, 탄화 수소 화합물의 양이 증가함에 따라 증착 두께는 증가하게 된다.5 (a) and 5 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the amount of hydrocarbon compound of the amorphous carbon film formed according to the present invention. As shown in FIGS. 5A and 5B, the refractive index N decreases as the amount of the hydrocarbon compound increases to 1 g / min, 2 g / min, and 3 g / min, and the light absorption coefficient (K). Also decreases. In particular, at 633 nm, the refractive index (N) decreases from about 1.98 to about 1.85 as the amount of hydrocarbon compound increases, and the light absorption coefficient (K) decreases from about 0.1 to about 0.03. In this case, as the amount of hydrocarbon compound increases, the deposition thickness increases.

도 6(a) 및 도 6(b)는 본 발명에 따라 형성된 비정질 탄소막의 기판과 샤워헤드 사이의 거리에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프이다. 도 6(a) 및 도 6(b)에 도시된 바와 같이 기판과 샤워헤드 사이의 거리가 270mils, 300mils, 350mils 및 500mils로 증가할수록 굴절률(N)은 감소하고, 광 흡수 계수(K) 또한 감소한다. 그런데, 도 6(a)에 도시된 바와 같이 파장에 따라 굴절률(N)은 400㎚의 파장까지는 점차 증가하다 그 이후로 서서히 감소하며, 도 6(b)에 도시된 바와 같이 파장에 따라 광 흡수 계수(K)는 점차 감소한다. 특히, 633nm 파장에서는 기판 지지대와 샤워헤드 사이의 거리가 증가할수록 굴절률(N)은 약 2.11부터 약 1.98까지 감소하며, 광 흡수 계수(K)는 약 0.11부터 약 0.06까지 감소한다. 이때, 기판 지지대와 샤워헤드 사이의 거리가 증가할수록 증착 두께는 증가하게 된다.6 (a) and 6 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the distance between the substrate and the showerhead of the amorphous carbon film formed according to the present invention. As shown in FIGS. 6 (a) and 6 (b), as the distance between the substrate and the showerhead increases to 270 mils, 300 mils, 350 mils and 500 mils, the refractive index N decreases and the light absorption coefficient K also decreases. do. However, as shown in FIG. 6 (a), the refractive index N gradually increases up to a wavelength of 400 nm according to the wavelength, and gradually decreases thereafter. As shown in FIG. The coefficient K gradually decreases. In particular, at 633 nm, the refractive index N decreases from about 2.11 to about 1.98 and the light absorption coefficient K decreases from about 0.11 to about 0.06 as the distance between the substrate support and the showerhead increases. In this case, as the distance between the substrate support and the showerhead increases, the deposition thickness increases.

도 7(a) 및 도 7(b)는 본 발명에 따라 형성된 비정질 탄소막의 기판 온도에 따른 굴절률(N) 및 광 흡수 계수(K)의 변화를 도시한 그래프이다. 도 7(a) 및 도 7(b)에 도시된 바와 같이 기판 온도가 300℃, 350℃ 및 400℃로 증가할수록 굴절률(N)은 증가하고, 광 흡수 계수(K)는 증가한다. 특히, 633nm 파장에서는 기판 온도가 증가할수록 굴절률(N)은 약 1.99부터 약 2.01까지 증가하며, 광 흡수 계수(K)는 약 0.045부터 약 0.075까지 증가한다. 이때, 기판 온도가 증가할수록 증착 두께 는 증가하게 된다.7 (a) and 7 (b) are graphs showing changes in refractive index (N) and light absorption coefficient (K) according to the substrate temperature of the amorphous carbon film formed according to the present invention. As shown in FIGS. 7A and 7B, as the substrate temperature increases to 300 ° C., 350 ° C., and 400 ° C., the refractive index N increases and the light absorption coefficient K increases. In particular, at 633 nm, the refractive index N increases from about 1.99 to about 2.01 as the substrate temperature increases, and the light absorption coefficient K increases from about 0.045 to about 0.075. At this time, as the substrate temperature increases, the deposition thickness increases.

도 8은 본 발명에 따라 형성된 비정질 탄소막과 이산화실리콘막의 고주파 파워에 따른 식각 선택비를 나타낸 그래프이다. 이는 반응성 이온 식각(Reactive Ion Etch; RIE) 방식의 식각 장비를 이용하여 13.56㎒의 고주파와 250W의 플라즈마 파워, 130V의 바이어스 전압, 그리고 CF4를 100sccm 유입시켜 플라즈마를 생성하는 식각 조건으로 30초 동안 비정질 탄소막과 이산화실리콘막을 식각한 결과이다. 도시된 바와 같이 비정질 탄소막을 형성하기 위한 고주파 파워가 증가할수록 비정질 탄소막과 이산화실리콘막의 식각 선택비가 증가하게 됨을 알 수 있다.8 is a graph showing the etching selectivity according to the high frequency power of the amorphous carbon film and the silicon dioxide film formed according to the present invention. This is an etching condition using a reactive ion etching (RIE) etching apparatus with an etching condition of 13.56 MHz high frequency, 250 W plasma power, 130 V bias voltage, and 100 sccm inflow of CF 4 to generate plasma. This is the result of etching the amorphous carbon film and the silicon dioxide film. As shown, as the high frequency power for forming the amorphous carbon film is increased, the etching selectivity of the amorphous carbon film and the silicon dioxide film is increased.

질화실리콘막의 경우에도 상기와 동일 식각 조건에서 이산화실리콘과 유사한 결과를 보여준다.In the case of the silicon nitride film, similar results to those of silicon dioxide were obtained under the same etching conditions.

상기와 같은 방법으로 형성된 비정질 탄소막을 반도체 소자의 제조 공정에서 하드 마스크로 적용할 수 있는데, 도 9(a) 내지 도 9(f)는 이러한 반도체 소자의 제조 방법을 설명하기 위해 순서적으로 도시한 단면도이다. 본 실시 예에서는 본 발명에 따른 비정질 탄소막은 광 흡구 계수가 낮기 때문에 반사 방지막을 별도로 형성하지 않고도 감광막의 정확한 패터닝이 가능하다.The amorphous carbon film formed by the above method can be applied as a hard mask in the manufacturing process of the semiconductor device. FIGS. 9 (a) to 9 (f) are sequentially shown to explain the manufacturing method of the semiconductor device. It is a cross section. In this embodiment, since the amorphous carbon film according to the present invention has a low light absorption coefficient, accurate patterning of the photoresist film is possible without separately forming an antireflection film.

먼저, 도 9(a)에 도시된 바와 같이, 반도체 기판(110) 상부에 패턴을 형성하고자 하는 재료층(120)을 형성한다. 여기서, 반도체 기판(110)의 반도체 소자의 제 조를 위해 소정의 구조, 예를들어 트랜지스터, 캐패시터, 다수의 금속 배선이 형성된 기판일 수 있다. 또한, 재료층(120)은 금속 배선을 형성하기 위한 금속 박막일 수 있으며, 층간 절연막 등으로 이용되는 이산화실리콘막 또는 질화실리콘막일 수 있는데, 재료층(120)은 단일층일 수도 있고, 복수의 막이 적층된 층일 수도 있다. First, as shown in FIG. 9A, a material layer 120 to form a pattern is formed on the semiconductor substrate 110. Here, the semiconductor substrate 110 may be a substrate on which a predetermined structure, for example, a transistor, a capacitor, and a plurality of metal wires are formed for manufacturing the semiconductor device of the semiconductor substrate 110. In addition, the material layer 120 may be a metal thin film for forming a metal wiring, and may be a silicon dioxide film or a silicon nitride film used as an interlayer insulating film. The material layer 120 may be a single layer, or a plurality of films may be formed. It may be a laminated layer.

다음으로, 도 9(b)에 도시된 바와 같이 재료층(120) 상부에 상술한 방법에 의해 비정질 탄소막(130)을 형성한다. 즉, 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 등을 적어도 하나 이상 포함하는 탄화 수소 화합물 가스와 아르곤 가스를 포함하는 캐리어 가스를 400∼1000W의 고주파 파워를 인가하여 생성된 13.56㎒이 고주파를 이용하여 플라즈마를 생성하고, 200∼400℃의 기판 온도에서 비정질 탄소막(130)을 형성한다. 이렇게 형성된 비정질 탄소막(130)은 재료층(120)과 높은 식각 선택비를 갖는 동시에 광 흡수 계수(K)가 낮은 하드 마스크막으로서의 역할을 하게 된다.Next, as shown in FIG. 9B, the amorphous carbon film 130 is formed on the material layer 120 by the above-described method. That is, a hydrocarbon compound gas containing at least one or more of octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 H 22 ) and the like and argon gas 13.56 MHz, generated by applying a high frequency power of 400 to 1000 W to the carrier gas, generates a plasma using a high frequency, and forms an amorphous carbon film 130 at a substrate temperature of 200 to 400 ° C. The amorphous carbon film 130 thus formed has a high etching selectivity with the material layer 120 and serves as a hard mask film having a low light absorption coefficient (K).

다음으로, 도 9(c)에 도시된 바와 같이 비정질 탄소막(130) 상부에 감광막(140)을 형성한 후 소정의 패턴이 각인된 마스크(150)를 통해 예를들어 ArF 레이저(A)를 조사하여 감광막(140)을 노광한다. 그리고, 도 9(d)에 도시된 바와 같이 감광막(140)의 노광된 부분을 현상액을 이용하여 현상한다.Next, as shown in FIG. 9C, after forming the photoresist layer 140 on the amorphous carbon film 130, for example, the ArF laser A is irradiated through the mask 150 having a predetermined pattern imprinted thereon. The photosensitive film 140 is exposed. As shown in FIG. 9D, the exposed portion of the photosensitive film 140 is developed using a developer.

다음으로, 도 9(e)에 도시된 바와 같이 패터닝된 감광막(140)을 식각 마스크로 하여 비정질 탄소막(130)을 식각한다. 이때, 비정질 탄소막(130)은 RF 플라즈마 또는 반응성 이온 식각(reactive ion etching; RIE)에 의해 식각한다. 여기서, 비 정질 탄소막(130)은 CF4 플라즈마, C4F8 플라즈마, 산소(O2) 플라즈마 및 오존(O3) 플라즈마를 각각 이용하거나 적어도 하나 이상 혼합하여 식각한다. 또한, 비정질 탄소막(130)은 산소와 NF3를 혼합하여 리모트 플라즈마 시스템(remote plasma system)을 이용하여 식각한다.Next, as shown in FIG. 9E, the amorphous carbon film 130 is etched using the patterned photosensitive film 140 as an etching mask. In this case, the amorphous carbon film 130 is etched by RF plasma or reactive ion etching (RIE). Here, the amorphous carbon film 130 is etched using a CF 4 plasma, a C 4 F 8 plasma, an oxygen (O 2 ) plasma and an ozone (O 3 ) plasma, or at least one of them. In addition, the amorphous carbon film 130 is mixed with oxygen and NF 3 to be etched using a remote plasma system.

다음으로, 도 9(f)에 도시된 바와 같이 감광막(140) 및 비정질 탄소막(130)을 식각 마스크로 재료층(120)을 식각한다. 이때, 재료층(120)에 따라 다양한 방법을 이용하여 식각한다. 그리고, 감광막(140) 및 비정질 탄소막(130)을 제거하여 재료층(120)을 이용한 패턴 형성을 완료한다.Next, as illustrated in FIG. 9F, the material layer 120 is etched using the photosensitive film 140 and the amorphous carbon film 130 as an etching mask. In this case, etching is performed using various methods according to the material layer 120. Then, the photosensitive film 140 and the amorphous carbon film 130 are removed to complete the pattern formation using the material layer 120.

이상, 본 발명을 바람직한 실시 예를 이용하여 상세히 설명하였으나, 본 발명의 범위는 특정 실시 예에 한정되는 것이 아니며, 첨부된 특허청구범위에 의하여 해석되어야 할 것이다. 또한, 이 기술 분야에서 통상의 지식을 습득한 자라면, 본 발명의 범위에서 벗어나지 않으면서도 많은 수정과 변형이 가능함을 이해하여야 할 것이다.As mentioned above, although this invention was demonstrated in detail using the preferable embodiment, the scope of the present invention is not limited to a specific embodiment, Comprising: It should be interpreted by the attached Claim. In addition, those skilled in the art should understand that many modifications and variations are possible without departing from the scope of the present invention.

상술한 바와 같이 본 발명에 의하면 액체 상태의 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 등을 적어도 하나 이상 포함하는 탄화 수소 화합물을 기화시킨 소오스 가스를 이용하여 400∼1000W의 높은 고주파 파워와 이로 인해 200∼ 400℃의 낮은 기판 온도에서 PECVD 방식으로 비정질 탄소막을 형성한다.As described above, according to the present invention, a carbonized solution containing at least one of octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 H 22 ), and the like in a liquid state The amorphous carbon film is formed by PECVD at a high frequency power of 400 to 1000 W and thereby a low substrate temperature of 200 to 400 ° C. using a source gas vaporized with a hydrogen compound.

상기 비정질 탄소막을 하부 재료층의 식각시 마스크로 이용하기 위한 하드 마스크막으로 이용함으로써 하부 재료층과의 높은 식각 선택비를 갖을 수 있어 하부 재료층이 식각되기 이전에 하드 마스크막이 쓰러지는 현상을 방지할 수 있다.By using the amorphous carbon film as a hard mask film for use as a mask for etching the lower material layer, the amorphous carbon film may have a high etching selectivity with the lower material layer, thereby preventing the hard mask film from falling down before the lower material layer is etched. Can be.

또한, 낮은 압력에서 플라즈마로 형성된 이온들의 직진성을 높여 줌으로써 소자의 단차 부위에 비정질 탄소막을 형성할 때 발생되는 오버행을 억제하여 스텝커버러지를 향상시킬 수 있고, 그에 따라 원치않는 영역의 식각을 방지할 수 있다.In addition, by increasing the linearity of the ions formed in the plasma at a low pressure can suppress the overhang generated when forming the amorphous carbon film in the stepped portion of the device to improve the step coverage, thereby preventing the etching of unwanted areas Can be.

그리고, 비정질 탄소막의 광 흡수 계수를 낮출 수 있어 하부 재료층의 난반사를 방지하기 위한 반사 방지막을 형성하지 않고도 포토리소그래피 공정을 수행할 수 있다.In addition, the light absorption coefficient of the amorphous carbon film can be lowered, and thus, a photolithography process can be performed without forming an antireflection film for preventing diffuse reflection of the lower material layer.

Claims (15)

소정의 구조가 형성된 기판 상부에 재료층을 형성하는 단계;Forming a material layer on the substrate on which the predetermined structure is formed; 상기 재료층이 형성된 상기 기판을 챔버내에 로딩하는 단계;Loading the substrate on which the material layer is formed into a chamber; 상기 챔버내에 탄화 수소 화합물을 포함하는 소오스 가스를 공급하고 이온화시켜 상기 기판 상에 비정질 탄소막을 형성하는 단계;Supplying and ionizing a source gas containing a hydrocarbon compound in the chamber to form an amorphous carbon film on the substrate; 상기 비정질 탄소막 상부에 감광막 패턴을 형성한 후 상기 감광막 패턴을 식각 마스크로 상기 비정질 탄소막을 식각하는 단계; 및Forming a photoresist pattern on the amorphous carbon layer, and then etching the amorphous carbon layer using the photoresist pattern as an etching mask; And 노출된 상기 재료층을 식각한 후 상기 비정질 탄소막 및 감광막 패턴을 제거하는 단계를 포함하며,Removing the amorphous carbon film and the photoresist pattern after etching the exposed material layer; 상기 탄화 수소 화합물은 400 내지 1000W의 고주파 파워에 의해 이온화되고, 상기 기판은 200 내지 400℃의 온도를 유지하는 반도체 소자의 제조 방법.The hydrocarbon compound is ionized by a high frequency power of 400 to 1000W, the substrate is a method of manufacturing a semiconductor device to maintain a temperature of 200 to 400 ℃. 제 1 항에 있어서, 상기 탄화 수소 화합물은 옥탄(C8H18), 톨루엔(C7H8), 핵산(C6H14), 데칸(C10H22) 중에서 선택된 적어도 하나 이상의 화합물을 포함하는 반도체 소자의 제조 방법.The method of claim 1, wherein the hydrocarbon compound comprises at least one compound selected from octane (C 8 H 18 ), toluene (C 7 H 8 ), nucleic acid (C 6 H 14 ), decane (C 10 H 22 ) The manufacturing method of the semiconductor element. 제 1 항에 있어서, 상기 소오스 가스는 액체 상태의 상기 탄화 수소 화합물을 기화시켜 이용하며, 상기 액체 상태의 탄화 수소 화합물은 0.1g/min 내지 0.5g/min의 양으로 공급되는 반도체 소자의 제조 방법.The method of claim 1, wherein the source gas is used by vaporizing the hydrocarbon compound in a liquid state, and the hydrocarbon compound in the liquid state is supplied in an amount of 0.1 g / min to 0.5 g / min. . 제 1 항에 있어서, 상기 비정질 탄소막은 상기 챔버의 압력을 1Torr 내지 6Torr로 유지하여 형성하는 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film is formed by maintaining a pressure of the chamber at 1 Torr to 6 Torr. 삭제delete 제 1 항에 있어서, 상기 비정질 탄소막은 상기 소오스 가스를 공급받으며 상기 고주파 파워가 인가되어 상기 소오스 가스를 이온화시키는 샤워헤드와 상기 기판 사이가 200Mils 내지 600Mils의 거리를 유지하여 형성되는 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film is supplied with the source gas and is supplied with the high frequency power to maintain a distance of 200 to 600 Mils between the showerhead and the substrate to ionize the source gas. . 제 1 항에 있어서, 상기 비정질 탄소막은 1000 내지 5000Å/min의 두께로 형성되는 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film is formed to a thickness of 1000 to 5000 kW / min. 삭제delete 제 1 항에 있어서, 상기 비정질 탄소막은 탄소 및 수소를 포함하며, 상기 수소 함량은 질소 또는 암모니아 가스를 더 유입시켜 조절하는 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film includes carbon and hydrogen, and the hydrogen content is controlled by further introducing nitrogen or ammonia gas. 제 1 항에 있어서, 상기 비정질 탄소막은 1.7 내지 2.2의 굴절률과 0.001 내지 0.5의 광 흡수 계수를 갖는 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film has a refractive index of 1.7 to 2.2 and a light absorption coefficient of 0.001 to 0.5. 제 1 항에 있어서, 상기 비정질 탄소막은 이산화실리콘막과의 식각 선택비가 1대5 내지 1대40이며, 질화실리콘막과의 식각 선택비가 1대1 내지 1대20인 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film has an etching selectivity of 1 to 5 to 1 40 and an etching selectivity of 1 to 1 to 20 with a silicon nitride film. 제 1 항에 있어서, 상기 비정질 탄소막은 불활성 가스를 유입시켜 형성하여 증착 속도 및 식각 선택비가 조절되는 반도체 소자의 제조 방법.The method of claim 1, wherein the amorphous carbon film is formed by introducing an inert gas to control a deposition rate and an etching selectivity. 삭제delete 삭제delete 삭제delete
KR1020070046166A 2007-05-11 2007-05-11 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same KR100893675B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070046166A KR100893675B1 (en) 2007-05-11 2007-05-11 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070046166A KR100893675B1 (en) 2007-05-11 2007-05-11 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same

Publications (2)

Publication Number Publication Date
KR20080100065A KR20080100065A (en) 2008-11-14
KR100893675B1 true KR100893675B1 (en) 2009-04-17

Family

ID=40286872

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070046166A KR100893675B1 (en) 2007-05-11 2007-05-11 Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same

Country Status (1)

Country Link
KR (1) KR100893675B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023191361A1 (en) * 2022-03-28 2023-10-05 솔브레인 주식회사 Thin film modification composition, method for forming thin film using same, and semiconductor substrate and semiconductor element, manufactured therefrom

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011378B2 (en) * 2019-07-01 2021-05-18 Micron Technology, Inc. Atom implantation for reduction of compressive stress
CN114231942A (en) * 2021-12-16 2022-03-25 浙江大学杭州国际科创中心 Preparation method of amorphous carbon film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004282064A (en) 2003-03-17 2004-10-07 Asm Japan Kk Method for forming of low specific dielectric constant film on semiconductor substrate by means of plasma reaction using high-frequency power
KR20050098546A (en) * 2004-04-07 2005-10-12 삼성전자주식회사 Semiconductor structure for forming pattern and method for forming pattern
JP2006270097A (en) 2005-03-22 2006-10-05 Asm Japan Kk Method of forming low dielectric constant film having stable film quality
KR20070004449A (en) * 2005-07-04 2007-01-09 가부시키가이샤 고베 세이코쇼 Method for forming amorphous carbon film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004282064A (en) 2003-03-17 2004-10-07 Asm Japan Kk Method for forming of low specific dielectric constant film on semiconductor substrate by means of plasma reaction using high-frequency power
KR20050098546A (en) * 2004-04-07 2005-10-12 삼성전자주식회사 Semiconductor structure for forming pattern and method for forming pattern
JP2006270097A (en) 2005-03-22 2006-10-05 Asm Japan Kk Method of forming low dielectric constant film having stable film quality
KR20070004449A (en) * 2005-07-04 2007-01-09 가부시키가이샤 고베 세이코쇼 Method for forming amorphous carbon film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023191361A1 (en) * 2022-03-28 2023-10-05 솔브레인 주식회사 Thin film modification composition, method for forming thin film using same, and semiconductor substrate and semiconductor element, manufactured therefrom

Also Published As

Publication number Publication date
KR20080100065A (en) 2008-11-14

Similar Documents

Publication Publication Date Title
KR100777043B1 (en) Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
US20210082692A1 (en) Method of forming a carbon-containing layer and structure including the layer
US7064078B2 (en) Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US8465903B2 (en) Radiation patternable CVD film
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
KR100882054B1 (en) Decreasing the etch rate of silicon nitride by carbon addition
US6514880B2 (en) Siloxan polymer film on semiconductor substrate and method for forming same
KR101318898B1 (en) Process to open carbon based hardmask
US8741396B2 (en) Method for forming amorphous carbon nitride film, amorphous carbon nitride film, multilayer resist film, method for manufacturing semiconductor device, and storage medium in which control program is stored
KR20150037638A (en) High selectivity and low stress carbon hardmask by pulsed low frequency rf power
KR20060127250A (en) Method of depositing an amorphous carbon film for metal etch hardmask application
KR20090036082A (en) Methods for high temperature deposition of an amorphous carbon layer
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
JP2005045053A (en) Method for manufacturing semiconductor device
KR100893675B1 (en) Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
KR20080102928A (en) Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
US20220199410A1 (en) Conformal amorphous carbon layer etch with side-wall passivation
KR100715530B1 (en) Method of manufacturing an amorphous carbon film and method of manufacturing semiconductor device using the same
KR20120001127A (en) Method for forming amorphous carbon layer
US6903007B1 (en) Process for forming bottom anti-reflection coating for semiconductor fabrication photolithography which inhibits photoresist footing
KR100909947B1 (en) Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
KR101390349B1 (en) Amorphous carbon film, method of forming the same and method of manufacturing semiconductor device using the same
KR20060120630A (en) A method of forming a teos cap layer at low temperature and reduced deposition rate
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130206

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140402

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee