KR100843241B1 - Methods of fabricating semiconductor device - Google Patents

Methods of fabricating semiconductor device Download PDF

Info

Publication number
KR100843241B1
KR100843241B1 KR1020070031088A KR20070031088A KR100843241B1 KR 100843241 B1 KR100843241 B1 KR 100843241B1 KR 1020070031088 A KR1020070031088 A KR 1020070031088A KR 20070031088 A KR20070031088 A KR 20070031088A KR 100843241 B1 KR100843241 B1 KR 100843241B1
Authority
KR
South Korea
Prior art keywords
film pattern
silicon
oxide film
silicon film
forming
Prior art date
Application number
KR1020070031088A
Other languages
Korean (ko)
Inventor
임종흔
손용훈
홍창기
윤보언
윤성규
최석헌
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070031088A priority Critical patent/KR100843241B1/en
Application granted granted Critical
Publication of KR100843241B1 publication Critical patent/KR100843241B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

A method for manufacturing a semiconductor device is provided to form a fine pattern through a simple method using self-aligned double patterning. A first oxide layer pattern(20a) is formed on a silicon substrate. The silicon substrate is etched to a predetermined depth by using the first oxide layer pattern as an etch mask. A first silicon layer pattern is formed on the silicon substrate and the first oxide layer pattern in order to form a groove between the oxide layer patterns. A second oxide layer pattern(40b) having a top surface corresponding to the top surface of the first oxide layer pattern is formed in the groove. A second silicon layer pattern is formed by removing a part of the first silicon layer pattern higher than the top surface of the second oxide layer pattern. A third silicon layer pattern(30c) is formed by heating the second silicon layer pattern.

Description

반도체 소자의 제조방법{Methods of fabricating semiconductor device}Method of manufacturing a semiconductor device {Methods of fabricating semiconductor device}

도 1a 내지 도 1f는 자기 정렬 이중 패터닝 방법을 도해적으로 도시한 단면도들이다. 1A-1F are schematic cross-sectional views illustrating a self-aligned double patterning method.

도 2a 내지 도 2i는 본 발명의 일실시예에 따른 반도체 소자의 제조방법을 도해하는 단면도들이다. 2A to 2I are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

10a : 실리콘 기판 패턴 20a : 제1 산화막 패턴10a: silicon substrate pattern 20a: first oxide film pattern

30a : 제2 실리콘막 패턴 40b : 제2 산화막 패턴30a: second silicon film pattern 40b: second oxide film pattern

본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것으로, 보다 상세하게는 이중 패터닝 기술을 이용한 반도체 소자의 미세 패턴 형성 방법에 관한 것이다. The present invention relates to a method of forming a fine pattern of a semiconductor device, and more particularly, to a method of forming a fine pattern of a semiconductor device using a double patterning technique.

반도체 소자를 고집적화하기 위해서는 패턴의 미세화가 필수적이다. 좁은 면적에 많은 소자를 집적시키기 위하여 개별 단위 소자의 크기를 작게 형성하여야 하며, 이를 위하여 형성하고자 하는 패턴의 폭과 간격의 합인 피치(P, pitch)를 작게 하여야 한다. 최근, 소자 디자인 룰(design rule)의 감소가 급격히 진행됨에 따라 반도체 소자 구현에 필요한 패턴, 특히 라인 앤드 스페이스 패턴(line and space pattern)을 형성하기 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 패턴을 형성하는 데 한계가 있다. In order to highly integrate a semiconductor device, pattern refinement is essential. In order to integrate a large number of devices in a small area, the size of individual unit devices should be made small. For this purpose, the pitch (P, pitch), which is the sum of the width and the interval of the pattern to be formed, should be made small. Recently, as the reduction of device design rules has progressed rapidly, fine pitch has been reduced due to the resolution limitation in the photolithography process for forming a pattern, particularly a line and space pattern, required for semiconductor device implementation. Branches are limited in forming patterns.

상술한 포토리소그래피 공정에서의 해상 한계를 극복하기 위하여 이중 패터닝 기술을 이용한 미세 패턴 형성 방법이 제안되었다. 이중 패터닝 기술을 이용한 미세 패턴 형성 방법은 노광 및 현상공정에 의하여 라인 형태로 복수의 제1 라인 패턴들을 형성하고, 반도체 제조 공정에 의해 제1 라인 패턴들이 사이에 제2 라인 패턴들을 형성한다. 그러나 상기 이중 패터닝 기술은 복잡한 증착 공정 및 식각 공정을 반복하여 사용하여야 하므로 공정에 부담이 되고 있다. 본 발명은, 특히 반도체 소자의 얕은 트렌치 절연(STI) 구조에 이중 패터닝 기술을 적용하고자 하는 경우에 복잡한 증착 공정 및 식각 공정의 부담을 최소화하는 방법을 제공하고자 한다.In order to overcome the resolution limitation in the photolithography process described above, a method of forming a fine pattern using a double patterning technique has been proposed. In the method of forming a fine pattern using a double patterning technique, a plurality of first line patterns are formed in a line shape by an exposure and development process, and second line patterns are formed between the first line patterns by a semiconductor manufacturing process. However, the double patterning technology is a burden on the process because it has to repeatedly use a complex deposition process and etching process. The present invention is to provide a method for minimizing the burden of the complicated deposition process and the etching process, especially when the dual patterning technique is to be applied to the shallow trench isolation (STI) structure of the semiconductor device.

본 발명이 이루고자 하는 기술적 과제는 공정의 부담을 줄이면서 자기 정렬 이중 패터닝 기술을 구현하는 반도체 소자의 제조방법을 제공하는 데 있다. An object of the present invention is to provide a method of manufacturing a semiconductor device that implements a self-aligned double patterning technology while reducing the burden on the process.

상술한 기술적 과제를 달성하기 위하여, 본 발명의 일 측면에 의한 반도체 소자의 제조방법은 실리콘 기판 상에 형성되는 제1 산화막 패턴을 형성하는 단계; 상기 제1 산화막 패턴을 식각마스크로 하여 상기 실리콘 기판을 소정의 깊이(H2)만 큼 식각하는 단계; 상기 제1 산화막 패턴 사이에 그루브를 형성하도록, 상기 실리콘 기판 및 제1 산화막 패턴 상의 전면에 제1 실리콘막 패턴을 형성하는 단계; 상기 그루브 내에, 상기 제1 산화막 패턴의 상면(top surface)과 높이가 일치하는 상면을 가지는 제2 산화막 패턴을 형성하는 단계; 상기 제1 실리콘막 패턴 중에서 상기 제2 산화막 패턴의 상면보다 높은 위치에 형성되는 부분을 제거하여 제2 실리콘막 패턴을 형성하는 단계; 및 상기 제2 실리콘막 패턴을 가열처리하여 단결정 실리콘으로 구성되는 제3 실리콘막 패턴을 형성하는 단계;를 포함한다. 한편, 상기 제3 실리콘막 패턴을 형성하는 단계 이후에 상기 제3 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 상면 및 상기 제2 산화막 패턴의 상면과 높이가 일치하도록, 상기 제3 실리콘막 패턴의 일부를 제거하는 단계;를 더 포함할 수 있다. 상기 제1 실리콘막 패턴을 형성하는 단계는 비정질 실리콘, 다결정 실리콘 또는 에피택셜 성장 실리콘으로 구성된 실리콘막 패턴을 형성하는 단계를 포함할 수 있다. 상기 제3 실리콘막 패턴을 형성하는 단계는 상기 제2 실리콘막 패턴을 가열하여 재결정시키는 방법을 통하여 단결정 실리콘으로 구성되는 제3 실리콘막 패턴을 형성하는 단계를 포함할 수 있으며, 바람직하게는 상기 가열하여 재결정시키는 방법은 레이저 어닐링(LEG) 방법을 포함한다. 상기 소정의 깊이(H2)는 상기 제1 산화막 패턴에 의해 노출되는 상기 실리콘 기판 상에 위치하는 상기 제1 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 하면(bottom surface)과 높이가 일치하도록 결정되는 깊이와 동일할 수 있다. 상기 제1 실리콘막 패턴을 형성하는 단계는 상기 실리콘 기판 및 제1 산화막 패턴 상의 전면에 컨포멀(conformal)하게 제1 실리콘막 패턴을 형성하는 단계를 포함한다. 한편, 제2 산화막 패턴을 형성하는 단계는, 상기 그루브를 채우고 상기 제1 실리콘막 패턴 상에 형성되는 산화막을 증착하는 단계; 상기 제1 실리콘막 패턴이 노출될 때까지 상기 산화막을 제1 화학기계적 연마(CMP)로 제거하는 단계; 및 상기 제1 실리콘막 패턴에 의해 노출된 상기 산화막을 습식 식각하여 제거하는 단계;를 포함할 수 있다. 상기 제2 실리콘막 패턴을 형성하는 단계는 상기 제1 실리콘막 패턴 중에서 상기 제2 산화막 패턴의 상면보다 높은 위치에 있는 부분을 제2 화학기계적 연마를 통하여 제거하여 제2 실리콘막 패턴을 형성하는 단계를 포함할 수 있다. 상기 제3실리콘막 패턴의 일부를 제거하는 단계는 상기 제3 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 상면 및 상기 제2 산화막 패턴의 상면과 높이가 일치하도록, 제3 기계화학적 연마를 통하여 상기 제3 실리콘막 패턴의 일부를 제거하는 단계를 포함할 수 있다. 상기 제1 실리콘막 패턴을 형성하는 단계는 상기 제1 산화막 패턴의 피처사이즈(F1)와 상기 제2 산화막 패턴의 피처사이즈(F3)가 동일하도록 상기 제1 실리콘막 패턴을 형성하는 단계를 포함할 수 있다. 상기 제3 실리콘막 패턴은 상기 실리콘 기판과 실리콘의 결정방향이 동일할 수 있다. In order to achieve the above technical problem, a method of manufacturing a semiconductor device according to an aspect of the present invention comprises the steps of forming a first oxide film pattern formed on a silicon substrate; Etching the silicon substrate to a predetermined depth H2 by using the first oxide pattern as an etching mask; Forming a first silicon film pattern on an entire surface of the silicon substrate and the first oxide film pattern to form a groove between the first oxide film pattern; Forming a second oxide film pattern in the groove, the second oxide film pattern having a top surface having a same height as a top surface of the first oxide film pattern; Forming a second silicon film pattern by removing a portion of the first silicon film pattern formed at a position higher than an upper surface of the second oxide film pattern; And heat treating the second silicon film pattern to form a third silicon film pattern composed of single crystal silicon. On the other hand, after the forming of the third silicon film pattern, the third silicon film pattern so that the top surface of the third silicon film pattern is flush with the top surface of the first oxide film pattern and the top surface of the second oxide film pattern. Removing a portion of the; may further include. The forming of the first silicon film pattern may include forming a silicon film pattern composed of amorphous silicon, polycrystalline silicon, or epitaxially grown silicon. The forming of the third silicon film pattern may include forming a third silicon film pattern made of single crystal silicon by heating and recrystallizing the second silicon film pattern. Preferably, the heating is performed. And recrystallization includes a laser annealing (LEG) method. The predetermined depth H2 is determined such that an upper surface of the first silicon film pattern positioned on the silicon substrate exposed by the first oxide film pattern coincides with a bottom surface of the first oxide film pattern. It may be equal to the depth being. The forming of the first silicon film pattern includes forming a first silicon film pattern conformally on the entire surface of the silicon substrate and the first oxide film pattern. Meanwhile, the forming of the second oxide film pattern may include filling the groove and depositing an oxide film formed on the first silicon film pattern; Removing the oxide film by first chemical mechanical polishing (CMP) until the first silicon film pattern is exposed; And wet etching and removing the oxide film exposed by the first silicon film pattern. The forming of the second silicon film pattern may include removing a portion of the first silicon film pattern that is higher than an upper surface of the second oxide film pattern by second chemical mechanical polishing to form a second silicon film pattern. It may include. The removing of the third silicon film pattern may include removing the part of the third silicon film pattern by performing third mechanochemical polishing so that the top surface of the third silicon film pattern is flush with the top surface of the first oxide film pattern and the top surface of the second oxide film pattern. The method may include removing a portion of the third silicon film pattern. The forming of the first silicon film pattern may include forming the first silicon film pattern such that the feature size F1 of the first oxide film pattern and the feature size F3 of the second oxide film pattern are the same. Can be. The third silicon layer pattern may have the same crystal direction as the silicon substrate.

명세서 전체에 걸쳐서 막, 영역, 또는 기판등과 같은 하나의 구성요소가 또 다른 구성요소 "상에" 위치한다고 언급할 때는, 상기 하나의 구성요소가 다른 구성요소에 직접 접촉하거나 중간에 개재되는 구성요소들이 존재할 수 있다고 해석될 수 있다. 또한, "하부의(lower)" 또는 "바닥(bottom)" 및 "상부의(upper)" 또는 "정상(top)"과 같은 상대적인 용어들은 도면들에서 도해되는 것처럼 다른 요소들에 대한 어떤 요소들의 관계를 기술하기 위해 여기에서 사용될 수 있다. 상대적 용어들은 도면들에서 묘사되는 방향에 추가하여 소자의 다른 방향들을 포함하는 것을 의도한다고 이해될 수 있다. 예를 들어, 도면들에서 소자가 뒤집어 진다면(turned over), 다른 요소들의 하부의 면 상에 존재하는 것으로 묘사되는 요소들은 상기 다른 요소들의 상부의 면 상에 방향을 가지게 된다. 그러므로, 예로써 든 "하부의"라는 용어는, 도면의 특정한 방향에 의존하여, "하부의" 및 "상부의" 방향 모두를 포함할 수 있다. 유사하게, 도면들의 하나에서 소자가 뒤집어 진다면, 다른 요소들의 "아래의(below or beneath)"라고 묘사되어 있는 요소들은 상기 다른 요소들의 "위의(above)" 방향을 가지게 된다. 그러므로, 예로써 든 "아래의"라는 용어는, 위 및 아래의 방향 모두를 포함할 수 있다.Throughout the specification, when referring to one component, such as a film, region, or substrate, being "on" another component, the component is in direct contact with or intervening with another component. It can be interpreted that elements may exist. Also, relative terms such as "lower" or "bottom" and "upper" or "top" may be used to describe certain elements for other elements as illustrated in the figures. It can be used here to describe a relationship. It may be understood that relative terms are intended to include other directions of the device in addition to the direction depicted in the figures. For example, if the device is turned over in the figures, elements depicted as being on the bottom side of the other elements will be oriented on the top side of the other elements. Thus, the example "lower" may include both "lower" and "upper" directions, depending on the particular direction of the figure. Similarly, if an element is flipped in one of the figures, elements described as "below or beneath" of the other elements will have the "above" direction of the other elements. Thus, the example "below" may encompass both up and down directions.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하여 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided to ensure that the disclosed subject matter is thorough and complete, and that the scope of the invention to those skilled in the art will fully convey. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. Like numbers refer to like elements throughout.

먼저, 도 1a 내지 도 1f는 자기 정렬 이중 패터닝 방법을 도해적으로 도시한 단면도들이다. First, FIGS. 1A-1F are schematic cross-sectional views illustrating a self-aligned double patterning method.

도 1a를 참조하면, 식각 대상층(1) 상에 제1 폴리실리콘층(2)을 형성한다. 도 1b를 참조하면, 제1 폴리실리콘층(2)을 패터닝하여 제1 폴리실리콘층 패턴(2a)을 형성한 후, 산화막 패턴(3)을 컨포멀하게 형성한다. 산화막 패턴(3) 사이에는 그루브가 형성된다. 도 1c를 참조하면, 상기 그루브를 채우면서 산화막 패턴(3) 상에 제2 폴리실리콘층(4)를 형성한다. 도 1d를 참조하면, 산화막 패턴(3)의 상면이 노출될 때까지 제2 폴리실리콘층(4)을 제거하여 제2 폴리실리콘층 패턴(4a)을 형성한다. 도 1e를 참조하면, 산화막 패턴(3)과 제2 폴리실리콘층 패턴(4a)에 대해 식각선택비를 가지는 식각제를 사용하여 산화막 패턴(3)의 일부를 제거한다. 따라서 제1 폴리실리콘층 패턴(2a) 사이에는 산화막 패턴(3a) 상의 제2 폴리실리콘층 패턴(4a)이 형성된다. 도 1f를 참조하면, 제1 폴리실리콘층 패턴(2a)과 제2 폴리실리콘층 패턴(4a)을 식각마스크로 하여 식각 대상층(1)을 식각하여 식각 대상층 패턴(1a)을 형성한다. Referring to FIG. 1A, a first polysilicon layer 2 is formed on the etching target layer 1. Referring to FIG. 1B, after the first polysilicon layer 2 is patterned to form the first polysilicon layer pattern 2a, the oxide film pattern 3 is conformally formed. Grooves are formed between the oxide film patterns 3. Referring to FIG. 1C, a second polysilicon layer 4 is formed on the oxide film pattern 3 while filling the groove. Referring to FIG. 1D, the second polysilicon layer 4 is removed until the top surface of the oxide film pattern 3 is exposed to form the second polysilicon layer pattern 4a. Referring to FIG. 1E, a portion of the oxide film pattern 3 is removed using an etchant having an etching selectivity with respect to the oxide film pattern 3 and the second polysilicon layer pattern 4a. Therefore, the second polysilicon layer pattern 4a on the oxide film pattern 3a is formed between the first polysilicon layer pattern 2a. Referring to FIG. 1F, the etch target layer 1 is etched using the first polysilicon layer pattern 2a and the second polysilicon layer pattern 4a as an etch mask to form an etch target layer pattern 1a.

도 2a 내지 도 2i는 본 발명의 일실시예에 따른 반도체 소자의 제조방법을 도해하는 단면도들이다. 2A to 2I are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

본 발명의 일실시예는 반도체 소자에서 예를 들어 STI를 형성하는 방법으로 적용될 수 있으므로 STI를 사용하는 구조에 대하여 설명한다. 하지만 STI 구조뿐만 아니라 DRAM, FLASH 또는 SRAM 소자의 다른 구조에서도 적용될 수 있음은 당업자들에 의해 잘 이해될 수 있다. Since an embodiment of the present invention can be applied to, for example, a method of forming an STI in a semiconductor device, a structure using the STI will be described. However, it can be well understood by those skilled in the art that the STI structure can be applied to other structures of DRAM, FLASH, or SRAM devices.

도 2a를 참조하면, 실리콘 기판(10) 상에 제1 산화막(20)을 형성한다. 제1 산화막(20)은 제1 높이(H1)를 가진다. 제1 산화막(20)은 HDP, USG 또는 SOG를 포함할 수 있다. HDP 또는 UGS를 포함하는 제1 산화막(20)은 트랜지스터 구조에 압축응 력을 형성할 수 있으며, TOSZ 등의 SOG를 포함하는 제1 산화막(20)은 트랜지스터 구조에 인장응력을 형성할 수 있다. 한편, 본 발명의 변형된 실시예에서는 제1 산화막(20)을 증착하기 이전에 SiN 또는 SiON을 포함하는 식각저지막(미도시)을 먼저 형성할 수 있다. Referring to FIG. 2A, a first oxide film 20 is formed on the silicon substrate 10. The first oxide film 20 has a first height H1. The first oxide film 20 may include HDP, USG, or SOG. The first oxide film 20 including HDP or UGS may form compressive stress in the transistor structure, and the first oxide film 20 including SOG such as TOSZ may form tensile stress in the transistor structure. Meanwhile, in a modified embodiment of the present invention, an etch stop layer (not shown) including SiN or SiON may be first formed before depositing the first oxide layer 20.

도 2b를 참조하면, 제1 산화막(20)을 하드마스크막 패턴(미도시)을 사용하여 식각하여 임의의 피치 사이즈(P)만큼 서로 이격되어 있으며 제1 피처 사이즈(F1)를 가지는 제1 산화막 패턴(20a)을 형성한다. 상기 하드마스크막 패턴은 제1 산화막(20)상에 ACL(Amorphous carbon layer), SiON 및 포토레지스트가 순차적으로 적층된 패턴일 수 있다. Referring to FIG. 2B, the first oxide film 20 is etched using a hard mask film pattern (not shown) to be spaced apart from each other by an arbitrary pitch size P, and has a first feature size F1. The pattern 20a is formed. The hard mask layer pattern may be a pattern in which an amorphous carbon layer (ACL), SiON, and photoresist are sequentially stacked on the first oxide layer 20.

제1 산화막 패턴(20a)을 식각마스크로 하여 실리콘 기판(10)을 소정의 깊이(H2)만큼 식각하여 실리콘 기판 패턴(10a)을 형성한다. 본 발명의 변형된 실시예에서는, 액티브 영역의 크기를 증가시켜 전류 특성등의 트랜지스터 특성을 향상시키기 위하여 건식 및 습식 식각 공정으로 제1 산화막 패턴(20)을 등방성 리세스(isotropic recess)시킬 수 있다. 즉, 제1 산화막 패턴(20)의 상부의 폭이 하부의 폭보다 작게 형성할 수 있다. The silicon substrate 10 is etched by a predetermined depth H2 using the first oxide film pattern 20a as an etching mask to form the silicon substrate pattern 10a. In a modified embodiment of the present invention, in order to increase the size of the active region to improve transistor characteristics such as current characteristics, the first oxide pattern 20 may be isotropic recessed by dry and wet etching processes. . That is, the width of the upper portion of the first oxide film pattern 20 may be smaller than the width of the lower portion.

도 2c를 참조하면, 제1 산화막 패턴(20a) 및 실리콘 기판 패턴(10a) 상의 전면에 제1 실리콘막 패턴(30)을 형성한다. 바람직하게는 제1 실리콘막 패턴(30)은 제1 산화막 패턴(20a) 및 실리콘 기판 패턴(10a) 상의 전면에 컨포멀(conformal)하게 형성된다. 또한 바람직하게는 제1 실리콘막 패턴(30)은 비정질 실리콘, 다결정 실리콘 또는 에피택셜 성장 실리콘으로 구성될 수 있다. 제1 실리콘막 패턴(30)은 제1 산화막 패턴(20a)의 측면에서 제2 피처 사이즈(F2)를 가지도록 형성되어 그루브(groove, G)를 형성한다. 그루브(G)의 폭은 제3 피처 사이즈(F3)를 가진다. 한편, 도 2b에서 상기 소정의 깊이(H2)는 제1 산화막 패턴(20a)에 의해 노출되는 실리콘 기판 패턴(10a) 상에 위치하는 제1 실리콘막 패턴(30)의 상면이 제1 산화막 패턴(20a)의 하면과 높이가 일치하도록 결정되는 깊이와 동일한 것이 바람직하다. Referring to FIG. 2C, the first silicon film pattern 30 is formed on the entire surface of the first oxide film pattern 20a and the silicon substrate pattern 10a. Preferably, the first silicon film pattern 30 is conformally formed on the entire surface of the first oxide film pattern 20a and the silicon substrate pattern 10a. Also preferably, the first silicon film pattern 30 may be made of amorphous silicon, polycrystalline silicon, or epitaxially grown silicon. The first silicon film pattern 30 is formed to have a second feature size F2 at the side of the first oxide film pattern 20a to form a groove G. The width of the groove G has a third feature size F3. 2B, the upper surface of the first silicon film pattern 30 positioned on the silicon substrate pattern 10a exposed by the first oxide film pattern 20a may have a predetermined depth H2. It is preferable that it is equal to the depth determined so that the height of the lower surface of 20a) coincides.

도 2d를 참조하면, 상기 그루브(G)를 채우고 제1 실리콘막 패턴(30) 상에 형성되는 산화막(40)을 형성한다. Referring to FIG. 2D, an oxide film 40 is formed to fill the groove G and formed on the first silicon film pattern 30.

도 2e를 참조하면, 제1 실리콘막 패턴(30)이 노출될 때까지 산화막(40)의 일부를 제거한다. 산화막(40)을 제거하는 방법은 습식식각, 건식식각 또는 화학기계적 연마(CMP)를 포함할 수 있다. 여기에서 상기 화학기계적 연마는 산화막(40)의 연마속도가 제1 실리콘막 패턴(30)의 연마속도보다 높도록 제공되어야 하므로 화학기계적 연마에 사용되는 슬러리는 실리카(silica) 성분을 포함하고 고형분이 0.01~20wt%이며, pH는 8~12의 범위를 가지는 것이 바람직하다. 한편, 상기 슬러리는 아민 화합물을 더 포함할 수 있다. Referring to FIG. 2E, a portion of the oxide film 40 is removed until the first silicon film pattern 30 is exposed. The method of removing the oxide layer 40 may include wet etching, dry etching, or chemical mechanical polishing (CMP). Here, the chemical mechanical polishing should be provided so that the polishing rate of the oxide film 40 is higher than the polishing rate of the first silicon film pattern 30, so that the slurry used for the chemical mechanical polishing contains a silica component and solids It is 0.01-20 wt%, and it is preferable that pH has a range of 8-12. On the other hand, the slurry may further comprise an amine compound.

도 2f를 참조하면, 제1 실리콘막 패턴(30)에 의해 노출된 산화막(40a)을 습식식각 또는 건식식각의 방법으로 소정의 깊이(H3)만큼 제거하여 제2 산화막 패턴(40b)을 형성한다. 이 때 제2 산화막 패턴(40b)의 상면(top surface)은 제1 산화막 패턴(20a)의 상면과 높이가 일치하는 것이 바람직하다. Referring to FIG. 2F, the second oxide film pattern 40b is formed by removing the oxide film 40a exposed by the first silicon film pattern 30 by a predetermined depth H3 by a wet etching method or a dry etching method. . At this time, the top surface of the second oxide film pattern 40b preferably has the same height as the top surface of the first oxide film pattern 20a.

도 2g를 참조하면, 제1 실리콘막 패턴(30) 중에서 제2 산화막 패턴(40b)의 상면보다 높은 위치에 형성되는 부분을 습식식각, 건식식각 또는 화학기계적 연마 를 통하여 제거하여 제2 실리콘막 패턴(30a)을 형성한다. 이 경우 상기 화학기계적 연마는 제1 실리콘막 패턴(30)의 연마속도가 제2 산화막 패턴(40b)의 연마속도보다 높도록 제공되는 것이 바람직하다. 도면에 도시된 것처럼, 제1 산화막 패턴(20a), 제2 실리콘막 패턴(30a) 및 제2 산화막 패턴(40b)의 피처 사이즈(feature size)는 각각 F1, F2 및 F3이다. Referring to FIG. 2G, a portion of the first silicon layer pattern 30 formed at a position higher than the upper surface of the second oxide layer pattern 40b is removed by wet etching, dry etching, or chemical mechanical polishing to form a second silicon layer pattern. 30a is formed. In this case, the chemical mechanical polishing is preferably provided so that the polishing rate of the first silicon film pattern 30 is higher than the polishing rate of the second oxide film pattern 40b. As shown in the figure, feature sizes of the first oxide film pattern 20a, the second silicon film pattern 30a, and the second oxide film pattern 40b are F1, F2, and F3, respectively.

도 2h를 참조하면, 제2 실리콘막 패턴(30a)을 가열처리하여 단결정 실리콘으로 구성되는 제3 실리콘막 패턴(30b)을 형성한다. 상기 가열처리는 가열하여 결정화시키는 방법을 포함하는데, 바람직하게는 레이저 어닐링(Laser Annealing) 방법을 사용한다. 제2 실리콘막 패턴(30a)은 트랜지스터를 형성시킬 수 있는 단결정 실리콘이 아니고 비정질, 다결정 또는 에피택셜 성장 실리콘으로 구성되므로 레이저 어닐링 기술을 통해 단결정 실리콘으로 구성되는 제3 실리콘막 패턴(30b)으로 변환시켜야 한다. 제3 실리콘막 패턴(30b)은 실리콘 기판 패턴(10a)으로부터 성장한 것이므로 실리콘 기판과 동일한 결정성(결정방향)을 갖는 단결정 실리콘으로 구성되므로, 트랜지스터를 형성하는 데 문제가 되지 않는다. 그리고, 상기 레이저 어닐링을 통한 결정화 공정에서 부가적으로 실리콘막 패턴 또는산화막 패턴 내의 수소 함유량도 감소시키는 효과를 기대할 수 있다. 제2 실리콘막 패턴(30a)을 레이저 어닐링 기술을 통해 용융(melting)하고 다시 고상화(solidification)되는 과정을 통해 단결정 실리콘으로 구성되는 제3 실리콘막 패턴(30b)이 형성된다. 제3 실리콘막 패턴(30b) 중에서 실리콘 기판 패턴(10a)과 직접 접촉하는 부분은 실리콘 기판 패턴(10a)과 구성하는 성분이 동일하게 되므로 도면에서 경계 구분을 별도로 도시하 지 않았다. Referring to FIG. 2H, the second silicon film pattern 30a is heated to form a third silicon film pattern 30b made of single crystal silicon. The heat treatment includes a method of heating and crystallizing, preferably using a laser annealing method. Since the second silicon film pattern 30a is composed of amorphous, polycrystalline or epitaxially grown silicon rather than single crystal silicon capable of forming a transistor, the second silicon film pattern 30a is converted into a third silicon film pattern 30b composed of single crystal silicon through laser annealing. You have to. Since the third silicon film pattern 30b is grown from the silicon substrate pattern 10a, the third silicon film pattern 30b is made of single crystal silicon having the same crystallinity (crystal orientation) as that of the silicon substrate. In addition, in the crystallization process through laser annealing, an effect of reducing hydrogen content in the silicon layer pattern or the oxide layer pattern may be expected. A third silicon film pattern 30b made of single crystal silicon is formed by melting the second silicon film pattern 30a through a laser annealing technique and solidifying again. The portion of the third silicon film pattern 30b that is in direct contact with the silicon substrate pattern 10a has the same components as the silicon substrate pattern 10a, and thus no boundary is separately illustrated in the drawing.

상기 레이저 어닐링은 냉각 시스템을 이용하여 반도체 기판이 위치하는 서셉터(sucepter)의 온도를 상온 또는 저온으로 유지함으로써 레이저가 조사되는 국부적인 부분만 고온이 되고 반도체 기판 전체적으로는 저온 공정이 가능하도록 하는 것이 바람직하다. 결정화를 위한 레이저 조사 공정조건은 파워(power)가 10 내지 1000W 범위(특히, 바람직하게는 50~200W 범위), 펄스 듀레이션(pulse duration)은 10~1000ns 범위(특히, 바람직하게는 50~200ns 범위), 에너지는 500~1500mJ/cm2(특히, 바람직하게는 1000mJ/cm2)범위에서 진행하는 것이 바람직하다. 즉, 상기 공정조건의 하한보다 작은 조건에서는 실질적인 용융이 일어나기 힘들며, 상기 공정조건의 상한보다 큰 조건에서는 잉여의 열응력이 반도체 소자에 부가되어 부적절할 수 있다. 한편, 제2 실리콘막 패턴(30a)이 결정화되면서 실리콘의 부피 팽창 효과에 의해 상부가 볼록해지는 현상이 발생할 수 있다. The laser annealing uses a cooling system to maintain the temperature of the susceptor where the semiconductor substrate is located at room temperature or low temperature so that only the localized portion where the laser is irradiated becomes high and the low temperature process is possible for the entire semiconductor substrate. desirable. Laser irradiation process conditions for crystallization are in the range of 10 to 1000 W of power (especially in the range of 50 to 200 W), and pulse duration in the range of 10 to 1000 ns (in particular of 50 to 200 ns). ), The energy is preferably in the range of 500 to 1500 mJ / cm 2 (particularly preferably 1000 mJ / cm 2 ). That is, substantial melting is less likely to occur at a condition smaller than the lower limit of the process conditions, and excessive thermal stress may be added to the semiconductor device at conditions greater than the upper limit of the process conditions, which may be inappropriate. Meanwhile, as the second silicon layer pattern 30a is crystallized, a phenomenon in which the upper part is convex may occur due to the volume expansion effect of silicon.

도 2i를 참조하면, 제3 실리콘막 패턴(30b)의 상면이 제1 산화막 패턴(20a) 및 제2 산화막 패턴(40b)의 상면과 높이가 일치하도록 제3 실리콘막 패턴(30b)의 일부를 제거할 수 있는데, 바람직하게는 제3 실리콘막 패턴(30b)의 연마속도가 제1 산화막 패턴(20a) 및 제2 산화막 패턴(40b)의 연마속도보다 높도록 제공되는 화학기계적 연마를 통하여 제거할 수 있다. 한편, 상기 화학 기계적 연마를 더욱 원활하게 하기 위하여 제1 산화막 패턴(20a) 및 제2 산화막 패턴(40b)을 먼저 리세스(recess)시켜 제3 실리콘막 패턴(30b)의 상부를 돌출시킨 후 화학 기계적 연마를 진행할 수 있다. 본 발명의 또 다른 변형된 실시예에서는, 상부가 볼록한 돌출부를 가지는 제3 실리콘막 패턴(30b)을 포함하는 반도체 기판의 전면에 희생막(예를 들어, SiO2막)을 얇게 증착한 후 화학 기계적 연마를 수행하여 상기 돌출부를 최소화하도록 일부 제거하고, 잔류하는 상기 희생막을 습식 식각한 후 표면 거칠기를 개선하기 위한 또 다른 화학 기계적 연마를 추가로 수행할 수 있다. 상기 변형된 실시예에 의하면 화학 기계적 연마에 의해 제거되는 부분이 필요 이상 제거되는 것을 방지할 수 있는 이점이 있다. Referring to FIG. 2I, a portion of the third silicon film pattern 30b is formed such that the top surface of the third silicon film pattern 30b is flush with the top surfaces of the first oxide film pattern 20a and the second oxide film pattern 40b. The polishing rate of the third silicon film pattern 30b may be removed by chemical mechanical polishing provided such that the polishing rate of the third silicon film pattern 30b is higher than that of the first oxide film pattern 20a and the second oxide film pattern 40b. Can be. Meanwhile, in order to smooth the chemical mechanical polishing, the first oxide film pattern 20a and the second oxide film pattern 40b are first recessed to protrude the upper portion of the third silicon film pattern 30b, and then chemical Mechanical polishing can be performed. In another modified embodiment of the present invention, after chemically depositing a sacrificial film (eg, SiO 2 film) on the entire surface of the semiconductor substrate including the third silicon film pattern 30b having a convex protrusion on the top thereof, the chemical mechanical Partial removal may be performed to minimize the protrusion by polishing, and another chemical mechanical polishing may be further performed to wet the remaining sacrificial layer after wet etching, to improve surface roughness. According to the modified embodiment, there is an advantage that the part removed by chemical mechanical polishing can be prevented from being removed more than necessary.

이러한 과정을 통하여 제1 및 제2 산화막 패턴으로 구성되는 절연막 패턴(20a, 40b)으로 구분되며 단결정 실리콘으로 구성되는 제3 실리콘막 패턴(30c)이 형성된다. 제1 산화막 패턴(20a)의 피처사이즈(F1)와 제2 산화막 패턴(40b)의 피처사이즈(F3)가 동일한 것이 바람직하므로, 도 2c에서 도시된 제1 실리콘막 패턴(30)의 피처사이즈(F2)는 적절하게 조정되는 것이 또한 바람직하다. 예를 들어, 제1 산화막 패턴(20a)의 피치 사이즈(P)는 제1 산화막 패턴의 피처 사이즈(F1)의 4배이고, F1, F2 및 F3는 모두 동일할 수 있다. Through this process, the third silicon film pattern 30c, which is divided into the insulating film patterns 20a and 40b including the first and second oxide film patterns and made of single crystal silicon, is formed. Since the feature size F1 of the first oxide film pattern 20a and the feature size F3 of the second oxide film pattern 40b are preferably the same, the feature size of the first silicon film pattern 30 shown in FIG. It is also preferable that F2) is adjusted appropriately. For example, the pitch size P of the first oxide film pattern 20a may be four times the feature size F1 of the first oxide film pattern, and F1, F2, and F3 may all be the same.

한편, 반도체 소자의 신뢰성 향상을 위해 수소 이온을 최대한 제거시키는 것이 바람직하므로 상기 완성된 STI 구조에서 실리콘막 및 실리콘 산화막 내의 수소이온을 제거하고자 레이저를 다시 조사하여 큐어링(curing)할 수 있다. 이 경우 상기 레이저 조사는 수소, 산소 또는 불활성 기체를 포함하는 분위기에서 진행될 수 있다. On the other hand, since it is preferable to remove hydrogen ions as much as possible to improve the reliability of the semiconductor device, the laser can be irradiated and cured again to remove hydrogen ions in the silicon film and the silicon oxide film in the completed STI structure. In this case, the laser irradiation may be performed in an atmosphere containing hydrogen, oxygen, or an inert gas.

발명의 특정 실시예들에 대한 이상의 설명은 예시 및 설명을 목적으로 제공 되었다. 따라서, 본 발명은 상기 실시예들에 한정되지 않으며, 본 발명의 기술적 사상 내에서 해당 분야에서 통상의 지식을 가진 자에 의하여 상기 실시예들을 조합하여 실시하는 등 여러 가지 많은 수정 및 변경이 가능함은 명백하다.The foregoing description of specific embodiments of the invention has been presented for purposes of illustration and description. Therefore, the present invention is not limited to the above embodiments, and various modifications and changes are possible in the technical spirit of the present invention by combining the above embodiments by those skilled in the art. It is obvious.

본 발명에 의한 반도체 소자의 제조방법에 따르면, 단순한 공정을 사용한 자기 정렬 이중 패터닝 방법을 사용하여 미세한 패턴을 가지는 반도체 소자를 제조할 수있다. According to the method for manufacturing a semiconductor device according to the present invention, a semiconductor device having a fine pattern can be manufactured by using a self-aligned double patterning method using a simple process.

Claims (21)

실리콘 기판 상에 형성되는 제1 산화막 패턴을 형성하는 단계;Forming a first oxide film pattern formed on the silicon substrate; 상기 제1 산화막 패턴을 식각마스크로 하여 상기 실리콘 기판을 소정의 깊이(H2)만큼 식각하는 단계;Etching the silicon substrate by a predetermined depth H2 using the first oxide layer pattern as an etching mask; 상기 제1 산화막 패턴 사이에 그루브를 형성하도록, 상기 실리콘 기판 및 제1 산화막 패턴 상의 전면에 제1 실리콘막 패턴을 형성하는 단계;Forming a first silicon film pattern on an entire surface of the silicon substrate and the first oxide film pattern to form a groove between the first oxide film pattern; 상기 그루브 내에, 상기 제1 산화막 패턴의 상면(top surface)과 높이가 일치하는 상면을 가지는 제2 산화막 패턴을 형성하는 단계;Forming a second oxide film pattern in the groove, the second oxide film pattern having a top surface having a same height as a top surface of the first oxide film pattern; 상기 제1 실리콘막 패턴 중에서 상기 제2 산화막 패턴의 상면보다 높은 위치에 형성되는 부분을 제거하여 제2 실리콘막 패턴을 형성하는 단계; 및Forming a second silicon film pattern by removing a portion of the first silicon film pattern formed at a position higher than an upper surface of the second oxide film pattern; And 상기 제2 실리콘막 패턴을 가열처리하여 단결정 실리콘으로 구성되는 제3 실리콘막 패턴을 형성하는 단계;를 포함하는 반도체 소자의 제조방법.And heat treating the second silicon film pattern to form a third silicon film pattern made of single crystal silicon. 제1항에 있어서, 상기 제3 실리콘막 패턴을 형성하는 단계 이후에 상기 제3 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 상면 및 상기 제2 산화막 패턴의 상면과 높이가 일치하도록, 상기 제3 실리콘막 패턴의 일부를 제거하는 단계;를 더 포함하는 반도체 소자의 제조방법. The method of claim 1, wherein after forming the third silicon film pattern, the upper surface of the third silicon film pattern is flush with the upper surface of the first oxide film pattern and the upper surface of the second oxide film pattern. And removing a portion of the silicon film pattern. 3. 제1항에 있어서, 상기 제1 실리콘막 패턴을 형성하는 단계는 비정질 실리콘, 다결정 실리콘 또는 에피택셜 성장 실리콘으로 구성된 실리콘막 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조방법.The method of claim 1, wherein the forming of the first silicon film pattern comprises forming a silicon film pattern formed of amorphous silicon, polycrystalline silicon, or epitaxially grown silicon. 제1항에 있어서, 상기 제3 실리콘막 패턴을 형성하는 단계는 상기 제2 실리콘막 패턴을 가열하여 다시 결정화시키는 방법을 통하여 단결정 실리콘으로 구성되는 제3 실리콘막 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조방법.The semiconductor of claim 1, wherein the forming of the third silicon film pattern comprises forming a third silicon film pattern made of single crystal silicon through a method of heating and recrystallizing the second silicon film pattern. Method of manufacturing the device. 제4항에 있어서, 상기 가열하여 다시 결정화시키는 방법은 레이저 어닐링 방법을 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 4, wherein the heating and recrystallization comprises a laser annealing method. 제1항에 있어서, 상기 소정의 깊이(H2)는 상기 제1 산화막 패턴에 의해 노출되는 상기 실리콘 기판 상에 위치하는 상기 제1 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 하면(bottom surface)과 높이가 일치하도록 결정되는 깊이와 동일한 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the predetermined depth (H2) is a top surface of the first silicon film pattern positioned on the silicon substrate exposed by the first oxide film pattern is a bottom surface of the first oxide film pattern (bottom surface) And a depth equal to a depth determined to coincide with a height. 제1항에 있어서, 상기 제1 실리콘막 패턴을 형성하는 단계는 상기 실리콘 기판 및 제1 산화막 패턴 상의 전면에 컨포멀(conformal)하게 제1 실리콘막 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조방법.The method of claim 1, wherein the forming of the first silicon film pattern comprises forming a first silicon film pattern conformally on the entire surface of the silicon substrate and the first oxide film pattern. Way. 제1항에 있어서, 제2 산화막 패턴을 형성하는 단계는,The method of claim 1, wherein the forming of the second oxide film pattern comprises: 상기 그루브를 채우고 상기 제1 실리콘막 패턴 상에 형성되는 산화막을 증착하는 단계;Filling the groove and depositing an oxide film formed on the first silicon film pattern; 상기 제1 실리콘막 패턴이 노출될 때까지 상기 산화막을 제1 화학기계적 연마(CMP)로 제거하는 단계; 및Removing the oxide film by first chemical mechanical polishing (CMP) until the first silicon film pattern is exposed; And 상기 제1 실리콘막 패턴에 의해 노출된 상기 산화막을 습식 식각하여 일부 제거하는 단계;를 포함하는 반도체 소자의 제조방법.And wet-etching the oxide film exposed by the first silicon film pattern to partially remove the oxide film. 제8항에 있어서, 상기 제1 화학기계적 연마는 상기 산화막의 연마속도가 상기 제1 실리콘막 패턴의 연마속도보다 높도록 제공되는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 8, wherein the first chemical mechanical polishing is provided such that a polishing rate of the oxide film is higher than a polishing rate of the first silicon film pattern. 제9항에 있어서, 상기 제1 화학기계적 연마에서 사용하는 슬러리는 실리카(silica) 성분을 포함하고 고형분이 0.01~20wt%이며 pH는 8~12의 범위를 가지는 것을 특징으로 하는 반도체 소자의 제조방법.10. The method of claim 9, wherein the slurry used in the first chemical mechanical polishing comprises a silica component, a solid content of 0.01 to 20 wt%, and a pH of 8 to 12. . 제10항에 있어서, 상기 슬러리는 아민 화합물을 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 10, wherein the slurry further comprises an amine compound. 제1항에 있어서, 상기 제2 실리콘막 패턴을 형성하는 단계는 상기 제1 실리콘막 패턴 중에서 상기 제2 산화막 패턴의 상면보다 높은 위치에 있는 부분을 제2 화학기계적 연마를 통하여 제거하여 제2 실리콘막 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조방법.The method of claim 1, wherein the forming of the second silicon film pattern comprises removing the portion of the first silicon film pattern that is higher than the upper surface of the second oxide film pattern by second chemical mechanical polishing to remove the second silicon film pattern. A method of manufacturing a semiconductor device comprising the step of forming a film pattern. 제12항에 있어서, 상기 제2 화학기계적 연마는 상기 제1 실리콘막 패턴의 연마속도가 상기 제2 산화막 패턴의 연마속도보다 높도록 제공되는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 12, wherein the second chemical mechanical polishing is provided such that a polishing rate of the first silicon film pattern is higher than a polishing rate of the second oxide film pattern. 제2항에 있어서, 상기 제3실리콘막 패턴의 일부를 제거하는 단계는 상기 제3 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 상면 및 상기 제2 산화막 패턴의 상면과 높이가 일치하도록, 제3 화학기계적 연마를 통하여 상기 제3 실리콘막 패턴의 일부를 제거하는 단계를 포함하는 반도체 소자의 제조방법.3. The method of claim 2, wherein the removing of the portion of the third silicon film pattern comprises: forming a top surface of the third silicon film pattern to have a height equal to a top surface of the first oxide film pattern and a top surface of the second oxide film pattern; And removing a portion of the third silicon film pattern by chemical mechanical polishing. 제14항에 있어서, 상기 제3실리콘막 패턴의 일부를 제거하는 단계는The method of claim 14, wherein the removing of the portion of the third silicon film pattern comprises: 상기 제1 산화막 패턴 및 제2 산화막 패턴을 리세스(recess)시키는 단계; 및 Recessing the first oxide pattern and the second oxide pattern; And 상기 제3 실리콘막 패턴의 상부를 제3 화학기계적 연마를 통하여 제거하는 단계;를 포함하는 반도체 소자의 제조방법.Removing the upper portion of the third silicon film pattern through a third chemical mechanical polishing. 제2항에 있어서, 상기 제3실리콘막 패턴의 일부를 제거하는 단계는The method of claim 2, wherein the removing of the portion of the third silicon film pattern comprises: 상기 제3 실리콘막 패턴의 돌출된 상부를 포함한 반도체 기판의 전면에 희생막을 형성하는 단계;Forming a sacrificial film on the entire surface of the semiconductor substrate including the protruding upper portion of the third silicon film pattern; 상기 제3 실리콘막 패턴의 돌출된 상부의 일부를 제3 화학기계적 연마를 통하여 제거하는 단계;Removing a portion of the protruding upper portion of the third silicon film pattern through third chemical mechanical polishing; 잔류하는 상기 희생막의 일부를 습식식각으로 제거하는 단계; 및Removing a portion of the sacrificial layer by wet etching; And 상기 제3 실리콘막 패턴의 상면이 상기 제1 산화막 패턴의 상면 및 상기 제2 산화막 패턴의 상면과 높이가 일치하도록 제4 화학기계적 연마를 추가적으로 수행하는 단계;를 순차적으로 포함하는 반도체 소자의 제조방법.And performing a fourth chemical mechanical polishing so that the top surface of the third silicon film pattern is flush with the top surface of the first oxide film pattern and the top surface of the second oxide film pattern. . 제1항에 있어서, 상기 제1 실리콘막 패턴을 형성하는 단계는 상기 제1 산화막 패턴의 피처사이즈(F1)와 상기 제2 산화막 패턴의 피처사이즈(F3)가 동일하도록 상기 제1 실리콘막 패턴을 형성하는 단계를 포함하는 반도체 소자의 제조방법.The method of claim 1, wherein the forming of the first silicon film pattern comprises forming the first silicon film pattern such that the feature size F1 of the first oxide film pattern and the feature size F3 of the second oxide film pattern are the same. Method of manufacturing a semiconductor device comprising the step of forming. 제1항에 있어서, 상기 제3 실리콘막 패턴은 상기 실리콘 기판과 실리콘의 결정방향이 동일한 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the third silicon layer pattern has the same crystal direction as that of the silicon substrate. 제5항에 있어서, 상기 레이저 어닐링은 냉각 시스템을 이용하여 상기 실리콘 기판이 위치하는 서셉터(sucepter)의 온도를 일정한 온도로 유지하게 하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 5, wherein the laser annealing maintains a temperature of a susceptor on which the silicon substrate is located at a constant temperature by using a cooling system. 제5항에 있어서, 상기 레이저 어닐링은 파워(power)가 10 내지 1000W 범위, 펄스 듀레이션(pulse duration)은 10~1000ns 범위, 에너지는 500~1500mJ/cm2 범위에서 진행하는 것을 특징으로 하는 반도체 소자의 제조방법.The laser annealing of claim 5, wherein the laser annealing has a power in a range of 10 to 1000 W, a pulse duration in a range of 10 to 1000 ns, and an energy of 500 to 1500 mJ / cm 2. A method of manufacturing a semiconductor device, characterized in that it proceeds in a range. 제1항에 있어서, 제3 실리콘막 패턴을 형성하는 단계 이후에 상기 제3 실리콘막 패턴, 상기 제1 산화막 패턴 및 상기 제2 산화막 패턴에 함유되어 있는 수소 성분을 제거하기 위하여 레이저를 조사하는 단계를 더 포함하는 반도체 소자의 제조방법.The method of claim 1, further comprising irradiating a laser to remove hydrogen components contained in the third silicon film pattern, the first oxide film pattern, and the second oxide film pattern after forming the third silicon film pattern. Method of manufacturing a semiconductor device further comprising.
KR1020070031088A 2007-03-29 2007-03-29 Methods of fabricating semiconductor device KR100843241B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070031088A KR100843241B1 (en) 2007-03-29 2007-03-29 Methods of fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070031088A KR100843241B1 (en) 2007-03-29 2007-03-29 Methods of fabricating semiconductor device

Publications (1)

Publication Number Publication Date
KR100843241B1 true KR100843241B1 (en) 2008-07-02

Family

ID=39823531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070031088A KR100843241B1 (en) 2007-03-29 2007-03-29 Methods of fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR100843241B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101045371B1 (en) 2008-12-22 2011-06-30 주식회사 하이닉스반도체 Method of forming fine patterns by using double patterning
KR20160087533A (en) * 2015-01-14 2016-07-22 삼성전자주식회사 Methods of manufacturing semiconductor devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030051000A (en) * 2001-12-20 2003-06-25 동부전자 주식회사 Method of forming miniature pattern semiconductor device
KR100574999B1 (en) 2004-12-06 2006-04-28 삼성전자주식회사 Method of forming pattern of semiconductor device
JP2006261307A (en) 2005-03-16 2006-09-28 Toshiba Corp Pattern forming method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030051000A (en) * 2001-12-20 2003-06-25 동부전자 주식회사 Method of forming miniature pattern semiconductor device
KR100574999B1 (en) 2004-12-06 2006-04-28 삼성전자주식회사 Method of forming pattern of semiconductor device
JP2006261307A (en) 2005-03-16 2006-09-28 Toshiba Corp Pattern forming method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101045371B1 (en) 2008-12-22 2011-06-30 주식회사 하이닉스반도체 Method of forming fine patterns by using double patterning
KR20160087533A (en) * 2015-01-14 2016-07-22 삼성전자주식회사 Methods of manufacturing semiconductor devices
KR102327667B1 (en) 2015-01-14 2021-11-17 삼성전자주식회사 Methods of manufacturing semiconductor devices

Similar Documents

Publication Publication Date Title
KR101720878B1 (en) Process of manufacturing fin-fet device
JP5291929B2 (en) Manufacturing method of semiconductor device having channel film
KR101248339B1 (en) Method to fabricate adjacent silicon fins of differing heights
KR100868100B1 (en) Method for fabricating semiconductor device and semiconductor device fabricated thereby
US9449848B2 (en) Manufacturing method for semiconductor device, annealing device, and annealing method
JP2008047910A (en) Fin structure, and method of manufacturing fin transistor utilizing it
CN103117243A (en) Reverse tone STI formation
JP2008235866A (en) Semiconductor device and its manufacturing method
TWI685108B (en) Semiconductor devices and methods of fabricating the same
JP2007299991A (en) Semiconductor device and its manufacturing method
TW200843103A (en) Semiconductor device having multi-channel and method of fabricating the same
CN113053876A (en) Integrated circuit structure
KR101223417B1 (en) Semiconductor device and method of manufacturing thereof
KR100699917B1 (en) Semiconductor memory device and method of manufacturing the same
KR100843241B1 (en) Methods of fabricating semiconductor device
US20080023753A1 (en) Semiconductor device and method for fabricating the same
US10586740B2 (en) Method for manufacturing pairs of CMOS transistors of the “fin-FET” type at low temperatures
CN104064469A (en) Semiconductor device manufacturing method
US7898025B2 (en) Semiconductor device having recess gate
US11309183B2 (en) Semiconductor structure and forming method thereof
CN109887845B (en) Semiconductor device and method of forming the same
JP2006080310A (en) Semiconductor device and its manufacturing method
KR101180976B1 (en) Field effect transistor having a doped gate electrode with reduced gate depletion and method of forming the transistor
KR100914973B1 (en) Method for forming semiconductor device
JP2002270509A5 (en)

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee